From 3d1bfce1f6fe3e227c30f6add8e666dadf8a6691 Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Thu, 7 Jun 2018 08:17:05 +0000 Subject: [PATCH] Refs #559. Corrected vector table relocation not being disabled for the STM32F103 related demo user programs. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@517 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Prog/bin/demoprog_nucleo_stm32f103rb.elf | Bin 456732 -> 503868 bytes .../Prog/bin/demoprog_nucleo_stm32f103rb.map | 464 +++++++++--------- .../Prog/bin/demoprog_nucleo_stm32f103rb.srec | 229 ++++----- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../Prog/bin/demoprog_olimex_stm32h103.elf | Bin 486664 -> 486668 bytes .../Prog/bin/demoprog_olimex_stm32h103.map | 54 +- .../Prog/bin/demoprog_olimex_stm32h103.srec | 98 ++-- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../Prog/bin/demoprog_olimex_stm32p103.elf | Bin 521004 -> 521096 bytes .../Prog/bin/demoprog_olimex_stm32p103.map | 158 +++--- .../Prog/bin/demoprog_olimex_stm32p103.srec | 421 ++++++++-------- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- .../ST/STM32F10x/system_stm32f10x.c | 19 +- 18 files changed, 829 insertions(+), 766 deletions(-) diff --git a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.elf b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.elf index 9eb6b943f721918b28b83e7ede574d116aaf9033..7335d1fb5c26222281b86cb88a3ffbc0407c8e5f 100644 GIT binary patch delta 96047 zcmd?S1$0!`+BbgonK?6IBrYJRQk+6@D5bPza0yO;L4vyncSvw| zg1fuB@%(bX(dct?8dAqP0<} zujAr7Wp`U9rD_s?e4~9Yg^04@Yf@jQevF@-J*wp4RNWORMyc-U92KopO?|yLRaEyi z4c{VdOF+?Q;Gsh7n!zwu^Mw?f`uh7+5v{;%mDKpqoE}^K)Ddr-wL-EkePfGN7DiQ0 zeO)6pzGTiXa+dxiqKQuXq)&|963kXxIVxI*T;_cexs+oXq^8D?$XO&Ry1KXL;tf&2 zPo;`n#g1hLE=rtVS?0@vXHs9c`51pGXJ)Y}{$tL(x{ax+uS)Ii2$4(tvPVB`Z`WU;io|6}ogDs!OoSHivStK8zLZ0#8%g=h{)!Y1n^ zAtXf}5ECeoN5tevB;&Ls?CFfnBwVLt2qbr_&DVs@XZ!!P(b~6d9(b8k6bdYl77@XY zoR(LFME{2!@juXp0kmO(bvp}+<+6M*Ch%(!QOR>OE1D_4h>4YCttiY_tgJzU9nb}O z=MnC}kth-Se@%mZ_rK9ho^|`YVumbk1lna5zsO6n1x{xc%f;Tn;4EUlI2x!EC7SC$ z=r|p}<9Mq0|622mixKBF;!2=%4pG&fkR9V^Pl#~DG*%}XrsVqUfkQdOb-h(>qlW)a zw$WOHh7XQ!JvDn-Q z1I>d~rwr_LQ(b?lzMX6IHN%h#xvzh1MhZCZ5) zt3#*!f#?z<%Adbh*G_GuD&N+RDpxnEV7`Jy^A(gtpT`J{wUP~CnpXTArIAcg-)p5E zreK)N=xqUC_>((6!Gv!QhGxF{Ui+<9>Z(Z&k)`gM_=l48tL6urR_dX7)L4@K(Dd#p zNq=h0-Lz6~&DJ{_$?wV|CbUt>n<&8>x|;TGMJta%oQ|OOji= zW35K=Xg}T6NM7yIU$v4?8`E7#k=h*{gp^s^rJf{Z(cYgVq$usLb2U=5w!K4=VzjHq zOHx+t{Xd12O?%&>m9lHU3zelD+G<)M<z{UGqbP;%LM&6HENRQFpqN(QZVDJ7 zO2Tc#jUoE>vt+cC(zauF^0LpDC4D@MDlR{y^+qTvvHp2TXVQks116#y3@uX7rGr)@ zDH)1nMQ04&fOM4M%o(JI>_fVbVQ@dKBo8HhhOx#OA<1!vDKCs=s4z@b5XNq(w>h z5|NsSdYV9#~O*e)%W=!Fo(o!hIxHuNuJAeF2h&bkea!nF=B>u(9ase?)7EsEK3?mpcfENcP5yP|Qw< zP*G01YZXj&RuQeELAe@|+(kt~?9ZNq(^arKCP}yb8Vq$4{ed}OV}Qy9b(-fuT%3PE zx}hOlvl_v2LEUAfCHMBem|pTU71KmuKD#P%b_EHNX9rd%Q3isrj?PQ)9vcBry(jh* zK|&>{_=fbt?5@tyC2C3DUKM~97yywxs?H*aM6wivK*GqEMB&LGW!B=wzbyVkU=9Z} z1eiQqm-!ZpjCAI6NYDs3t>DK%BU;oFv6w!CH7MD4%#%BwU|~Ej*TQ-W?s&qXBNDP! zuY_HDZgt#iCc;X#sb$a*hjkBODQq`eV3REQB{(s(bD9%td?e_^kc;})6SAAPqh!J9 zr{R>7BTIlM2dA7IoN{t-%E`egr{R>7%QdH1aLUQSDJKV~oHjV+z{_3~b56rKr*Sb) z4df{NZMj55@LKtg|Ah_WZXccxQc`u z^HZmUir9=riIh|kmobH6rBuXcbdytBMWPH9>cVsx70D)Jf?f-^3Sl&VFnl$HJYQM7;;khdTiJRLoj?Z5@V5&6!Ic2 zQ7Qj#NFD`)i<3y`LAey5SCCcsxvYLEWGYFQKw7}WH6&IcAz3&;LJsTt0a0kgdKmWj zC^1%!Y(Bae>v&N3=wqz!0r)6ct))>!KKiVZ#fT1(Vlk)FhSj(e!C_SuMp1uBDsPaa zpLcQ;sc!w>9aoydr{Occ#3~~F%OTc*jQ?PW{nH5Z7KKSowrbE!8*R;lM(8*jRuWO= zFuvgN>sG2K3CYm!D*8v1&CMN~iXK%8GaW8z zCR3=$eHskc6&y~3^I%Y?@};mpF)DOlvmLZyS)r#Z$+Rv^e+KC?OoxQ^MGNXGGi?a7 zox#eZt472mY09CsbTvxAXlRJ8&QTg4LL>Fbm%^5k-H>THtSJhpYs9oR>;MfmVOl3? z_Mw2fW&!AiCx9;cfh^6zBN8xBrHJ9jRU(q*K(t8fW>pb7;lB+vk$401W3MVZJ3}^+ zYP&&<$cIXZL~@=1b(Ep`m28*HXby*jW(cCMh<4yKUPbO#D2t;Lg;x{pKy)FwYlyO> z&L)1c*Rp@)F^z4xvPmJCFtMzn7Ep#{Y-m?3>Mz_d&fHib%tpzrmdY(yEG$c|5oj2Z zPZf$$g{<)FZnf5+szRPSK^bxoAxhpdr_l={R`_v4(WMueLT88c~S-qbGCy=Nuxe6QuIzY8sOjUW|hmJ=rB*-Xa zW;hhjy`UI7wiEE6l>tF3b-cUT-h;orOz@No1p~iXBkyW&nU7do1h)e>JhqNScC$Kg!53t zu%I7mr|K1_7s<|Qv{SPawY^?q?N!C@YUab~R;H+#qeWmP9I-~Qqd^UpST!+4H4#sx z$gem$HftUu&A%FWM8YsAa#Z@sqULJI1|865RacMM=N-(-Zq>|#N-pB6iwWXYh^sCs zh<72b7I>SQ)dk>^>kgYw2lHG@neUa10)q;=U(^cbs#BNn_q3ws8lvJpjQ(J*LA(TT zDKld^{bAh$*i!m|ki`R^!$;XHXNgB^^HH|QS^hu+@=*{2?m&wnphKQ%f!hc~KRf(5 z)hMPqG^}?K*f#9NiuAu2xW%OH4gODoTgO@=^S=z-_MqYBxixNF_*Tm_9zmFyCiKY{Y0R1ZQ=5#?H;hBQ)9Mh%=>?i+5S5r z8<(l@8<$bhv&c^>i$^3xw5A6O1xu1js+8vRfR=%5kkItCGUDAupx>%e!Hvcw2w4%0 zl1jh(8^)ncjfZNfN)tIQBM^B?qPeOT3?H`Awi*jG7wi+P!x8bc-RtkR{RtX$iKQBi z_ev{)wKsYu4cnfP)t@T&x|&rjS{d_MR=H?I&1y_WRx>G77-c|V@rZ<nM1+g2uO3RJ9f&YguoPzz&Zx%Ob3d}o zpFS(mVw%2kR;98zyTNp@0VLIDj#Ept?g|7-q0(@octisHv1CbR8<*0I=AMzpb8@6M zQKf=o=Ov}o4sD`IA3GrwVrZD&DL!~@>phmBlbYjJHOIp&=wF}NDhIKkt-msC(JzYO znk#-RNt@Mj&7;&>mTS0%X;I73y+db7j8siuX61I%au6R0?`un9))%hyRZB(g#Et{@6q=O~QrB!Q-PKjivRnhVWF4&b09kdQ{JXKMZ z5;BykWGEG530iB{XDC&tQeG;xR+UmRlv=AwYX5Wb5Hmk3V4sYvW~y4@=#*gjW@co? zRYoLzrnDv_t0YzFn+&C-45jWIQ)qhp1^c<#IcNnKjnuLS0|VC^)d4CGA;iC+uPBZ=9-O?r)RHG=l>8Nqnb@NTz;7-m8e#Gy^9{ z+7FtIkP0!W=RhA-|KKv+zNQT%l@d|@0u)KrU^gs(C4+`*{1!z?YNLo=ljAQ|> zK}HHGjkl0A<*D51SI{l3S%vmAc@U3CutCf^fMV^L%!J_Hw(e4C@uSehUe^Xnmlb9F zdr=Ot^dvmeWK{wzjkx~T2$LS#ATWfH8pk1$6Sy+^ca2DfP#BKFRm zx}|X^0ClS&Ng{P$5M4lBzlvw(G;?a%Fy1bxvoN47(w7ll5ct$W7=&7iT2f>q3c1y2 zhl0o()%^j2nbo4>J0ldgDy;h0&X8n7i`rRKzu{H&`Z3Q)%@Lew_bvw)q$(Cr6=$lf z7;2XNr#ud4HDqB$zf;-BQo^i7Vc%vL`c5_E_aeZTyb>$c zT6D%8G-?33JP`M(WoxaG2OLJu+01IVR%NHpaq; zTxRI7(g(AN`v;x4G#y_JRw7Pt{E0e}cRnr5R4oL{c_Jgzndt^@Q)OmGrge!Xe%7%L zxaVM=iNe;2<14LhiD^1f>I%P7jVw#5&GUhEJ*?UYuDUMRw8LtP{|K?nD_OW8 z-Wzxpb>JOU%}iI#R7R)wt$+g#HH5h?uCWnti)5u@c2p6^F>1TKW)UyYGiOhWv@)wI z*=4F+hOuB7bE;e%U`knH??5_;#}ZdF58{o87iTjB@iE`>7{!}|lxAwK!F8)N+5E6F^u;44eLt#4Ai4{R zTInR0li+1~PDFOROb>>e2nsVx(uxysOu<`PP1*%g`mK_-`CItQ;FdJ)V#@z7Z>BQt z3e>A#V7y|2#=Y6t;6Kvf(+fvW3}7~bNSKeea)RyXh$W$xq&xo{`BVZTp{x)=zev&m zqPUtXKm?1XR>C0(F4lrod1+D9sytC!R+1`2!SP(oSQG#89xd&@qZ$nT%lnSzWc(-Y zJBpqz%1e&YEYQDqrN%mGGX<~I)U{BL3yeVipF}x7RzXSoSThR};hEGMiYF>!Go&qx zD5I{uP$PGEMk7C zs$N~i^y(_6S64CpKdfSnp={PFjgA3^1{bk`$X^N#E@CW&92#82m`}zcHU~%;ue3yd zes!bvYeE4T^*o1u5(Q{a_K)cvF$CPbp!4f23p%&3Mbt(QTW5iOGDuTQ{010w1az>< zdoWR!pY7B@K}5njTD?K5<<+Lq%)oRBe@{Y%=8#Y{2_V?^l2DO^>X<+FmC(<21*#Dz zk-CorZ|hKkzvmVbC0TFe2t+i)!9d!T9Z&4lD?2AQ1blRBWG5F@KFUwR#XDm@`s_|R zcRorh;iT8*qqG)MQ;cS+Va$tRZSZ24;hgsGw|3gt=Cn~ZID^%QTn&D8_|#!J`cNPI)PYG! zANQ2S0USy0AX@ zsRPr+^!(Ie8Vsg=>hK1+*V6M-hjXn&R}DUO2-IvXJXzHX#?aseqbV5tWs)1A3(e|0 zG;9}I_J&moHu_q=XJG`psUmB8Wq9t)pO44f`O}^b6)3fx(0k%&q=Rq!FO!#nbh-UQXjmT)bnOiAH12=^JY>XyqVPVW>O!#nbg}z|NLT7 zU!yE++WKIkd?|0!YV0XUiS}|5#i$!?!nc~VKyEz@CjKfXG?cVA#3Dp z=}t-algP#kRzCWUA{(y^`RKcfY`hKNqaP%)@xlm?Nz(rzvZr~mz_?#T{&ml~i1PBf zxL<`HlhiNN7s#G-Y+?xKNMH?oJgA_ARzV%Jp?MU|y-c%w7Y z{!D=BAN8bvxs(1~&E~YvY0_ocOR_W=l?>{qf5MUexkb9%2UV^zj)VT5&m5}W2>kxL z$iffGC;u)gOe`ROa8%G*YJ2K;{%( zg+S9&I``jSeqOq3(GEhYMKDWE)+6AZvQ~O7YGoLstgH&uCJ4&b|6I0qhU^2#KB2r6 zJDu36xlhiqUoUD?Fnvj*M`TTBB(*lA6 zPlh597oJQ~cT<`o(ad;)S$+sAMuCtMPln>p*^vJbP=r*MAWluzA($Mb5+nqv>CMcV z#e&3|5f%)x2@0o?3Cjk_-vZPLCORyNl-VpCsjnpDInk_K;pziCym7}_7zTDp)1@%l&fZ!aS;Mid5 zLXbglDT9$-7;2Kk+NMhcl8)=_6OQPdf!M=3Lm=veE;cwMYn{*;RQi*BI!7Svm@dn@ z;zxD;H2!O_a4*dY;VV4ow08g>f=LNS8d^M{76&L9OqK_zj7Nt-7V^Y1o#}6ata<x1nV;x z>CHh(Qc!?kXNCY%2ZIa(_*e`)4@X4pj1f^buqj3N<+_6@I*$nSIgTOuk3Q_5P=5*x zUBbg^65hds3K6tNydb3d>BXYtGdz@h1NZ@t4o%u!@=Ryi0dbSmMG88VuAsU=$^37q zB|#~RN7eO1jK~lFM(kf@%j|PN5@R7BKtVh#4Pm<=`CnkydKWIck+IpES1 zjI@H;rWXfZQDxO3sHGCiJ=aM=;CW34?`dz(NHJ(%^H+^j6b?&Grz|cU1vs zcU|}%U8p7}_g6<%PA!5IHCd0~N{~u$Cr9AhGrF%EaQDE6;Q>PfJ`4@`Ff`!95Fot> zOfwYV!&NDro^FRUEd9Oz6dq*>=FQ$f-oHeJ5V|?~qrj8Du+L-aQsB^EVpSv~f#6^d zmV;@ISw1HNQ+taRfzE%55FO7=JLaI>FG4$`{5*u?(=$gP-(RA9;7M=%x{v`tFFfgm zNiQ51d@lA&0@eJYke|yspQd=yMR4e`0BQXa=;C`GO_WZFG~l3E6QkD`<9<<-Rij^o zgyxb))=RiO()UsC2)mK#C2l&>QP5Lks zT#8l`s_0F)wZK6K--@{ptZ4Psa9pd^T-KPC7~gL=uhHMJBcr=zLlsxzJEVMJihKVP zgUB%I5J#6Z~_yVBlPC*l-VtBJBIZg*#VDx-6>CJuGPfY_2>Lk znab+W51PhAHmAPOEGDwM^oP_$4!1sGE-5)ZdOKQ0tI6fnCof_mw@=@7DHC5r>QmIj zmznhqmywbui@x|uCh|t<$Et~Z(fSi>NXZ|g4`BPK)x>7iFW9Emzd$zq-ei&rX4ijU zLaAC`%O)7Soz(0(lt}kY;F=scmB`5cq~*+|MAk*Lsny~^3@-hW6F+f~7MQS!lh(H4 zI}@()6)6sf@`_Y2h3|EPNsojx$3}l7Hg(cLe*}fDbtEHeU6QJ%kTPNm%@yB5(F?eJ zRHX6v&4(g2?Z$MOT9h16mha!Sl&{j1l7(?*r%3DZg9Q|#Ki_%Rk&+4XS&`}a~odKc~{@Z<+bNQjXkNZAc&0kd&iQOkeHB_YYHobn2d(P07$N zn9h!!4=TW)d`J(}Lj1gwk~45ZqezWs@ja*|W!m<{=h=!>1l_7gPao5S4}GLajnta8 z?oG;uB7B$0&Fm*`r}ESd8L^k| zZum}Ak&5DcQ<3U?tCjqU)S?R2JQK-Y=4E=_8@{K{p>hiD`xPnqE#JPLR9je!^!tsN z{(cYX71eq#sz3`{)&3qn96wjrSE3aP=z*Bi1?a} zlox#W#Mo1$k$q^QKuuCY@cpAAwU?P5R)vNxsSTU@2Pv6qlG6MwwdRjtI(K8zZ|x@~ zF^=|I#nD0@?A$Th)Dhr!Clcwb4vo%gvqbEr@`{;MDA}87pIY9=C24LFHaSz^=nGLvoReti}G%j`%cZ$g0HVJ zsJ(n2Q+2!Mr{ut`>iB=Kij0xx`KCuyq_b+{^yCjBq<7eAE7If+Ogq#WoNqZZn6ZTJrq7(Yls{cy9QNV%{t$B=2rbmBIqbK$B;!94}j;mfIf0rxnH)TJ2B zb*;~ITt#vVJ4ktYj+A-Jm^Q4U{G7Rb7t*m16I54LY!lP_)Y)J0BGP`T-bAW(?Lf5} z{m7oFj@ZlVsQh#WGx)IvxjU16gV&5^XOW^yx+Cp#r=ULvVVa#B0C8`}& z9pu`HOb-}L(UINB?O&1UZw6C-y;`$`X`~#|QF5u;HCZ39KxN8O<{NeB)n7^76Y7+n zVkLb_1-|EcsGIqBb+q@6rCMlJinbch_v+bvuf!ReB8?tRZq<2Amv6w#dZ|fywN>hsi2zv;M^Qk)x#O`mzS4e`b2sCDPX;V89Hz&$PKJ?d)2~cVtc4*;tqI z;|7x3+{<^Lma6|Rp_Z%jBeL@}py=H0WM9X5GA5q7Ahpaw)7Mo)E$34vuj(x>g;Gna z&gqDel>fC6-$T{KdVV!>e~9J#ep|lxZKH03Ga~5{Kz2Fj;=VPW@|)$mjr6AC-rbj-3K50vXXs; zz-g>*pqNz(vV+8Etc1G3;?ZniL&RoGPu&!ei=jY*SbPE*JQInzVC#*Tz%k!PzRi_t zplm@Hs*ILfa_Ji<*SrI4vi!qdfJO55EYRC1o5qM>Il@Z*BH(|cHHE>>zxN9msN{%6 zVSeh)6{L6X`=C!0JFmjlGBNoLuq5#`4}O)KB3@uwQ!8U=Fb`J4t;fLi42gnvbTL$2 zSL{37uIj!XwG8Rvyl&N%Xn@w2OY+CJx>CcoqDG|;YcT$lGG8M=R?2?Gcez2gkz4r% z?eVT~wh@!dmW{}c=RXO z!$nI5Lh<7KNf4)r9Q5+Dg#SH=OGP0DTkFNF$+)*wl0|e|A?bDqwa7b#TIO9sE%a`o zmU@p+i@jH%#FFliVDW?1UxVvL#csz}Hi|o$rSodpoY8~oA&2bME`pwOADcGsNJf(d zDq(;sg`8~WuN!9N{4W*5@m=Ouws}QFN%OI^TnLNHnMja9WhLfpR zHyFGF^70(W_pIEXar8O42t(p)^3HrPeNWCt7x+Z}-p>{5saz>Lm@nlwFJb1bT%ZcD zPx8X8z=mpSb^wUijQt47i5kx$SevdHvIHVCG?N&mF4k;258@Jyhw<7v&GFVySg)D# zEwG)M20b9MOS7pgz)8(kR^pUq3Su#J0QtM@ZvjTP{kXzbx>p{AQmqm_aG#*87E2kj ztQDWigSAfR!(ncNPzJ!jM$wcZ<7V+qCWveity=+05(EB#NV2#u0qhVH7J;`@7?uFr zEqc*G>=Cx7Anp@m8X?pDA`s7BI4E#P#GYM{6QV~%{jULzie5dC({b@DzFAXF2(1nx zr^E|(ev0@x8N@T9kOknZD9xgs7cv*J3*!5ez%GlzT7WC!@=fSn6Qdb~T^IU!P`D*7 zj05quFka{UyDOr40KF%!GOm0e3T^`SP~?~d?6Ejq85&PSD3`crq7B>Px%e#xIlUBD z7+Ag%mAL|17x+z9Um+%YRM* zbFN&jF_`n@OH-h+Kwc6Buu!hS*mAM_X$i0;vSACrGWnNUATF0Lb9k+PUafBN50qwS?raYF9FyuuX+w}Kpx)(B8TPSQ=xZ6ew+;AG5N3T0LSIK zEcQt`XBY5J$~uA+k@i5^IIBUsHKG z)DLQAuM8~|rE zlRkiVLDQodBrj@C(WzX~eEkX5u4;JGq?fs`ITHu$ zfu_XYp#DfRrB$p$FA*S@L*-UqF-3cx3AH*RNAwFY{o zzDgyuyc2Pmb{(jA}qDMOUk^lWj6Oi_wq$|$Uu$_V8V8)2l9 z$Q5X`GC3L6#wbyk%}Tsdc@D5~N~gi#O;E;jmlHtq158$Wa}O~^nROc?Q`g5n%yd~0BHmEl}%=PT7;fVe=JFbax`lrnT;ih+jee!d5$JDLj&SB| zQFiG;-KONv2AL#f4i9;@EBU-A=?-Q6T<~@&;rSuCTe->!a6sv_3B-fS0`|`##k~Lu zhZTh}_7P=J42VaS#3W$H6+73s6H3ZrfRoD3odBm4e<){Mic)_d(9=rmm%tt=aS6a4 zE7|%3J7TUdTM8~#aoXD4e~r=>*PsZawbdA`jnN)n0PrSLk<0*ZGkr}z_Ab-5MX14t zOkLLqUp+G_+q0-YCQ2X23=p7Gwn&W9aP#7;Cu8!-#mp z`x5};3|bDK@rG9T-a(mQNa5V`2Ml+&LUf|RYX>^XP^d4!WW&@xAWkt%4**Lr)Tj={ zsfL!7P{L`3G9|&AZWvG)*i1uGD!?qm(&{ie+c2>YusMde*&s64P=^gT&(H|R*UEf@ zZ3K)hFr4Nhw9v4eyXr-L!6qvLV3eV!NRZ53+U`TD%3e)9`Qwc)JXm z8o+iNl)KQ|W0*?6ve!`84dOn-d^))UhJD2V4jQIBgvepT+5rGZ485{|c+~Jgg2FLF z`Jx~mH+1ng0eaF9^A7r_4E1J!nqn|bgXn34c?F1P49;c%=L~mQm>ULH1WIw!ps5Su zEyG%F({3A1Gv>Zycn}Wty9VPu5bqi4R{^+h*vfu=V3@!G{?Jf};ol=ePx2lcn&;&h zcw*ScXz;0_*4NN~W@u6q%;yHVA$TtgwHg7uG`-9;PSNV^I9&`bp3?CV!ry6S1hI$`kkuy-}Ykby>=WqRtt9U5Z z-#BgvL$`XjP9M#8*Pl|eqoHU#TDp{H8$M=5N|AqMaZv=GbR=XI^NiTE^mS{ zmHGkW$#zhjX#8#%3Ny*LyEBNBja!aFWQuX-_fSYMPUftdYAnN3nrX&r8YoORPHG2i zhVlD5keq4k(hbB}#t3Yel-b5ST>9r2&vKcYYrIegn17z}Ek)-WlNf+3Fd7SixzJdM zPI-~>Q6~@=8>5qu;S%G+R1lXMn-2lD+xTr=VEc`Wz5+OGJhTy#r;X2-K>dudeI}IR ztnnfvo^!@F-5_$_*oh}`7mY*KL*$Zi-ELr){l+G_AaljImFwhnV{4xE-Y^cOzq)CB z$R@pIOy;iow(+Y>$l{K10PVA!6BNgo%FY3AoGDjf z5Cf+CH$a?hy7CJor<%T`@10?)mmeatO?$b*%rk9fAh6K1nsMe5)6_nYTyCnl4Pd2d zGKc&c(=ATl^`;jlh-@<5_w#&bt7$e@kz~_2cF9gt5r(pROhp*^?>DXD2tH(5!Wtem zO*jthglXqkv{Q;{KG&kNrtUnnyI}gIAh64(mJ9?1# z^2k)F3Phfo2KadX^TPCq(c^1VeV$OfGgUnc?7b?-p?_;hX3eea5 zfosJ8a~sB>1I-GLV+NTAVx3Y3n}44LY>4@71z=;$Z5eQlH8+piDHc`xW_4GMfiMbh3HC7Fe5W?p__(JoB7v5SefG(;+W3 zUtb8Y$lUA;C@eOA+Y%zn%==eDWVw0q3+N@9b8_CVG&>m!t}+jK2yC^v3)kLt=2l^x zf9uV8c}l*)+>6J{8_fszL2;9LU~Y(RF>hovwbi_XBY&HDeHIXt%$WuNOE$-FgSOoq zrGU7@JoX&0o#uU$0d|>ZF#_0Yb~47bmft*+Yus(Kjbr_; zc{In|ee0Qj zxH+^ASIVuSYf}NXg)W)~UQ+1PERakN?Nu7s_Rt&gz;=ZCPjPFuGc=lBZ&#@062R`z z?V~~56RQ6b*7k;;nG4ZrKwc#Hl3L&EpNqxqGg;qwN;T3oo1`}p|q10z_{@Zu){7KPV3 z58~qR(7X^?68?$9a%p&YXJnBWey{<+s_=LgW_7rmG1t2Ax$M~W;lGZB-iGkPbp0E{ z571w14d1g13b(_*oe9Z1;S)+A2mjshO1x0LAO2tqz=QC&ykdJ8-aQ-|Pr_gDuXoqj?uzdOooC;jwn`K7@Dw5#VEZ0lKnJ z;dSXYQo}cL;`NEpZ~^J-k8pDN9$-lb0XoQXZy>;6OUR$l7-G4_E15V;dp7AXOS8Ms zA8xVb0~l#Z7zr@SGGr*Mjkeq_0Wj9mqz;JjmR}|U8)vza6UHW3<*ba?puQw^X_g<_t?imSUErKYjOX%e?gfb1bF4hU7d; zVgZOOuuS?M87{Q^@)y7&%g~NcSYkQOvA)z&wK~8u%i%@H@$@OQ|OS zt1Zp(k9}aUS#Ht6t+h<&G+b{fX#;bE<;^7UHd>1025+-vZ#44VV%fvHq^*{&40Dn! zF4i#F5~qXYcFPssIqbAl;{Jb^WdpI@mTPUn+h-}U3gCc+KjKvmT1L~Q9I{lL?T6?Q z%aD6u9<@~Ch&W~$&Utjg^5!{2Pg<-uk^L!4_c*gQ0JMXMz-W-7QmSYSx zFIZ+Y1@EFIcL;1>wrto9?25&+0U}o|PYwcHw@7qeH!R)RuQx4U=sEvxTMp*~^^T<@ zqn5jt$_y^=TO2$TcxY+Nxag53|9yx&w#;N?@YHhhJg{e$3EjYZZYjS5*h@?PV*sx# z&4+>b+LC7mz+20@ZBTe;In7b>-g5G1fRC1qJh%H~36VMfQY~M$giK#+R3>QjvkqgE z^taZe>mO+S-2sh3R?8NE!Pd^bKpbklN6!{#tx9Z|btLx}BdrtYXhvBFJ%X{()}q`y zjIpNFfyh|v_gsMDt!Jx4a-21R+v)LEH|K$Wf;EX}T>)#k)sUHJZSyk}Cs||PgE`r{ z_6&$qtoCUD305sf=Tz%54z?NA4&1=awBG&##97vw40C2%Crko1$6B)stjx9E?+q*S ztYJR@n{OS+eaQmr^sLICSZ?h* z3Sg!6DW}XTYx^PqtF2AxTGm+eegwAGI`tK>b=D(!VQjti$sT|W){4bI+-MziAL^T| zI|Bflt#26&Z?RVW4I*2u{!Wadw^=VSE=#hOY7CHU4J(Ht?yz=G0JhWmO4ACQ`W64aEf&*m%-E4mM+MgvEJPd?5wpo zTl>0zU9hgMf-+sSHr))7OV$@WzPoH4b^sz*tVI~NUbU9L3GABnP-945w{B{Q^4_rC zVMu-3T44hR+a2r7We~kQ+iJD}Z-}iShWr9v@L}vqGN2&-tqiN1vZ%x{X*M9#-EF9(-r{pFSUhbg6(CtUb6v~ z+uAZlU16KR)o`UP2hTcI*>rTJYi)Pf-0N(R6c5J!0F&Me(R@&|VOa*%~qoJ!$L1MdFlg^>u(0Tlp3MXKW1%1Dv%@=0H4W zo6GTZ-j>Q(;DW9FE114$i(86}uGnVv2JfoPpYROunr%`K$XvIrKMmVAZQIySw`}2) zLA-5S{Se@eE!%cTrrN&bQq{-avp=l$wb!2qVn2H^uDb*6HFyR#$iCYQD}(KIIjV=) zhti`BwU-pI8D~GU9oR7YQzx*I_C)_dprh;!j>6h#yHo@kW9 z825jt?4P)!PqF`$2jHxIEYHc#*>{ykVb0rsYzgdwy$MJ6Mf->~z%JRZGw8W&ug;C% zHTyJ9fa~@pe;~sf_LJQ}ylF4m7m~N^@vULyw!P6G5V>PtJ_I87?b$nl_rP8v89e_( z`xo@&kL<@1A@kUNm=XFDdtqky)c%JD8ZYeLjlf>ohZY3(%07od_-lLp=Kyc)wL63O z)*hDvy?6Gk{5JBVy}cEs_++2;GelDD#_izsajf|kSbxXY94rGI4NE{|px^P`37~@< zZ$<(g?C6~f`a>KxHtA4DJRQbxN0Dd1MmRjLVP>RbPXxdy$I>Uz8|^3&1~A5ff3O=v z*0DbflH(l-Eg&+%@vtm-0Y^8MX`N7Z;>{vY=3R4{Z?L0G_=J4^fZMq|Z zi}?)4WO~$@joxv26*7u&iX!dRO<~ zNIrHf=16$rIMp23Q%5ML(KAP#YY=(v=r@t`?}ei}{rXGCkwk!3j(l4HUOQ^B@839* zH$mgABOi~A-#KRf0OET`jb$+U!BK@#@JGi@I-yUFzZgcQI=0~Z52cUub_MYIJI!3b z1~?zL0yfZDzZH0coRRfG9PFGC$@OoD^KlJOhdLYdMiz0-FZprAFlXK0Av4_B$qsCU zb4v+`jC8uYpfIDHMy@rZoyXk3#yGn*h2B`_`kf%gI~OhnHqKd-^Jlzs{1*_J;M{&0 z>H+7}??IgCjJN=iNzQs-N|=9>ohJ706lY`Zt`eMGxyVd)9${!b&3WM&j81p{!r*d- zb2~q>nCbks3y8CvM()pNJEI>0%yYW8f;ZoJJPCRWoNs1BWTDfp?n|7_euMgA=L$N5 zCC*T;085=`d57m;=5$6uf4TEDOOfa_M*ysFHarZCmCnCq2Uz9I(+Z-ior5nya*gw7 zO@Ot|1)M7DoWF3PU+>gyg2)DE-CqGVIwRR!o1FW2Ik4GTo=2-&oYO7fZFN?x2(ZmL zj~+b9?<_;-m+ag>07kbvf90aO!#S-yz)t6^V*tCH2^%1?+u5}cuzgPdkFd7iIho7$ z0q46r;2m^+zXjMKr)d{>hn*cxf_TJf%nESSS$ZD8F{kkkM2O9J}xaMsCBe3hvdG`QrI4jnJ&7009QINdlEaqppa@(2d z5YRi$*W51LbtbQt7 zKly;YaJFd#k(bWSoUpH)YtKURwKJC6z&Fl3y#U@i6Bj|@owH1So};{XzF<`O!MWr| zU>}`*6QJ?Q*~$RXRA(`+HGNz?IPv{XjcqRbH=zv6^G1N zmz9+n?@DCVCb)7lUI@4j@vv^9tKdn9OmY2G6(GSitQaJxx&jg4O>>q01;m-IJ&YP= zxxR`7HrqA(DXh$KEvySL-<3EA#09Qx7y^D}p(}AavR~w~F`!!N>dVWrWv+4Afh~7A zE5J;mEBhsYRj$h1>aBK7z5K@mZ0{VMh_`wmzy6fyz@b7Tv|Hjt1jgiC|+}okxO=H(Wah z0NiwiGPJ(sx^Wo1+b%gPY~OVi;g0Q|YhFFvXFCaR?J(Rpwgh=pRnJtPSV z6Wzbk!A)|P7z8lcU2qeKQ{00h0TSFRjzDsnyJSw-obL980Gr{y%5Y((TgyG=Ecf>( zdHy-uU6OIxJa;n<%*=PYS^_L^A3%7fEOtMkD_r7^YXfYlJJJDcnR^-=f4O^QG{8!C zYYDd3xc4)PUGI+OX<4#+2RHQF-A|m*+u=4m0=Cor<|wdT?sDw&-R^ru`Tf%#cMMPB z_qv}&qcHp2Pg%A7?!t5i2i$fZT^w|8=EObZ?lBOOhuz5xjgPo_kPVi8?(ZsVk9mA9ewp_slyB{R^JnF~BZ*^v{vgCC}0(P`vCZ{|?v{ z&&HboS3Tk^h}S%=Vt`%utp5haZg__Ffci~Ot#jbr@-*N$zUvv?54?Mxh#CO*J@2qF z_bU%P4;W!T^b|<~c;p$G31%L9K8}VAek$G*icdX7tN_nEZMcp-_q^cfe&Jad1MH=z zAh%<$JTIGq_}a69k?$r;|2)sV$Z?@-RFS>6$CfX(){<4M3A zuk$&ux!$J{P?+Zps{^pWJ2(-%h29U03Kw}Ras682_0RJFUFtp0yVPY~e=UGS?+ix$ zE4=TyC0^-$k{iU;-ihr%T<0}!23YT{&0u(g*RTv`HhSksklf@wJ`u#tUIX_YTf8?X zqZC`cVT|#Ty!UQFFWGyU8@}z{BX)qD-Z+00WOjMa&|B~J?qd!2dgbcS*ynvmPrTpT zj-&gacPJy(Bi`|^p>WjOz9vMDd7Z5Gaqpwvz)pCZ@YL(1x5EQ~Q{HCzpqJtut^hmZ zZOi(e^|qxmIOnZe1mJ>qKn3pqE_&M@0J!8;W&vFBHsNw~)%)oRY+v*K^gTpxc)K!U zz3nYK7`!{)+XB41-kYhw?s?bIz2En)u7M06cpLL%>!J6XxybO5_cs^xo_Le9gZI?i zdN;r`?=i-KFTAcsoPRI9-`|18EAQSt0B^is6@az3-mwh3-+9GdU?02_jzc5WJM$qx zA77Kz0DXP>Uy(&WpJN;J`upnSgX92Toe&TQ`p(w_Hpmx05nza~eRdFs`VR20JkGb_ zPk`aRJ~+noD~31FN7=Y zB;RnZc$0l0<$z7`dAQaj_+BJJa;mTLbChYC?=j=C8NNM@QHq(qlAN%!e2b?+WR7p@ zOaT8}UjfR@^JR4dEbw*K0xa}>nhnuKJ_iqjmiS)VfGzhadhk~I@-yyT;~QNPS*-UJ z-SLfY0C3;eiGA?MmoyRJwXbX>z#Cu13jl9@ z!x?P7^DX1BeD5pO9M~t{2L@TGz5y0seIjdfb?6(piLae*SM4$WBemN^^JFW$#_s`(Dbk%rjFfk223Rt&c~R zTDHg~A}Gj>%8dsga;V%Qm!ceAAR;QD9EyOTAc`oz*Yy4M2QOykHS>Plsxmm8{ov8dk9eN)e`-wSp4fD=Z$>JXcvC1%Yyn_3?L*uCqF+gsEjY zP-T3BwNMGaI#zN6C^uQ^zhJw?YNNJ91FQT7D7RT%3!uEidNKjZCf0dZT7S(f)u)Jd zkM-dQXz#Q7Dd%csou%*7wy{>x6liBHUJrP{`Yi#<4%YiCAU$GzcLW1=vMwA&&@PrI zbxaFs#FwzWW=Vd7$1uyh1K`FEq1e0FJ7|e|uzys8;>j+N<6^wn_Isi9 zW@lxA;=^{Orsy8_M-pv@VX#or7qJYzhZI80=ZinA7b}(J&adref zrX=Qrqf?ezdzf^WBC0QrgwijefV>eNsES+8K04b9_L=XKG``hv0oMyYz zwsnSmkn;2_c1}B(+3a$<=mLEnqW3;eM9AbSj{bZLNl~h|Ss$ zC}zJ$iC78So^s++_7Phg1}tN*bq2MZ{qQ$vFS4Ipf^>;}JQ)L4uqS&#S;_X_g(0fg zs}4eWne9d+U157gLwS|Wr?ci7yLTUK*V%~@NHy%Cy@+yyEq4Iav2&Dwo9s)I0rl)& zYRKJUkMy#^qk-*5xz8Q;U@(H-W&cEHdLw%z8^ePnyhu4{m8n7xJi0=qbspF!!)nbQc#gVXQ;;K@<30bU#@Y~=X&FP!J25Zi}S zMRn&MPA_F}z8oL=BII692(>)?ILWkk`*Wu6fpR~GM@3Qq=f)e50y#k+0uFHA{vCcn zoNY0Xf;owF(uQ)ro&jYTr-YtsIH!er4H2B!XxJh-Ge-h!2RVnQLmS1he1@RWoDIhz z#c&$vXpiN5PWK{?lT0anJST8IAd%BE9m*pd&t338%DGQd?-(bWY{xmi%P>+BXBQn~ zDV)?+zzNPHdK;0-d6SN@lbp66;g`ngY63Ie#u@!BFq6|wv-=dM^KEcWb6%W*@(jmF zX=oN_{^x*f4#x+O%lU{NOdcnN)@?rL8YKaRoMQTD#hlkOAeC{P2LR=qf2?3ua7K)P zt&%gc3d&l}3VI?pIFCL6)NyQ^R^YnpIaiOvc#C6R18M{37ER0BoUxQ5-{qw4gw)7M zqtvU3GerBwJ&u0_9PV=_Q_j`GDWm+em1F-7Y;7DZ#eBe-YXLmu+@p7G9h~`*aDT*c zJO*VKhqVX;b#tO@DG(oXc=TXx{|@zNpEaU0#4!;VeQV2g5`%mKtY-lOax z!ExzdU>6$6iN3rsEhT;EbdFAMnd^+(er}w&NSQ zwEl7&+gHLr*KrvOjs=dt(MLPyIPN!i6ges*@L`G_$K=6Q;<%LwD0S@hg|f`?co~@I z9UbYL_!k{-I>2_xQM?0Eg(K4iwn|4+IiSk%KAnx#j=A()FFRfyi{Y<0ijni!9IiVG zDC@Z4_*A{|aTZ1<>Otpya>TaW8$<!sf{hSPlm-?#_1s-rSdD^Wk283fmrT?-oSyr?^7anfcwjbumy3?K7ulYn?DlDP_CG^k1+1&pJ9vO4pQSKlI!IT=`i<0 z7YrE19X%V&Xzq+&NHN^hEs$cl(l0=XgFwD~1)*H8x{k!utJj&PHyJ9(75 zrVEb8xXX?Jj&q+JfHH|&OKD0nS5My;Nac=dfbArA_#z;UtNax~)494IA!TqIVnNB| zX4BzxitA3z$40Fe$)eI7I&jSPofaBn)|^tI9%oiCsVO=g_~%E$2Bhg6+8}3?qvp~TCNuzzc;vForCfw_n9Z6 z)pO_4&ep(9pxo&;_v$W4cer;bb-K%)%K4RRO%j9@Rh4LhNH#l7_!%GcbMWr*U&^Bo0g7cW2scXwX7 zGxc9Qcvos5dhr(CgmE`-#LuAm@NUu(y@%IF&tNZa)kZ|~dRhB<7ibF) z;B8$Fzd&ArHyna^iA$jj}nO zjOGo|E)dHb$OXjl7SV)==N$+EB=Yil;eUkJ*8ZAcOY-y}Zff)olTsvhhYO0p~PtJUO1>)zJgW z;=Q7TD4Um;24xQKR5&1)cjo|X1-zMb0-WRhMHP7oZz0`;^SlyjYh2`^WsY5s_f<9A zFZ2Gl7!KEXt#NRu|U}v4OYy8#vzP z{g4E>!`nm|Nh8k`0ZJ2({^!OH&Ag{$0rz>|Qkv7kyQ4;wR^D$k%i4I;XgRd=zM?Jk z0q+MoKpycfhyk6v8Zm5LJZ>xex_NyzH;9jU&htU-;YCoiCp=9%!aU`D8V-*>UJ@J7 z&zn05%xApOT)+S?Obz8AZxrp89!?)qZ^zRqgmwWhC--xZe4OsmuD8d@ZyOwZokrip z2z#Bx^iIUjX}uq8{!V|~vOyc*G=_@rAg5nvLJD=_3<4sYE~H|JLrz=r5G~s23O)2V zr=kmhM5lR_KBqdZng>XC>Rk_XVfp3t%jFO8p-kFFJisow!R*LsS`8IDJ7yR+ZBm_|M^r zQ{h_Jt~zDVEV<^?F#*zbClBg&*Emh3k5udAu>^iMoN9l8L!HxVIw|X&?mmX{mJ^@4 zw+&7u)2aTuGFq4ea>qdMD*??_)L-pzk96_O8sE+qkb z_^X~m+RK020-GQIIpt&i{7r8I_VIn`f$rx|N`Vx>Z#xf%K>jl71RUU}Yykw>_?2PM z2J^pu3)C?FIwPpz{Jkj%8p*GxP5U7Ky|a)G@k@=6qWE?1LW<^hdFY1SMj<3{Wgd)DlHV3%K81&_`JvuegLV0{~`L#4wd{v)bXt1e^LZxHGk;>NSFD`s}S@mf9M@Z*Z5U; zz`V{+q#eA5pK=CKYWa)Dz@d)6%oCKG{O^KnFxK;no1neLf0qqT178vkc*70;F#_9YC}RcQG*Y}kTMkGNY@muFQLu()_YpyZ7nDZ@ zGb-VCT=4$iP$mghPzsbRC|?aJMR19-$rA!8O^B0%Flzm!3EC~7qzek?0y1m@aT%zY zf=XJIrv;9GL3>7E$_Hc#j?9HETkwjOOpZWGmzF1BQIV7{h@zHOfgp({={dnPx)()) zPWsYev7jyvQi)(PU2mzNn2xyff>V@rTo80nTcKPqa{=5h3T(O#;3YvVHJT~~DfDin zN)SPnTeTp9PVvivqJN>hBG?lGxF)#p1pe0rwHp96f;3mS*9scDVY?xiy9{tsu#nQ% zdO;lJ>9+(nD!Cd2qc%c$Tfn8qbXPEo_QyuS2%9&IO@cd=Q#T80>7(5f1kXUw7QrgY zi&_QIiGVi2%X%;$3Qo|;)gcI23h9wxGu7^$g7Kfiy-P564497v6?B^Q2tK5H(JNR_ zbLNTQ0HsGy1wS?5D*6TVf53KlCV2HO`E-1-UJJ%oZ}fTu8Y1Z-ZyP#Sl)FqKXYAEA}X z^gY70e}m#DOzwouUpR6+oqzj;Q;tEqU+6;{Yk+V7iHgGk;d}8=1_>wbgk!KUxg5$6 zVHagIp~5+|sKbTT#EcNy=#YsN?)nRGP?*#X+acl1K|qwS<_9=L3oTT8#0VSQ0kOjC zO|ZoY+uZ2=dxG%xuW(Eh=6wV>B20`292E*~!SR^z2928}ET>LFvXK1&{8NPgu7z|` zc!z3-G~tzxA*Bm9bwJ7xHe803Df~4P?x%(L1G$*a!UFnQN0u<09(J}cfD*VIp}-4Z z%M-@BK$|c8_b@K1K=|Ptz*%9`c^C_Yrwbqz2}4hUQY_3|hiE0juuXtcq1&5KmI?i5 zAoc~}sPj;k3n$R<7lj?`;deWVfwKH!D@B9N>y|BRqxFz(b-K#;EKndV&;g58K?+V9x0UCvQ zw0xU{Eb1CJ3!|xKxhM3eEvZFVa|%+c(0Uu8+k`)V1!x!A*mwvI4}^WL;B*M@QUdr$ z*mxAsDJ-9aFkQkVnq}R>Y#O&mm^=ZtUg5%vP(BfE`T&%E;lMh;GhuiGf(;03>E^!> z{!GoBLE&2su)P!()4G2pe49$dVPO%?PB&43jTZGTQ4!5qcTpL2!aYO-28`q-T0Ra` zZ&BY>z;4lJ*8o1E$EmRG5q(G-@?Oy;n)!aBojr)=FS<;H>OPT}lEXmJdLO_6ksWn$ zf<%WmLkbbyrl%Dux=U$Bm?-m4O4cGoQ%^#BQ1mnHbB9FR=nc(bQ3-vfDAALRfM`)1 z9g49c3AH2RL_2SS5-)0*0Z0&S7r>S%dYd{IM@2e+D36JHX@5K}@}M*{Nz}gtwi6;Q zO{-Lq<{*?OMP5pJ|CcW6q6L&8YT6G@rfA{=7*C6yQP3>WT542fi=1e?%n^BChJUVT zVl5y~)J^lMK-4)8a8?wlgR)Tc5moZ%MCZ3bDiVD<5ur;&U(kvx6@|J&Stfdux>n~! zei3y3T@*$B0nR1S_(L#Oh*GHXsubNLWtAxSYdBVm-lEj&vMA^*Y}Z7Y^hB?V_&iW* zMActFsulgz2e={n>ohK=PLxf1(M?e)^?e#d!HdDXEvo(plslre^bb7linJb3+8RaW z)L?EBZTS+$W|22NgBH>I+X1bj;&q_5iEL|NYZoagFM1%#R%3{Vq7UdbMu+H{8<>wo z?w>*G6wRkzVYkSEx>k=xbss_5BeIr)(krT*4!dDE@%<&;#Nl(O?FN?WwyFEH0l{whe} z;uLzI5#lM---;A3DuMe!@eF!Chs0wRL3vpGrj2G|l=xfPBBI4l=&8kuPX$65CuTN* z8ZVw!25N$MHWkr{;w_J%JR&x5;BZvzUJT|j@zsY=9v4r^fHGNpjfE&F;*V)xJ|VuB z2uKynZi8}C%%*H5P3$THq>FF=O#ROcaSt1iDXykW>WuiMCyZHQGrj507O&UCKSwP7 z1e9E{$7@J=;wT{?Uz}-zLxK2nDl^WCzoBN|Iq`@CfFkiMXV{9xs&Ygr5zCw)m5Q}e zK$&(re;unh-VOA6CP?Rvfhf(hc!F>crKFAN&mEO|fY_nDyedv{~O0 z&r5p)>#srVP5!sVEPGHJ#br^Dn#4_Xo-~WkMS^-yyn7#P_r)31DrphBQ~KK~p86i3 zO&tCspk2IdA};2kxXcOAA%68Ol#j&IUP0;<_fdV&CGM3WX16$j8Ul~S4;R8_>k(fp zMC@L%V*U%0(-L=+gj0&SOCq2=&|UINIG7%i{;#3*v`OSD_ANmx2k~{Ry+F!DWdYt>was^2#2z1j%>J_)JG6TVg>uD#`f{(lNOUmixPmQFj0KsY{ z`QxFyAvs@7-~X(W1kp^qCCQ-6Z;-qn47e?^3xo2GT|` z$M?@9U;80Ux5SOM*~gM_4X8bm8I+CoNHPFC=y6VH=c)7s2nPebZ9YUoo91IaAZKDf~kTz26 zNa@{Zz(MKMNKg(-6P^R2q{0+HtTc++841$pbWn~+gJU5bwMlP|gLq83{d0s#l6rmy zNR~$LzNmCBPRxW*;Zb^l7uJds--n@Q~G8G9O|Wp)llA+mVO3hqx2YEMU!-D z0-#xX_(-YND<|Gfj{6X{4wdY($_M#7;_ zI;I>W^h=qvbw86Dv{e7Ske;Gbcu=~2Ea0Vd4NZ(8Y0KAuS5gZ#d|pdCX~P(n9;af~ zO{U2M?2;LOgUwww!4pgm*^bGec*;Jm0C>q7CIEb7LzFn~k!_-5!B>_!gz@&uK5T;0 zPZqzM)}O!ZP#LKEWJy&p?w6HYU<{CbPOX|i*~ib|ctG~I9s>r+5*;7~%l5~C86q2& zKp86A{|2NmS>zwEh0C^3iW?#OgYwTv*`Koz`k*X_cH2WT{7*VCEoCR^8AQp(qSmoF zM9a3(ERBx1%~ta>zu z1YDH$mH;lvKI?}^h3rKuq$*jl8)8?>I%(xxma*udzbgCYPbja+oN0f(F8li=l(n+I zI-#tSrBfPtQ-=SQ9j2vh5$$>nvLjUS-Ik5-L6k;W3w?-Yn`})v#Cx(sj^NyvDJb1& zmE~ej_^<^A*q%}1_xhH{U54IM{&<#RFte)3)mV(yn;i-8mleW7Ex#tOJBjxY^1nHpMt`5>+dCWaPv^<)+ z`!Vu~v^Zkr?eu)&<=g)UNRTrtaWO~bGbmj@F0buE%p`f{a@dmPm+3-J$Zu17Ema;k z36zuaW3h3e|Q$u>+;!joYlxZDBZ7E1Mr}y`r@-Er~d*s(i*(?7{1b8BUM0L$m`D!I%_sOFh5wu_KW`**Z zJcPOv1M=z(ke)UK)7@9c4#A< z%cXEU=)9Jeag_6)ln2H+zn~A3=-fvc$uZ~c0dPom_J{*B)!AMQTe|bcSSU|9H_?ld zEazEYfSK>i6u?&K97|bKhY79DO^oogveyWzZU zCH(81B{als=atxJ9U7erDk0r-?tTjYR_DjmIeg$e`%OrXoE_$a((NpG7g2hh=TnB= z=lr$~(tvXgCBuWx(KI_>IXj0!a&tLu^M&Z)qAP^x66{CAb_HLP~UTrp)b#OUy>VQI}3CgpRq` zsI73^rIgCYWS2#s!j|eX`#HUTNOP&V3vIefGA+Xlm%pw6GF>cm9G!AG#e?H%muo+P zlI3#Ye}G&UN80T3UF`3GQsB}``Sw{Cf7+)CUDWi#@tn&sKTwKXIw{>KaVg4!^1RD# zS18L}Do=oU(Pe!Woqv~Hg2EA|!etpbR=SLO4Ua09PwB+G?BYwu{xz4ewC-zMe(?s> zx`;(^xZ%Rhg+rZ-7nQa*T{e6NTfNIBI^i2!R?^OL*X0o%3r#Koi$Q62`D6(k?zz0A z%J{xZY7YJTzZRD!YBILEY^7=4?xL>*wZrAFR7jmJVgGfOT}o-Tbh`}GN`35dm|BKC zF4M@?>oWa1+@HD}o(hL&F1u-MK6lwZ7VyGlsR0gyE^EdEUb+}Z!*9st#xB@ix$u19 zZX0&_We@y46|wy=dMi$@gS1=GPKTS1f^`eh9>rtIoqQF8lr-&CyfY6rf5mt7QX@d| z=`=(;ptyYv${@uQS5Sf#RZfsX6p!5zGgL7v2U3{AMu&KWBA$|uLyErzkfLmg553_Z ztw;}nHbxP#9NJigm|juFDQ5Np;uUKtt4ySSD~g$<7`+CNq^S53BPA=Qj{>A9Vra^q zP>h=mNL6g1XMa+W{S_cxG4wYmrxf?6Ksuv1Fb|Y0h2nRNm#wh#kIMv=LIZ!bJ7?Wkt+nD6c3I1|VHk{4yKTHN}UvF>t)D*hq`BR&jL{M!Kn(PzboC zh@)zyLGd+ZLbny0Low1F#ZOP+cvo@B3Wr8TbsLn;iqTb|v?wN1v!G2eOtyAKwmp~+ z6hj?=hl*LWy>=+78Uc?K)&MBG6gjapOM4W#_c78F#l#%IQ$=SEqV*}_R>0P;=(z#q zGsV^q;5eWdNzeC%A}a#6A%%Y~l&=-9qX5H-9NJOcl*zQc?^0Gd1KgE`Ct>qYE~NV0 zOQ~D}rH^vc2N>R0X}&?{-(KYcTHAigE3~!vEC2O|ai4Mw6*T*mzIy?IN;|q2!OCwb zdk9tD{Sa3Xro2!6rf}u^C4dNJ6cwD2%6>YU4=Ssk!tb!Mi3w(m^8R$hj8i5@1LBp3 z6rdz1J=atInW)@F2ksH)-;RsKZz=W(TD7a&FX`z6FqRj#9K>7=rdw*EBbxG+Gv za@%nzGn6aogwIq;D7`wZ{E&*#Y~>b8@^Y2qJ^K#-l2NNJwD!b^FOqJ4&zVuYBtT_aa%gPe^6jzi_w}Wz3*&YbxHRU0C zb#+~d`WRc1vXa(jow8#AC^wbsDxj=aW|qV6mU0UR(4c%m%-hN|S^#%!N=Hhl?kZ<} z0mo(~Ybm1LQyQpscwcFzUVn?SlXBBm<+clu+LXLuFx!=mn;|_=cD)Dbp>iW_!5zx` zN5Oog97TzCr?QUrqAq3RS_JD>&Zl(!u`*&TC_Tyv4?uaMv}ID}_f(leXI!81n?IrL zS9(-KIiPH&4dc1;m=?Ad%6;T9sFeK%MO7J68muLr%RP|7bdr;N! z8<>Yx?GIs#QWa!@8Ldhi0fi)g0odd zM=0}D=P63Q%JJNPfB!|rO@??@wQVbmMXJ0CNX4q*UAX)b)m%CjN>zKP1%3fH7?g6= zcQl_bs#HvjbV>CdL4_*%b117-Cdv~ot47l@yrSCD4!EvbOIu-$>YE9OQmgutj)fbl zK;))2hkDgz5kA^2)wMl<2Gto_jki?}G2k?+yr~9gQiZ<-TeB+rbHF`S{489>ebxRh zFxymb(UH-v`izCEc%WjJ^BOgU8+y1jO$i48vwnkk313OiE0OZ1Jd?X zb(0R&K2@LtU_g~i%i+1ooxV@&159`IH_vb} z9_lS(fTz0458$PK@4pmPJ!Tpl_NdjJQ2MIFmcU`J`q6Pn`_v03L)vdsXC>fj0@Rym zdIhTIP-PITK1$0tMD6qyMhaCcOCUw4C(>pgsop|q*+KOn1wEwxjb?7N`oTd^V$=b2 z{>7?4rXn^@z2sfM5%rD+*p922M=(N?Iw}cLvic3m15?zt5A2{lpomusr{(ony!wa+$lqS;1npC>iyJQIi>C@gYC3>RwCexx`l3OmU_(laL-lGy9r93 zdg)uR<*VP0#Z?rjd+14?RVR%GrBMAZEu3>|rmYK{BK7w95R28x^qtrewTRC2Qnhgn zJj&FaR9~D|e@M^%g1XxU{^e?AC>$!(+&)N^YFQkjRH@^?gjB7b`yA3`^_nA)uBcB1 zBg$3v*OXseQ-3-DxUPOORWe@2HzJP~KJVX}}PTYLz#nCiPKD>6_JOXf@tbhf_UsU%iVOpDpTzSqRpu=F&U; zHub{2^F2B%vcREXG*)uX6f?@{vuVe3^- zN`vx=x`r0zQ*{)ru|Bm&GbsJ)0P4v;Q}@z-F`(X*4u|LJZ!Q2{s8=%pgX-nU@O!Dg zj1}uJr2ZR?Je$KS^%y!vU#mZ%{^_tfht5_v&2N;0?9%L^w^i<%SsMW!n*QS$!c#MD zEo@#I`#F%jHCt(i*{xYmx70_&+zx4vX2T9pd^J;wpxmq3NOgsu<{Ulj{hH;}*b312 zEQie&sBx$M_5n@%ARtIHi3bSQT+zZKM00`?n^4VNI-J5Z3G`sXHOuJ~kI?8XU`A>Z z=%wI6&0pVuc}Vk=(v-uREUNaSG+)p%iq-_Y1BV#R+th}M)lA(Ch||1BrFgte^La5a zL9^o;AW@S(0>&d6{oimrs*xuGj%iNnp**g+mV}^58V>anlQkbjVB8eV0v41fG~XnH zlB%hj2V1&EN2@VIGnZCsrbbMA?;Yax^<= zC(qT47yva-vpp1?e2tD8p9Px1G*HfJn7@EhsQKVJDCacq(-SGuT%*-otoe5`m?awQ zgjheCS+TH{Y5rk@d0ulHyS2jw&5nH7$~BdA5M0zq{yYCJX~Mrk>!F;UI)YJLbqiMSju~!ql51c0&cdC;5HDkVq|1(W91JZzIG~LAK znz?*XUTAL6EE&{z(DZt#VK)MXH1AFa<&`G;B`B{oVyfSUHTASTyJ=-h0K2r#^g!LU zZ+`}N5ABBClz)0^*VB6sFKr&Z6ZO^>_k*)rD^COXXgelCxkvj29bmrNQ=NdlS~fMr z{j@TgCH~r#=it6iTec0#{n}|6xR?NKLL_W~+B>w}9nc!63=Go#Neebu`wbn6VcIcC zu-U@3wUKa)(9WU~BvRW<%lM%7+D<4BX^({=_F=8tB1lo%&InMVwZl_EiP5g|f-+V+ zi(X5|X+3D4ir0SA17(7?f=cN`?baqZ9MNtpfpkbUqVXLI@SQvwQ1De%h1002AG-J-{ydFN;_sB zq|@53=fZYITTusPj&=-9wp{IX+8^_@_h?7V*G~PfGpvoIT>PxoR{l1yP`jLN#yPE! zUZxajZ&5*0tgZhRP@*k)8_`O&r>N8`({80tabCNcGPn!c2Q=l&wT;cNUDSR~S$l&z(pR+6w&U=)syz_{@tW4EgU5C4r*sh1 zXrC;EN3FJxlJFbaeI_XDv`49RaZ~%A9<~PUeX50TYnc-v-O)Nz4sus}=4~(=wMwdT znzZQ~Fha9-1>KT+S_b9m_q9vL!LLQTC7e#KR;`IRZQ7kwk+f^)xB?z%5B>qGnF zu=b!ABsX0sZ5z9EgY?4QT{oNpriU)|3rL>2ztchS(seapByZhm+WL3vo?d~{M;A?x zX^$>w1KfReEA~W->TZ`p8>jpH62ipm7Tts`LFXq1B>yA_5SD*{81m&!5DV>`|y0eR5E7tja30sNoA=Q7Sx>-~im+5RhPQdfJzF!dS zg6=e>Zsoc^EZ|(!y&eh2OS;RnMk;hQl<-#ST9}ZkbP^M!YTZm))R%QhRHI$dm5Jbf zRp(a&$~B!b2$bu(E^4*Z==$zKS*u${%o{o2bA zvR#)+EtUtmFIE8_>NYL_bm$Ieg7QcgJc-s{r!KS?Lv-ohq`j3B8M@qn|`(oVRq@0TETJG&)xu=mww`# zki7MG|I79Ct&|}6=uIcU+@t@A>Rn%b1skwe{{iJbe)^}cK=IdyQ@y)SKSUYhetlUy z90K&8R$!n&y%!!R{{F5$jt+z%y)W&k!TOo>L_+i*|BEo8`ck@IVS2as0O9(?FeoGR zhvf(psb5VM!9o4u>3~CeDYf?w>sx4EMCm`S0YvN7lu^X!KSig6&E2#@3X&1z7S^i@%?CF{?apiI$+P#SwezhEq+RQ=c= zKsl-R6hfJ%4?YKGy1qIaQ8M&FT-Y-8SyYal(%)VM%4z*M+ECBv-+lzh($B90CEKPy zlMhag{x`~4bM<#Z!YRbJHd8Iuc3KSq2Em1!AiX?j+%W{de?{0 zR_o8x*I+K|cTkOZMZb9j{IBY}DP_E-H&Mssy8id|aH!Gm-U+DH+bE~Lq4%Hzq)y*n zil8_3t|^e}^>b*Oy`}g52$Tl>*c*s>TkofMZhHhmFwpW5|oDpnro&r${RNFPM^uv7201X7oN z^={a@_5Vo0e5{}IA(TD(QwyN%)qnmOq$m2}pKKW7ss8hi;n=6YTmY$G?^^`UGyUne z!5PqRrY`$){m!ePywJz<0E7CD(O|yR&%Xf5kbWD@$5;BF=q9|@=hr|vtbery&{Al3!|(sX=3ywH^T5+E>j|BIUWVf3aP&5ek$}3}z?z3>K89AB!FvqFn?Ut7 zG!Dbj-*6@y(mq2-3T*og1OI>-U@-24d!XT>Ka>Xyd#L9ZWbj@CDcBH1`+11reHt*- z;7L;;%&_YlP{IxKmcN1Z7hyR0CpeJ?Um=VK4YhuNLxy8iW*j!GpmQk7(E0{Eq79C; z`@|SBj8MiJ&e1LqXYitpBHnO<1zUn)@;8tY4NrBrrXz+6v!Fa`aQyG6GOVT3|G1%* z%D^N;kp>i7vSGt{a8eBG=)gW{$kf7^W|&23L%N~49a4rtOx>JJ!%!zYP8mKI0!|xr z^k(ObA%u1oH*it_ z7Y+7w^DY@uJ7B9Y3{e?aX?Tl{j4H#5nFw8N7;zEGtA-D@0x=jzLdP^sb?; z1I$LlPti{UACty&HJHoC+%!!g<^+6_GV8q5O& zClbbohTaN5hhdok9*+z==$bkW%2{A`8GO=U>ozdy$arihpv&$t#7H1LHEetiW}jhf zHkAE_oCA=a8JZr!HelGv#|Y02znlfUFzo%461hP`?HO=h8s_eWG-L=9f%?jju@*zT zHf-7m7&e@xzPg*y-vy4lj6WTMgS*jZJ0uUIgc4RyV?3quUPjL{Fujep7eTq($fxYv z$9Q!NV2^PJ?e4zDGcUm0Yn+Jx|2)nrBgTvW1LdgE z*$HsW=n??RapS|e7&pnddKsi-BbQ!er5Nv0uknPjV=<&uiEjk7=@;Ggi>^Ei!&jRdccN9_?r)Mz179DK+*}?NMg@os{Qo#-%?& zykLwOfuQBa{Hx$xG|rw5xMX}vyJm&)pHx7laRv4ItBgOt1zWYzg9f~8w4H+c72_L+ z5bdh*40Z0W8Fw+Eyl(uIQu!KV*lR$oao&0O-7wCc0jb{DMZ4uKqwS4Ecr+L%Pz`?D zxF-gVcZ>@IAl)^V(UH|?obVH*Cga*#4A^Y^-#Sq485>mazi%w2v$e%|A{@3>V>sp1 zZN}nFptKt&Q*-ix(O`!1p|O*0WrtC^47Nwc$M@mhY20d~jI+z=PbF>w^6C&q|Wz*FNWDoFZ_>x*FPH~u0AJTp$ABz(Zg5@4j~#%B81FO58E z*bEs*jECPV<4bC)yf%jQK^iu$8HCNvH2fv4zg?zqIeZFO+$2I9WXuqADw?eCJP;v!KTX&(1w^s{DPpNrY}DRHO%zCcOZqECewt9 zFfH2+N~9@;N|?hYGv)G8CU+{NqD?Po#l@I5SwM+3Mb1aeIMWZi;T~^#KsO=56i3aV zL=&6t+7VOnP3nIhH7$sT@tA4SWWaIL*Z-YPrW!gFlTE|7K}|8`r$ahn8umu)R8vPT zm?ur=sk%xtZKQXh>86ExFf&YLUji~s!f=dx%2YZLw$rBMDUi;XIxhgSOlkDJ!feyl zF4$~2rp%eZToad)@I2EYs#fw%eKk-Pn#84`o--Yzxn5-YIT`-NrX8MOmYCk9G_=&z zPnmt0X*azTJa0<60_6o$|3>(gn{*oRBJLmfpo)^N9~(BlUEd^ zo2E%ZIMkb7|B9HmOqZ!y&|rF*2Fh(yODm*1rhBvt+%>gR&eLqN^_wByGbt#Ax^K$q zhty(P$UwAK(_*^(Hq%BEpxv~Zl8*-_=}N#u)B86-=`c<50X#B|pya*N^oNUOd6@oo6ef2(=PfbHM%3AtN+6B<|o36A0o|$IQ0XATo zLA{daroD86yfDQ)ga4o@hth?YroEI>44Hyxsl767r5*LPNkU2Zuu1zKV!N4RseQnMDb{pE-e! zZ-4XIYDoLcqZ6; zLm6i7o{WLQ&1v5PBFwi>QU5d2JpX$b51QxF#5iPL`v7p*`~ls)DDyO0YSHE`8vrrp z$5C*MHQQDrXq>sS4$5TnQVAf%92^Yg39~0%(@FEek$^Pw^A6b3%|Q*2GR*mue4H}> zyBW&U=6C$4|9Qsjb{xhm^8lT#+2)tDUFMj_)4a+x|6YLs^UU7V7R)#Mu^|{5Ne-rRIATkjl(^-+@$aHeW;Ni{?Kl$Gc?yhH8!q^8?zf zZI$NbRQp$%XBR+QZ9Y2#aM?VWw$f|n4oWU-&1L0qylMV`N{|NgOWK6)nm?x`qRD){ z6;iW#8?EhoW)DieTFmmNklM_?w9U4gpHuthfjNj?i*=a8-63_F7mbG0WixkegMYXA zD!u9GF^A9s=rw=;E~Gy5P&lN1^R!$9eP+&}y=d5+Vh3=u{5=wsU6!%54BajE?}F)J z$)%*!)A9ke{=6)z{gAvZXX)hFZFxTwN*{}vHmE(8E!zRU7F#5BH}+ay1_AsmdO0p; zzvXADpaLv!^57q6iJ~t10gKx&umxFe(tZ(anJ0!a#Il&SjWEjxFW??-nYskF2us&} zC?hRn`EWRBsiFR5l;yfFDAAT+>Kw*ercgQ;XIX8dMpeAU^A*Gdi<%DlM2iO{rAI9D z55e)M#eo_=$1Fk>D90_QfCi)BWSd6{+nKwB^w|$TXv3u<2_3p zrC0YY%~XlBSl;;%wpPo-5g4$|vaS?TyXD|S*g7m;lqGdpa<~|`+oExU)N5gP!2OBk z`BlJE%PaJ^Yz}>v0(!9hmTR=VKeH55IW}NnybI~MC54Wa7Z$%9z@X(aopCQM#k3g= zS?n4BuPp8^fY+8%6NVVJL|%d9ZvE$XfQNMfeNa#9GCEbftchiycw1e40K2WS=>VIL zb-@*&ul2K~fW2048^F(satPaTl)@y5@Kc1*I7cX zKl9)hW}QdFhg%O&5*=af@drd&=l=o9L93Fst3%dqnyb-PH4PhMv%XUejJ3W`vn9@Y zi9Src^$WUD3Dy&|!z5Z~oP_d-wT7O-QL85m|cvaPfHpvb@~yUYB19{&{!Cl{S?gk|nF_5bJK=xM znzt0xB5TA2P>QYf1CUCre|-q4)LQcum=~;T3!yBx7AW9w(OODxur68Cyr8VKPJ0_r zWp$!kQf*}!;CIDpripmf+C2x%YgXfb-@m?Y9hwVmjrDsv7iz87Y16%7UHuT8I;(I4 zq?^{2w4v8q2kF(HUMR>+Ff3?sff>ss=w-3)O%Au5)H1+CEo@Sl9wx z4Msq)>*OyHJH&P3en?@iC+z{@t}TB<8R1&;8-hi;MnwP)x;~`02~n$jm8;*=|o=3|zt z!wx93UFXt~k>}b{1Y5pqpb{e$x-u!JJ?HvjGu9DZ*ZhoaP<+388h-v%yaJkKhmK%8 z+t0C7qb)Bz55o!$HH%l;=&Qx6V(6b%ub$C_-`6aqUEr6f@A3P(JPw@yHtmD;pVwdF z=g#-d(Es~6?LxNy(b{xl6#NZvXZRZd9*iaDK=5MBq6_h6?5E6cH$zX`oR5vMG6C8> zj4peKzKos#Q2iJeY0|_ojwOQ{&#;6bOafyOb(T{ZZ(oJ&B%`(perb#e=U_``DY{trBP;wZyt^#m!8G)3O=P_nx zf|Jj_^+19ND0G=`=^a8Js@umUHe#R7P0uM0y6QO+0cuxfB1>^0*kOmpUd*JYrv4>K= zSB!d^od=kst*`|#%jSR?%#8AcGK9GxpVnU}^QH~PIOb%hve_`PFqw^rlat zh4uAf%JgSUeMzUyccFAfe}9p-v>$rz!EMnL+7A~`poY?tLs|HJX_*4fKRSK~>rayR zpjr0bE&Tqo_+xOE_om_JiZA!!=gOPZVqW#pr{Jvqy%L-?2X^B3UpD;$>)LY4)z^8E z@2|Vo)BdyGq=CbR*N-v4Zy6~t{2sgln*V+LH5@hyDAm~XFc#L$M^?kSkr_iSjk$^L+K`w==NcFdt^^|z=9`hN-+;OEY-=~(}_&jD83ipV#x z|LkJ)`$Gy~Y{vQWf4A}5&*A3cX~xeQ#)Ory)-v9h0BB^q_Z^f?jJh8I&5TC+THZZ| zWDcN(p{JK6y$shFT+9>3mMAzpWt_SO=@}z_KWy&I;$x6Jn1A`gVIOlkm;V0Ger8B8 zE-HX|kP5^=W)wZXAZ8a;I)|B4Q$USk-c`XC&HU>i!o)DEKY>Fmb8tPBam-I>wZ}7G zP{ESGtcis(kr_cn=MkpG0^3pM`+vc9j9Elcjx!filqBXHM6o#}Gjk|R3bTgN^Hk=( z)sV874644enOoxEn8WNZ1mrT6TcFHimfVDt&kQ&NC}1wmfbuNUFb?kLm|=NPUSd|z z=32v?OT}svbHX5$&CHS40r#2XN-;tgQ|<$st()0#9bymj%v6MV$z*+sFhfiyDj8le z$I*)?Z@aN{T<*8q!T~eDu8Yp~Ksz4o^at$R)`N1$PDUM$!*<)wz!qh<^Bu%KX7?-Q zpvUcI(}zg13#DD{lwFk!(rLS6lOUb3*%j$P&9eK8c7!53UvEgocF|N!mD+h81ytK{ z#{(|g<*$LQ-Y)VxNVn{MoehUpJ6S)%wAn>dW3}DxcmZq=>^ia4J3O>2PKB+*&i6c& zkL*&?0G)P!IN(BG+Ox$tN#H6+85*^W{`agogRnmwZ}k7 zv5!+iI%)q8&8{^24Yqh-y8Y}}Xfy2lD96dPPvF7-lzlzDZpgBqLT7Kbed1w6%d^j; z%rM`6+!i<#*pH=y@vOak6)1)Ft7um&wohLSN{M~;TqsNJuTmHAg1zHAfXntDorJ?3 zdov|2ckMevY|u8^*U`q&WG|zs+iV|7-LZT2?VAwhzP*I@s}}oaYCW~uUy8%HZT9_t z!q#p-aXjFGy_9a=Lwiq}Ivw_XR9HT;fB6ZZ)7~u+8tHMhQ9O?BOZ)*mt2Pu8dSxva1pnxF0ehTC!;?cXaxj^O78(Mah3U{k8Qi{VB^ zo;#y0A7MQhpZh<#rAsr99853#o`7!>YHxK@dN3?J5W7qUE zFD-@u#%rqA0~u`^zyZc=H9UeDcM1WajJ3aDkN)o`2E|ENVqR} zNlDCi_3z;4_oeso^M{&b{9L>%2LYDcrmT4Bn)zVb&L^1L~A6asBw z@eZp8r0^CrQxmXN(#HDS$DZ4w*nk z#!(D$fU!0e(SjID@zHTFw%36Y!YECFLnz~yT~LNIKFNfX&3H~d)*MFgKXAxpB)WrH z%7`FJ8DmQsr1K1+5zH%$`Sc#}Dx?3c|LRZ1D7wMd8Bu18dzYcD2d9x?ngPcqM!N}8 z2V>{<|DQ;Xv(o3$cNf8Ga7w`MMsXtsG%aM}r}=vYG?wnq@w@eX>I=EPCk6BKnUooQ zQE&voCN8CUHEG>%_B7I2HUckdk|%#nu^d(WmBQq ze0U6g|3_t^{9~sbec*q;po++cu@K7zPmMht*XYaeIf8h>jC*|u9>VCSMG(rk90dqt zSYN{}j!{V;Af7Rq4M<@8x(JZSxXJ{iG44zQGo3Nx2HZ0k>mvbqj5mg%%x8Q;d0Byt z@r?Q+XBo-WfI^0~5J4*#U(q36$w&@`^8XR{7T{GS*%~Ni=OlzckU)YaXrPf8K^luf zAR!@wG;(k!!D;A*6Wm=2XdD^|7Ifq8?(XgccX#X6|>Vzu9Zos#UV9 zYI)^wl;tEvbDkBt0&sy{BzN*6Tf7~;U1IycfaD6>MV7%;W-JD{#%>lw^Xu&8S=8NN zy(mq5lbxn0!z~tf0VCXI*CqqpVb;F40PnICa=q@cKC~|PS(eji_<+5m+kX$)f)sT6 zh|Qts%44>+HR_%)Pl|awWqtaf(`T$bvCwn&hID=^OTG%^3-;O{NEiZ?oqNT0twHnG ztZ@y1H*8=fG=IxttW{C@j(s~0@PS3RqQyrxAs*lp3vd9b|i2ul%Tc$R_izVt4=+*|-R$Kxd<^gHKm7@s4*n**cRWZ|Zl`h-#Y*_n9M8n=B#}C|r6@|56QrrT zT0QaXc8gfHd#NI*?a`4ete)0(lL315BBuCtvkxkI&x5E_zxhwad*9b&`}Z5)$1eO< zO+&f*wTYmvai$mO!k@a~y=Gau*c3nQI%?|nU2Hg*kB<}&%!lYU*!L#=W@&fu?JOaw!ihKs< z_Yo~Ia9`JU=(JykRN$@KLhzo%tR#Z_GdE}82C#aSfgH#N+yNNGGSYGmW_cH(ZU{?Q zPWkVltmiy*K8*DwJ9;={6yhAgcG58($qtciKZbm8Eo<J-ag%BpaB2D(W_| ziJ5@h!e*BO$u{=W1b`jPtrx&9mYK|#J?tfEt$oaYFo5*{D@2<05c`u<&=K}WE_8E@ z`FI1IVCO4gYA0EVqwA2$N>5N{U<3kWNN(`s zLqYPGHzk?$gy(6D(o-Hndf`0}D2xUl_*z<{kGu^r-C*JA1E} z1j@}~bWxP{irG0)IxYgpor()ei)V&i{RSKm2WeeKP0WvRTHwMb~ z+0kN*PA(^7S$w zXkGPZ`OcVwUpRksd+WSHtoLT0#1qQgHz)%QVz$;ypPtSRdGHk7mqaRJFx^==rD z)6~g>0JBtGNLrh)x4NG!gYHYWxdN(c7fI&FoF@~nOHZ1FwKq9INo;o>5cOxvjsOf` zcYXyJ$c~Vp8pPT+1L0tHcpJbFHhDL?7|MQ$1IaK}@Dxafv%^_Y8o^8?I7hN@hSDf@ zj;t!{Xy$qVEyl125+h^TE0PN1*%sQRiELpi+Am{4B-od;>LUSGuv27}tz;V~fh2{^ z>465T*mY7-tJ$sYK(1l)$j4sG_Bo)mjWyV#CHDD7q?C_c1@ zd6fWiFKg5aU?1B;X54;O?pKf;U_Y(EfQOlr1-%?)J;?An#;mS2Ky#dVlhbg5oh2Ll zB>PMZbDE9a0-7^yE$NuEtO;%TIkuNp_B`9`2ylUQtqE|6E$RkvnYEzk&=od|NUpQU zH7I>$ok{3_W6$RUnZ!$WMxXt8eu}9M;CHMPbQ{QTOa&;-5h;fWNP*vp%d-MOE)%$a^- z-7m}pBDx4&II6qFgckLbfoJ{VBk^od>>CDe`GRC=D=`3=)_vOG+4<`fV7lCWi?&@; zUxMcMdy|2&_I*c!wqM4ss2Ijhlc6-6ZSX?X2zGl9T25k1(|o=LB$}qM7kN;p*#I(t zrn2S~0hq@2?*(!?n?+yu2g^y($Qdl4AWAdY&b2|9~wa zTlXP*UI)lWY|lp^AG3uN)_clokP3OuBI*GQ;EYV(fxK-nz#yJb4J3p4+r9upcnz{O zhVsN;P#VUwlWjeQPpgKm$MP-}P&baxCA~bJhx(KMJAuEUNloPSC}cZ{zajlFnO~^_ zFoiFpjFINMqER=MKPiI&r}6p;C{5?n$+7x_4<&bJCOQY2@ zvUs3L>Y;Q}Ot=MbR`j9B-8r!$KS~$Go1AEOMOdz(-FwlGe5d~MLCXy3MzzZw;4409 z4ceb07hpD(T3^A_8upnCyz2W}pt{DPN_Y<+vJ@3Ho94l@Ru=_KL@OuMMs}nw8s#8W z(Rj-%JezbY42EP_%AGiN-b~{qWwlfj4T~ z^&l6!V~*K&?qn_~2hXAaQb^ELJVR$D0uxq{0?>7ilO+~6i9Ra+z)(!YTG#&`s@rTT zgzC1VcA`PMe%`2V-=Fe49nK}=z2l~gc<)r1cqYN}74M0=Dc8|?4_WJ7%Jl}mYrG%c zySY(drn^@`y!S|;@9p`DBG7aBQYRGV@l*BDdOm+u1z-VR(#s0$N*+21AccES`ezlt z)&Z?o^KK`AT*DV^MQJU+G6LPK<3&26;d*|WHo@R|DYCkOFF1&Hd-)^!(0%;ZB!KRl>vj4Y<7335j5c|rZ@}Ri8AEiTL+s`N+7MDnfpA-910nUr^ z9yC$WtP@Bs3N{R-OXB1)l&*@)%~850;v1uMU2M;a(hK3658$P!O}gim@F6~bE#9m^ zXKzH{QPf%Aiu}V-d?%7fLA)0;9%0@eMDtGoA4NB^mOcriJL*1*3FFcJi?}-qbzemy z`G()b0g@$2GPDqo{pD(Mw+6_;&Czb4JWsKbLGoS))D4z?+kqS^&251kCnM+tjkn5D z?NB*E-i^W#6J>7F>XYPIV!p}p!w|HXBFhq6YH6Zy`c%1r;@Q*WHj0%X>{%7y4>`6b zn$M8u$rYO^&v=4zmK;l#!fZK;Y|A-vQ4+vhxh^*v%#-_Pqi(*muF4MV0_j-`*gs_r zXOtGo9u$IDBx@0GEtbWrpp+~>WJ77KoJdC0IyvYDDA&u0uBbC)u46!MkmGKnv{^1+ zg}T$S&@`0J$nA4ccUHdXg3>wJ$r<3h>_+GKf^2Gi0C-W3?TpX9B>Pgjps(@9}gI&%o`m3?=i%PJF>08^v) zB|O6$T?f8q*}~|j)-y68BbJ^ACNiuoo&VYgcB4U^;Ez1L;}c|F{$`M!^!t#KsOvj~ z0{cVRl9@maW5N%m;VkcAlqNF16cm$K^>08>lPJ7*i)>- zp8%&3|D)pv8*e0vXW2~>%jeh-VvY0c>{OI4u#)@H=|xs>A&{4tIR@Y|lN46F!iMxf z^Q){YMIrC89#sIIv!QDNQrQjS{TFOzRy2RfM(qId3ws%k(pMPPsQbnetrbvA;>bh6 z;Neg60*vIu9Mo8iHt^m1;zioi#t9i6S-5T!jhQ?jX`%FFp*_$?@pintg%8{L|O>K=1wj=k6P$1{oDB15@9+uH&I4q%7d(BD4{ zWcx>8s6os!0oZY@V0D1;%xMZpC$L{DqYm+-@j%XGQPWVG#n!Jw-C~x@A7BZ4NDGzB z0?8;?%g%QNSjQ5{%2?0dW&_y48qg~2WHDre?_vjM032awvxCxllocg~bBz5=mdbIq zGZR{zU{ksPoMiXN#5%=#*kYn=-~^P;uyF$54x2?v^e$`W4a$4$W_Ey77FQC;7i>;x zboP=Rr{MZ4_HH|nuUYOyAd~n;vcUWE9fN=zVCBcoqTxU;zhE|lc;GUC!8~&UDkpF! z3gArSmQg58;{D=K2YxsU%V!7YhM4^8Clpa1Vd$gW>n`%Uyxo z#~tedoZzwR0Z#HAn*dI6x3B2!GIwkY$}3#`3UHN2RRHonuS$FHfUoR{IX&d7UID!1 zhvuX16_2)72kC1bHvz@3+?5X9H(r>c@=2mwHX!?pt0XE0i53|#nZY8A1ndwok<`{u zk^4CM9wyFqN4w!7H>sFOqDNVP$>MbsNTvuZ9OQ;@p}n6fJ`y`l6CKF+nlA1wCI0_I z+&v2H3^8mmN;5_CnJ6t0Ch&2Zrg;~I?w7FI&(LTKyFMOZ2kV~?libfH)CTc!Hj~_& zODw4$z-`t!Gr%)8nv94~Y-U|hj^d_)=w%MiOFKN5|FRi%^SCv39LAi_cP<53z}7jfP;KbV>Ca+oyj*m%zq=7 z>j+Oe1mp=`pa{Sz?$ramT;-*op}{rIKZ5c)e{B67q&N5gvQQrL>&-#>gqLm&@RaW- z&+8eVaSwIR`H6yPk;-S$7JcFV6#>5TKgj3(#@A#97%o<3M!ON>w_iXxQfzvPc9X>t z@)W0to;08q(g(=7Vj=}(=ZP=Ztia9}eaLoRE>6!vaGfZ@+7W_FQk&Lizj;^XC_t|(2A6?Xtklmp3No+&fY0?m^7$$pqETT}FFiR}0Soh8dTWc@FdDj8rk%A^XdH{=xJjGNNo z6u@m+lmx*mS(D7s*K!xhxHmF&FTh)w6anNr`HBqK_i_TU=LdO-cKf5;*BzaHk_RuN z^jYRQgVGmSk$i!#vMmGnCWFGzOOh&k6eRuC)eArlu&S;!{6Lk7MC>4yIv3c%YSsv1RbmMAv? z%48Kt0i>n)SGGa9OnH*@U#{L&2IUH6ZA2=3CH|EvU{lmyVy;!H0Bz7}l}h=IHL5EK zx1(x*SJWL-`$$$CS057rPN+r4Kyp$!2LYT?5f4FmS_M47)Xu25ycqYIDq0Pt>#7j> z&^J_Ja&~Si)&-?o`1cQLqMK)G!37kbt1jbEnW|o&L5mlv$5OO^sh*tz$t!haD@w1G z1I_!5D*F=}yjA@tqQO_S^D#;zOfBo9G}2@~2a-{y>hVC1Hd!B|Gi~y33NY0)ehhk< zX6kemrTM08W{SToFtz`ThJTu#lc-#2YMg{piYW&z=_*qXGfJCH6K|upEhft_l(w3F zBI&=)^bPrgksHqs4lE+NDsqVPxAereWOhpT$!AVn3I*6xC z*5;IdzhXK>s^Pk6Q5a}$nEWV(_sH}i63EA<>p9WliRpfR^!Cz21P@H03xeGv>eHVdrkvaM$z+!W17?4ZM#qy$*Y(7L>wbUFyy3;TpCWmN)IpjV* zW}~^^9FXibFKh|q9&?LIK<+g^JO{APTxAv}v)`OB2qXv0pI(FHpm`EUo%N8p$x9Ru zn}bV(=7_l**$PL^IjNgt=2&t!~*OF6Xex3V*yNo?^$RE%H|WH^sxMF*fXip{A`f_OB$orEsOu=(U@PG?WLgXRx5 ziPXRh);9vknXF!Wloqp#t?(=eb3`aH&}9wqsIoXxF);tAI7AiBB4&e4P~vs`rkuCNIt2=B4w zw08H|pJb3dU^A`&`I6Np-T#UuR7dGGvkpY*4ePubrMGNOarE+zd6QUs&nC75@&gMY z2K~q$_5*vv~RE0E@XL7RV*M1Q`U${AwA1b$ovjfc1PIt)anV7o)V3&nE8M#gE-W z$-0|6zXjaGJ0$_Tm**ujYad^_4aoicvIOz~4~PcxAP*+@{t)k$3*ayxP1}Bw=Oo2^ ziub4raF&Z~s5{4pO+wvy9{!8ngjz}}wqj*EIzJbgi^@vH>Ht&O>Om5>O7BRZf>zR` zgO|b^O0&BzZNTW=md(Jk`{fwa^>{!^$~unNIEh^+q1m6!B>Q~;JDVRJjb_QTHe*@u zd?<})dwxgVM0T81-DDO-anq^nOi>Iri*3LGR@OPJ14)>9tRbC=Wo&0>C$RCistsDgQW z2_PQ0Vw{K7vMRTfk9f;#&8FNBrD8k}Da{Kf5_P`p=D}0wC4Ua{K}|0OT+LIFi;==3 zr*d~k0!IqZT;`@T2vyL{d}$`V6mmBYnME&!bDRC<(Myp$=A*WkqIu0T{v=GXd}ddi zK`FfQn|CJDi?w(GbJykcR>H%4&i3+?r@8(L!jvp%t_Wt5qEsRC1lvpL!sgTP{iG;U z#H`^FOW|GAycj}R3ZG);12~CN__ zHaY*pHf6SNQ*c`kyX0-4hYFDFzyC`Gi1q(JRe)Aps{7wpfIMr~A4Q8& z?v$n?(PB-PEv9tYVit}L|0G)+?Xo4~zmhFZ|C4O_n`p`O52D36O|)2{R{mMGWUAu*()#yKKp6*8sWfqQ%WFTHNiTCAUqqK>ifZW0x&?zsnW~ z|9p0_lHV>?3fRSphh40A+J*nWlr7&yOQXX!(Q^L+IM)9lJS+|aOQq4Q7R_}GdrVUp%c>D_94kVdj%UN@11GSJgq+NV6PV9@sKG)OOIxW3iIo~kvGNn|9gp3GH?G2c~k4Zn>hV1 zsZsPR6lsC2hyNT2PQr^`1??ssSn&kdB zZ%~r`_a9!N{Eu!>LjI=whgT^7qZ^dg|NIK&e{_S=`k!B+JOK~l-@ZX<{m-vZ;spKw zxk1Uj5QqQnW?KbU4wv7-&(0`Rr3D{xn1vI<7hSTc3?hqC4vQV}lG&7Q_%1#}j=PIl zai2$MBk`YdFux|Ox&K*uuD3m7&cVEPaWvCCLn*RlR8H3g`f-*BlsKAuo+oS;CzV56 zU!WVeOv;3>$RNzlW`Up*sN6G~Bk9dN8&5HtIhwcGitHjGJ?Sa3XHmt^JVEj>$RQZ-Pc;@843Zd-{V=K-v>M^ zwR(eR)p~>pDwhRBp-nJ%RU;rPp0%o&5LF_7(-ss`p7nNM=3c2t4V#i!^a3>M&zKpd z!E9b-oQxrC9M;=3ls%h|(lE9qi_Bp9gLzS?e+FA>Jq<(ZB}?%_kMG%(&Y(%+_rn1O z^K1ymAOzVm7^IVU6lt94{Feg&$$VDX5}To zdv=^Y;~P8p6w@2bAGxG|LN1e&6%{43W3zD&o@z^f&WLy4WNP5oi`K(`KbBaPHND0& zpbJilDi=lTQGNlfM}?at6RWk$jQ7Bc)GEkKGYDSifM@86Z>SC1@)*zRiJ$NckEcE( z9@0j%N^(??Kh}P<)C1WBsu{#)qjTE=JI|)ZnHN&CEafl>8+J2WNweL;c7FkWD{GJn zu#M%WNp5GJ)YT4Fh`xR&n@z}F>@|_>W~l`Buw~nl!^H z;=)^$W{6<>DivEt+u${u1}Tw3@55Ox8h->EOGkSo1DlZN^5GRqquCT98N((J7|Z@Z z{E=Lj?6w)RW#A0cT=w>_5B`4}U(FR$Y2OzLGkUY_jxA)WvQ(n`PoBabN43m>5_k{I z>5b7X-FxD_U%$t|Pi1@PlACn}oBkff9qdI(kpU^J*A61BE8daj?NpmSEK^fjX6K{C zvYDOfOlP@Er`6>XVY2>4G}%TuOS0nb5xpSQqD{mX4gUk2Ov8|)@6Gk(v6_H`@U^%-CXtAXj; z>a$8ZA+C#PdNmwyXsoJbL;8~Tjc5l}v)c608@TBuN*g(+1H6gh~}|gSq17!8clp*Rm!}Vub2NM}3p(1qrJTsIxTCLDiT}>>*|S zi8$u4x=kY;QI5p@NAZXG0LRo4LY`3T>Byc`JxOeyQU|E+v|2^uo>4hyh_fny+p0 zF?FV?O)~Yw!rFGCOeZ4@#By6{zU7M%yH(so3;A;iTEI$u>8w>= zMEhIKfkq0fM$e$rL>b{is}psO0nHq!mv*PU5Tc z6)SyJx9qfSJws_KeG5^i{aVsgtnP#v$mUQVBiJCC*cdjEzIiO$LTfdFxzgF6%u3Q} zn8Mc6@zJankxXM5X_2P00)(8wY^*+uB@pFGc8S(Fg;|HuVy$96)Xi#kgoaqdUehP7 zW5sDz)-z99U4u2Iy60>Xb^4jDqjmVg_S5EkWwYpOzOf8MnZ%1wU4QY zl_;l(>a^0yB9H|2Veuy+j|f*1N~gt6+L1HjIqmaVF@?D7ifBlmdsS4U;qQxKZ>+$6 z5wB<$zKK}crT+3Et=<5+hUPs;UZd?AC+8BFB@56N&X-ZN&x_?!TD7He22E|HjG;wX zC3Di&oss8=@`CJ6OL;{OqFucqAJOo4WHPPV1Nkls>AxrP1WhI#3R;yUr zn6+vafeorC?fqsIfJL{06Q5xbZ9L)73K)~~V_HU+P)y2(EkBDUU1>FSUwJU?e$^P8 zioZ|aJD-&V3WPk^;|II)e@M4w_{VIU^`C;R|EuY?f6BI5|5wv(${uXZXLob++heT- z(qgSv@sr8!=X%&9uAcUYYe9R&wU9mHTG$?OEn-ejw-x;%n*(z6F9d%Df*d%6uN zxU_7WDwa9B!j@svtmg~=xN8~hNm3_!l2qBVZ#+Fho=G@5+c!1xxPop-Ru!^cYB09 zw>?6hCp|)LEt1zBBF|?Jk(=zhW>1p-iyWzgebYScn^w@iX@%^YR@lC2=Kp!qeEyas z{a3q&ymk7nH3_6$GrT{sn@Vr}l^51^Q+Ng4jPX5LEg_*{f$me{EHnBY(kA6=O9 zSlv0ZNwEMO6=f=-mvBBxpV%*@ZY6k;oE9_*4)C``hS#=OJbXe+`IYwz3DLs^A6(R8 zi4O_1ga?Jkp~}xU1IiJB!NKv7>D1n(z2hTlX=lkp^zOc{<+o37``tLkrSP5Jc7 zmE6rZ?Z~GK{patd0{WPPGU*bhg_EA;%-u3$GIi>FAH!JZ%ug`=*pWNxZ`F7~Jva+L zkSW|Z*w+#oV+oI`lVISVy6MUs>D3a~yK^gJYgX>1^wnm{tQ`xh{JKCc{x+M%5*u72 zFvb!YSRdc){cE|_I)@wga>i)kwc{*dfw6Hu0eaOQmLqd?RBU+tzZUvuH$GLToDxdw z#XO6ia!9(nS}cJvk(RK~z_|FBP)kHeOlWYW97nH(7RAGfoXeqN9lm(-O`J;q!$ z{{8KI`tT!G)F@ksyRwX75rMHaYzwLr3-j%I+%A^OC|!gf<+|<__LKfpjQ^}(KH~X| zST7zYvs)~db_w0fTe@3Xwrbrjp;b!{A7f!jzFZhqZ$6ppbLW|-$@WoxdQ~A=z^LHI zOK_c~l`!c(7Vf0WI|+AVM_JC9ZoW@s(}%vI>{O0>aBND*UnfA9sK8I@0nbDc{p}X* zX+?fZx1VP6Gg2$^N(?IyU!#uYuND5O62F0!kNDfl`}r6dEAtIZclK9G_baN*#)m5W z3o|?ec|oQ_EHbM;Io{-EbPnPzn7)32oAk2l+*vPuC*1X=FEX=s3E>y?xg8?2w4J*9 zA-uU6EKxl;*b*KZY$;o|f+aXAGCBfB!CTje;0NiH`dC8irY&lCVO~rJU6k2%;Ye_` z&sA2+XdKD&vrN7znIpDtaI=mbZ8OXe5*cU-4vz8D*A8JP&c<;I*Q2y>&Ju^Be7x&hB17=4n;P;i`szvMp?_+`3+a_xSplPVBOb!_&Wn(039s?}6PoZn zy6YoWFe6se0)Z786Qi@n@*GBY3t!E3*%GF#hNU@=VQGi0eA;1K)q?*ibhT_k8tvQg z+(PeN!aU8kd3=*Zi^*4E+!;AEbK3CYn}E# z{n#YD9MTubU$eu?-KACY9&I8M6WVo8?3%XA9SW#Ix_Tn-2oVt<`~6r##-{OimjTj4 zr-Vq8aV?SG72wpE(CXo_agb%vF`;2LCSJ6w8+hXIUY1wy>dt2goMp}4Fju2#56*>S zSZrjlB`7Y&S7&adJoJoTc>x`q3^98;f@jwr^T8sSdh-&xd^6>v<9hSrrijoGOAUyX z-W-2k5EB|38dEnk#9s&g&cEna%ekvQ8ZF&*&P6=4PI)X%8h<$84j%kb3jfxZ=i}gc z5;i14L+jg4pKp0XTZw}w#;L;kSQ785Zy?YpNe=5){dq}aeSf}?Wy7lZSc2O&OGs$d zF(7TF8V=-p9pc(`YSlHiRd-!`5HDirL71%0R96(X=?&xk2wsml#zu$x*qRu%NAVp( zhwp;yn=nqf8imI3Sf!6`#X{{o50RE-7WdS8GM}ky?qvD2{}g^(zgWj|=v$puNsO^ zY-d(M#KE^Meq+{rUXtmVC6%MTF$e-_)oIAp7Yle#DZxu2y7dy?U%O<7M$MMYgLU7# zteD=l&QwarC-ZGCwd;llTd-=;UE3vgZP&fGj$X-f={3(;A;Wbkk78492&o%8n%ush z6(3z@rt;KPdvK*EF5|hg#P;qM*RDkeOO0j;EjzaAs+TR}#i4m^Dl$!1l{d~T;{$~5 zoPf@5<%e1to5CA#aD8B0R3s)49926uK9Wq4`>T0P*csTIRwZW%J zb8H9%S9qL`?1CeIq6rL!`dj$0jG^`8!u``)T;IYIF^M$g{nx^Km-nuq$5g}luChhA z8ozDjnVC*~qZISjbCOg6{q(MM(hIi;H~sml?4y@#<9;@dE3lpAQ~`bw5i$DmHi+(C z__Z6&xAPe~)r3#jw}W4RVvdaq3AWUT0t1JTG}beBLXf>*j1#(JCoe*}DK_v2-86oj z%4vMu$(IP7c|Xgawm;FqG5-2^tjMPi;(n<1oXLwC;MD63{FW|ZL!#nI0$V)F8#ngz z$2=qSPVM+$Aj;}Phq#vjPwUh}e7K$+A@k~ISA@H)8y6L0%stG16?)7FHC=lj=i9XB z0an6zcAQt@Mnx}~D2)=QcyFekZdFB$W2d>J&;u%~T)OfWkxQ32&zHGaU=qgub&`Gb z+4Fpu_FZqvKAGeEcfP>gpah|7{Cq8O4Wem|9TOAUc)&K$Loe|9df!E)0Y2p9MMIwmv}FxTU_Cta|ZS37S^ms$L_YxwX3E8-G771 zqrI;3_IhGT;h}F-fmwzhlPRF*ER|9R^agM3y~>*lBm5?B$aL4Mu%Q;*;$vW5MT7@w zpW9sPC&M9NUft&58p>Ykhs{J@!*Yi&W%}ht<|%xkR2}c}6sDgYWO>rGijS_-9A_mZ z3+Qj%=iT*yRVywzUODN^qapF#+i-WI#9RECnNIq^XBqfw+efTSnYM|YT9xUU znAo*>kFIUXgtY3}s$*j3POTEUmx=1!Dj_H$u1s9Bu5DU%M^&f9GTQTJ=Ap_&cTH@g z>r95yuKJnR&^vNVrFUP%+=qVVL&-d^@%>1bH=MulYD|0d6@GU8J2x5MGvh12q+ecT zx#^S`E5C6kftFFxU~)a^3J4%kLcbU48oa zmeYT(gjMlu8_Si+)+Ev=27DE%1EZxY_{1i-;%mo-SFfGUi6I%qZ5bXI6k`;15(gPX zL-qRgErCJdmb#%avEfm*J$$^qD;Uo*iD^uqe8zG*P`_~@ao#%77hc2KjyT>fS;Sm! zvEb-Jy{yV2hO5BvnBW+riHjJmbl6%}+`%RR=zAWyida(UWESI8{Ad?fau-vC-jpm$ z>r;6}0%U0I(0VwJA?a)+a+?d_ErpRd*=AxByQ&)}d#83CJGSfAszqW#OG}Hy9tpG?YyHGjZ56^rk1i`R8Nd1q$cZj@unsTE zicT6o@1Ngj5+D{cJz*8g=?ro7UEO&bs=TO3sv_O1OgGN`d~}tHVwb)eqDp9(dD(T~ z&!VW_5wG&w5B#TXNt0X4rro^&sDvMJpI66K&L`PQl*{7`Www5Tu z;A)6Adix0OrSp!)C(eizTXbAaSiF5|L*dN{hxFVZfQ66ltdz04wzw$txO__L{gp*# z<64Ypz;yHpmPeP16A`-qad?CSN57NRI>5KO@i{$=`BD5poZ z5MDZZE3B?HEkq+ma^mP4<}SehM&?#RFx~V3j_~qnGLx>saJaIz76F3nSzp`UO=b{{ z4cdvaOpkgDGiXeE5yy1=A(=(z>8kSSTOC9#+F3*z0%L+}Sjt7(j%;j4u~C_AO7OwXkTkT%cIGO4%ElPgdWuP0e|y7n*(6#_NQAfkc1RXb2(7Gd2f z9CSXbC}g~9DoZfun7H7;j?KDuN;~J_Rxv}6L`gR?zspF^ui(}914I{=?r*0@h1w1h zxx{zINGC@Crr%JpNuU41oOO=7BEQ~pO64{z!^C)|+mz*H43`nYMP^BtoUpQO;Wned z7;%r8!Fra^=m6cUrpRYB947?V;|jq>kNL`r7)>UK;yhzqOhnp}1n3?2d45>p%2+vx zHe}aEDAZ21OxcV!TC^ANuYQ#DC#H*nI+3)@%ju%E_UR`}>Tdp~d`90th>x2;g=;)- zhHzjy=pKyX&0f^1$Faa491^a55H&VM6Db277Q~GJ+=#dzG`E zRZaLBmy)R?^Huna6E{jXTlB*)FB7kr&7e*@okr;u;wPc2+<|-kqB(q}POHQiQc!RU z(*<04{c)A3rNjJGR(&TJrdQ}{VP-nZ&)lqww7}vf^?>hCt21{r#;g%NxIR!5Lk_JX z@)$+di@hYa{uZQx*m`J)HB!&WW-6q6w#CdFt(NY_Z`*Ljp|7H2bjmK#!DgO6--ak# zp4|e0vU_{5cAcB6;`-1Lbh#9(69L$;F2gPY!bPH4-{)x$ILVuVnob`o% zru@ddqoM>e2Sr82k&Au!nCQgyoc+)l9gV}78Ieb^z{tzGd`XredH1c!5nczAmrH`;j;CQu?UaOi(5>Wsjd`8x|t4CU7uDJKF-W7_cF>#1~QJm+S(gz*Syeh8ft>vYc z9{n4ls#C9tWx85_*mwA6VzfTi4_8c{JMynT%-PenLm6?AGZ>a;$_ zIQ0xdoNZ&-4X5W~H`A6qSn^}3Vva{7jM1*WBby~OYtxF1RhtB``2gXuF_!A#di-;q zLwhvmCS%$QF_byPPz(<7UtQppm`1`d@DA+$YiF2KzNi>l|LBltOK9zoa9DTDXL zg=1oL;xJiIUw(CF3bjg-%+O9=xJ=D2fP)({~$yW)`=vGAh8=8wcM<2x!ro}S|p5vdz1|2jULVV~K=qf$;4D(8JEfCTPtZvah zk)Rrv7yODuX)oQlvMQp>n@!Hf+HWu=iSH3){VsHKbNNX(-;b!ogxVsTQB25arVl)1 z`O?GKHN5^6kr^Ty)j8@Vl=`*;98q3>&ZbNBBMz z@nF8%(_$)Q%u(_uuAP@MFXOOTzUR843qEO2M)}mNs~M&O#;R=c8Q14s(AS)&;9ln; zCPzJ|oyla(c9q4LK7Im>zb_}^ZAWH90+q-m`$=7GC4BeCFQtoa*+dpG*5r|palC+> zq^qo9ZpM@X(oaBp{Segk@HP$SY8RI7v?R!({AJlLynxl<(|I#u#XASCi(W$x3LN$u`%idCt-_8o^S>3EVe3Z z1vyoFK13w@GB}Jy7>g^&_EHag$UJqnDsmHrE#d-$YuSVVQY{FDJ4Y`wPzC8$NM&p*NOg+U={Kr zFSFtkBw*gpGP4n0OFAoEM?#``Vp@u4@KM}wvMc5vRLY&p+30;M(yZ2@&VIM&(vSB8$UfZRTO|V1w$<~ z!p6HZVr5I_6o}AJY-DtduO(KGy9Yfx?l)M1TNla3#?SHc1I)v4Qx5$xrz~c)t|!ZJ zo&7Kjq%#d<8@nrgQp2IM`9Z(MN=KvSFR~+ZiHNqO2T{D!Oruo|F`BNJ!U|;!Mj|2# zS+1x+o%M#yVfZzc`Is@HiR{j_LsR+K$k$vx;!fQu>}u)RtYeQ>hN+bdVtRggXy(M? zxR;r>5#{YsjrOf&@iYy;JO-25+FJgp$Hal1H{=E<&lwNBGCn4LkR0*DasF!p*9OUfl)?H@Ts9pFjydhdV~qDhWl^qEZa`i|^ii3#`3dAx z*AcRtE`12{( z_Ul_kn4!cBbUdYI@ zRKk8fy^j@2cL}_8QU{ndm6ype7sua>lH+vISF-kw_p;Suc0$s;{8scCMGV7+nHLk5{Chvhr!c2{NS8R8nLhe6y;r zMIOC>gDjTc*1&E9_ypkAzz=!Xvij);IoVjcQ9k9!fBa>uU>!m|{L*Zd3U*(w&UP&H z$u06wT6)2R17%BE?AZ!bjn=Y|9GE_zF!ljDTGaUMp+yaqC# zzJ5`z29w%&DQzQ-ahGH`g$4iF_0T=8$zEn?7YbeyGrDF=cTm1>U|B!?_?isS;|{VM zy3BPs2(}3+Bl|s#cw_Z-=`X<3E&0Mn;Y_lI4`sYB*jBQ5%!F<&9}_&NV@NM zCI@qUqdum-{1Ytg=56Fp4&P%BH*SHqI=+xr@Rm)-qz7=(o)T+5z7cxPbo9bs%39oJ z{a<7`DMOgeu)LQ2xXxP`;l!i>sH!^eO8W?b(m zM}Cx-$fR$+K}5r5=s!OQoZ}a{P?vejD(VThaakc~KH@o-zR3Pu&-=jg%5a4BbhB|h z@4DYqVTr6sY~Ao5!(6kZnxgNWVDk*zKPbzy+q1B~8SHO8&t}wT2lLjI+ltVQVy5a_0%`v$lo&D+sFJ!{G zfaprI#6sLuFJ=q2Ub+kS#A_*nDVyEZL+#msdl)Bk<1gNIX$J)6#%_aAKc_7Oe*S!_ zHuN3^WAk@LY-8SKp350`n(76`huN~OK6>(fR!FZYpgQW`zajDv5*SPinn$kFGQ&)t|6)UJq{*K+C(4%hRsgm@tj=ZGJQa5gSs={0s90C1Wtgw0k zv0=aCWVhLUb*>`HQzwmt)6@PVEbsC~)L;Ba=AK?zL_K%2IrqU)(a7^f)ry55Nw*<9 zynOVGqH3l-YOsPvg<|+!?H?8QUt@qF@1)&2$y!1M>uy+9Bd!E~i_XYb3O{A13#?_u zrj$|VjE7~gZ!VVD2H?Q7i$$>{b6Wf2R=@7Pp1B*Z{8W^1=+>@JtL~Iv4=krFy4xvM z)R0dp@)!ea;CCUlu>oO1M5?Dz2+t-??XFI_)`oj+g&@SRX9zm9iBgj(?w97p2E~Qx zo8w?h7qT#P@eY3v_x!R9MVCr z<5gFtw`a!}cCM#3P>ejUZrTtxYrN{Kj3TT3G=4YnwC!e1a6|Q2U)zM3LzgTNut=O| zHL5nkUz;Gy`qzcNwCfjMxYOsWk2O*7FRm~z_|2+3#TT;bjJ2=`V=OA!jY1<4_{gZR zu)hU{bp5943MP)V{hq=k;qH^hbiPxqO*sDDE}9SGvKegw^W4`_!3vrwmgSj zqFdt6S#(qUo0z&_YaF0u>sYAX+gkP0n|88NI=GGMj?-XEe1A`zWzd<=AsKeG4Sted zUrT{FdKqZSuG_R%t97G12r+oKMAW9~I{1Os4!Aoo8-Mhs7d^(bQqN#7W_JK5>K?0+ z9O+mRm%PR$sMfmaBq3cYSjwiwoowkwA0Pc97+S>R1++*=qN-21Ixt!K?jf!`V+l-} z8J*Q)-KmS3t;ZaI;HiE?WTp_F(zUuGInuVPYNXQ4sDHR@S)*2(f#l;0%?WLX8xBf8 z@1^dMIcawWez;c=QOh{ZL#Uc1Jz6@E}J#--M-L62dRUOxNDhyi!EX>6hfEtutpLG zt6)dEe_?Z)^;}n;os~CE55_+yZ_A@+^amf=*3M7wOfu!ynIG{?8vmwAKb@Qt(IMMK zyn(~icHM0dFKjd)u5cqJTEQ9i8i}6=bG7?jc4c3~CN}tJbpxTWG)@SOjt!59s!bK4 zrF_cbcI(a2>N<`{`mIa5#?;%#sP}sGCgznMo~q^|Jd7)2@ndGT_?2$^0465F)=Ezv zuXgBs+i{HIC#W;}<{nneC_YhTM8qr;@|7!2FemL949~jyB-M&#g-Y}xbDnPgr8(nE zCo89PP4Ktac7VTLH$~kCPucEM)bP-==Hi5G(yEB3bJ$^ zJ0dxeV=9*8Z#$bFpno<^>>b-Jgv>UW;QII|i*C@O++Pi5zN zeDgCrU|Eu1pJs;yWJpV|l(YF#Mg>DPPl>;b9%@i1-1 zZc}}9{6^^1rrVXH)LC$4!)Ui#wGo-@lFAkszOYYq)A^f;!n#TmtZv+XRiJcw)QgS} zY*SlYAru~PTn$T9?Ffo6cxRvxPR-alF_xMUx{EU9)}1>_N1e0`96e_-T@l@{@@B@( zwzTjweRMf}vmt!hYx~t}?MS!KP90D+^@auT<2EECPeJig9eGgwtX+FRQ1?oJ7r67F zs)`%NEYJEw_&r&D;VulJ6Gv3{v@iA3iHK0@L(V2AZK5EG05?)H0qVOxP`)`J1{>f6KO*KZ;`eLBk>IWnU(dI@J|qs zhic1M=o?qmZ${gz_^CkMG&^FSS+1)NI^`Qnzq5uvY$>Qu_=9Pz*Hzd5r?V@8ud2BE zcV1p5ktJqf56dF~lK=@XY=Q!kl?1Yp4Jhd25gri8X7YkTfgngf+bUwMIa*~?tRRG~ z!c`y;mMRDcR-s5$h>8{vO+=tlk?()*l3eoj*X6svU-IsM=FH5wXSOqE&fKfJ@{RR) z)y8waIsWRZee4tW9KJ+D=bpe!@XZdaFsd^#3_txh-;1xme9>3Sl|4(a&C_EFV%zq| zNL7Co^XmtfFjBvISU5WA9t`F_9Q1wm=Sou>FZr&q*;5m+BScqxy)^Jmyw1(=5{&%T zcZRq-uL7F~EgnSbZ@$A@+%cNA+CJ1rs1cegnEF@~j`PwZBTz4cqRrgW_%Aqgy}NzL5T}!xM#)Ze=9ceX%82p}P>=nL zk7tqpFd3!d{Am$8xA3Bno!Ez)URWreZu!W0^y@?D5*2o-3f)mlBSZCD*o=~LiJ&b< zv25b4K6Rg;{JjX}E}S$r8?AfD*gJHGSf^TXmlXWW?CgTPEF0!(MH|`GMkn5uo%QxR zjDn_OgCej!R#AJ~$y9COa6-i-&kF}bsLq;mpc&9!PNfY4>_wPd*~`cnz=zYt`|aJ; zt?lJ2nu`n^gPMo-33tovphO;VSkp;J2ESQo729I$9qB|6`stCorMq)}QbI0#4C3{* ztvNBpYWr|1PA;qzbYKrSIAiJuKd9&|tEl!Y9KA1hmahtW@0#dWa{)^6@~#ql zfpCTQrL&dx_H-5>t=8Q=w{?@d*t?<)Z48ym{j>5DbtQCocR35y$EKw_jYQB6)K%SO zI`*t_sH0^oaR`mv>l>qMg8{weHQn(pCm~7CZ*c%tW?Lhn25kwj1ycQ5o3A=1Om@dM zN0H60mWAV(u&#UPvzS%)$W{aULN2V*rC@ijMKOofFfc++2+1fdNq1Mwbx$wyq#C<- zx<6U+L`-?^2-%xPeguE@B@uERWxWe!;+{yE%!>rwpB!^KZ@~?rxsfuB8aBf+tVYT? z)cA(4-Ig3n4G%yw_Uy33#2Y0)rsrnb`{^d?7%wBCtkjIG4LjnMqLGu1n#*H5#Y#}uf8 zV&0{&!hm>MmW!qL$w+$O6YS4mZR<+NcI8rI z2h0^^U)bBJ_4moI1a?6T5$IZ&rY0NnqLUh)!!&Sgq+A@{LdM-*r{d~wUi85@Jbsj1 zMDe?1h)d4ATh_>Ln z!-74WZkNCdX-u$eLvvDP7_{KlCT=CmQ!*pR&@(?sg$KZPH*}z^Kb&N~S<{_U412`+S7>s!=czv3@SEgmVQEg}1PH`Yj7=RpY!UjntZ(j%X5r|m~3dJ1_*lJ=gm!B{?1 z7SuEdhq`p8Oc5chpQRX^Pq~xyapb*O@^|#=Y?*Y8E1%wUqEJpC_|jk> zZjQ|Lk98Tl<7?;0{yex_&-WaiBa>*T5BPX3152{NxpIUpoQDAG$ih3EX^@&`SC1X$ z2%8;7O)B=w0vEuTarQ-dcg?5J0kj3jy}CQn=1Ob^{<0Wn`}HoFk)PhmghMA2;7G8j zOqS3?vt^RnR3?K3c7nJQYP&1E`PM=0TrS_j$)$h5Aauej?@>c5Wr* z^+ht)ho<};u0XckGKwOW$cSjY-)o*}SO&V-8Iw!kI{LqMUNwD*{6tWW6XrS1CQ^4l zy4a#8)~7+^)zm|rn}FxvF1RzXZ!C>@ zTISV!?CY!Ud|I}#s~4Y@odxI0Pj76<-u|uh;c-9D>Sw56TO^yr^XMB}M`BTv^Su07 z8@XE9TeEOr#72j@%OLt=2m8utP6hBR`|pcgtSYDew{T zy1kVZN?cZaY<5nruJiC&n?%n{CCxKK^CsZPq>OB(*j-qnAVIk|uPi}#>g9xkw1gne zEuA&1$fK~zq?F+dSTVfq3(JZMJ>^P#Vgm9u4hkvo+8&=YIXgg!ONr-p&RNYlueILlLBST;M`Q{nL@6wgq*y(%yJ+%>(>TcJG- z*@vF{FPyMRgx=93cQ7-CGH}PQjOdo zm)g`F8)c#(TP>Dok+t#+`EQmzeKE@8=v4g{7~b+X%O};ZH$zvZNn7PUwfi>d73%8k za+)nrI~14gDR!4B>2cVur~RYRO8=H+>yoZT#U-a^CPI_JxTxXu(it9CW~JAanb;~I zE6Wm)nq`en&B~)AFWIKh!>erL1{g)UXCRxhN^hdu>y9_G*W?6Lp_CPtl$BP{gFo8B z>6LJwd*`$iAD4V*>A+^;EsW-rc|0>*L!IdB?@XnUsI(!iW6Cn=X2HsUO6?Ylnxs+q9L`s_H%%7+3|GsY@xBSLf1 z@|uX_N}gt3a!M=93k;~mSY+TvV>TqCmB+0yS5K)w)*$n#P#x8tX5NzW&9bsRR`Kdd znHTo)Fd8VDRxuP+E+9^8RD753E^6j5t+ZgSL96j^Z3Y?}N_@_ zIHS1gIA;ny;_Gk~RF;=Rz6jGZHhXMVX?aU&`cuWWR2B|+ftv~~i5_XD(^%oex&UcO zf9NN6r|cB2#po5QHG{N-@rLBJMjMx!p-@u@w!AWbA%oe_-&1e>Ol;&%z2?YhJ0ufJ zKX_$?Pg+hq)rX^^Pww=wQ;*e9-YYhU0RgOenp!HXSr;~l*J#}vVpvG?GMKG&aD0NR z8V%Vf;%V7Nk>gkHdAPE$+(Q!%!+PI$lUPGRwIYq4sfD8X<7P3L9@&DES%m;!qG zPq5&3dO0~$!wr7Z`IQEPm|v&UITX*qrnH>AtSq>Vva?TGY6cD%BDkcqq@)s) z6vgd;P``f{N-ZjMA{{&|(&@D$;(p41PozhbdCOg1tx-7oAr+=bj6mFS!mH3qaufN? z4L6ZFM@2Hda}>$?9TOv|>X;ZsA;-l$+H@Qyn7E@4@EHLd?-rj=!c?$F7lGsaSBW? zIfatio)!<&sna5Ha9nQEBux6;K(v=JnEi_Lj?2X(#OLLrai(YSy9Uq}p=D9V84=b2 z8*u1^2`Nd~jZ4pp#KWVDVQK2QLv5}q=6ixG09HlK)ldsspc&jugYBL%bF5VUKygMI=Dz5k);88EBT zT|NVer_YDLqtv#ai7C*U&Wq7BtpRdb+aS7k(elc`It8_%9o2H^{z8=WIcoHU&*5g& z=L<2U)1;P~v(zFT|3akND+=5twCWU!-M<-wM$MOE0wrF+#{6p+#8A3*0d3m#6~zD2 zS7JO}{Yv!dFN?QMo*iB2WfR!(V$#Yx(ILoA|&e;1EY!jEDa{pClIKm%`rg(duN z*G-H@=GYG*#qX9e^yqF(LV`+rD?c?xq5(OX6(Ej+oDopWb+<^hECxG!W z86_^jf2nP`Xr|k0&@nAvd&20xgR+~Pjr(KpN4({#}=#q5*as!ci$GwE=v zaDM*^b3Xq~yuXG1F!(TVwZ<6Yw9Kp-23rzvd~4jAf&U*))Fs0Ow0>f1h9|*s0BEcX z@)H=6{B=FnQkxX|L%r--eayzWDasi9F};aLw!++Atnqwr#s6(Qz74?XtD6gKox#7u z<^!7y|2u{vTQRKD79Dg1X-GlqM0Q(cdHsO#dT{EqfnV0+O?UvJX z{9COsg0;4<#pB(?+GWjv19a|MnEQ8l2-29$a(c#KcDaZP2+R+#-%(Z82jvIR#sZyN zdj9}cZ)^5S`!KwqO}mcazuB9)PRww=H^Uu9_(y%Iqz;YBq%lh}u_-naOQhq1P5iIo z%kEM9nI)6n+X^E}!8dF;KA|~7YXT-{&5$W}lL5}0#rOjpZ1hhzi>|Ht{~N!oBo_V@iLQt=Obc5N40c?MP}yIOljo)b1DN?m?d z28j0dD24kvE}QZgZTPDUpS~DlxgI36hQVXLiCF^cEO-bokNwv8w=9_B_gFBeKO9D% zeTva}DPEL|$8fOJ@fjkmm9xb4D@Y;4o0nZ_y3KhVv*eYIm)so(#y5??ywK7g!u+DA z(Rt_j#>8B9l@9Pfs9IU|PYU?5Rf2Ws%4P=408cYk%)`AE^I&RXE^vrak|T?4G1Z=zT|tbmXEtAEJAbkj@gceb;?6+|z9QOu znZzGaj|SP*9;AF~qd5&SCN>r?>@_cw=x>%B`GJ10;AQk;gY4B2pH}B#$1x4jW@Gt@ zjw32qX&xqo@_WubihgcDA6}1p^eG2IaQP^21A8s_cq@Fq6}}GKAAOjgDh!4Cq3#+v zJ%k^KS6>DLhgjmnxo(Y$2~?V@Zx7@EqrgBgFdBG*CBX#XyDYc>xDJ>*L@)}h0PbOl zUjp3Gf}aKUv*4A$?F?ZADwvNqARyX8u#=X(SN14)`auE<6Z2xKK_4{|cCA zF{b|<_+Cqf{{T+!t=B5Yw?%)wV6;xgLBOw}dzuXO1TNImS8I*N+1$Yu?Xlv4ds_;a z06fZq=K$|Vflh;=D&Qnb{8PXSF~*qjzXN_4m>-B4S_?c;qlZ4ot#%s%%*%nB1Fi6D zNU61I#BmjzMf_w-fmeWAs>DaR3EY4x*aLblupQoBf4_ykFYpCSREJT%M&LvMUg7Nk zL;-eXB(SBM+)f3~vt%$CxUU7z2F|qL#hl)_9Qb_}IMx#X25?tkO&?_m>}*wsyFf74 zlHf$E3_l01w1~6`IMqULNAoYR;0{KgXP%g$KES6UmFnhx+#dmLEeS?(0t_P%J0v2M zaljuyWEkHxiDd!@S?H%Qy>U74TLNsYvU$KGEcDL+4-Bhr8M)RVU_J;~C*(TY0Gwb+ za0qxMS}}gK_>;J4vb6Hkz;PCOblK+1fx8>PLoM-vcOkGktEJ9E5s+>nhyf0@;L*Uf z5V_e6Q-E_U@sohZTW}Gu(wu+OZ7*-g4!!GKH_PD1PMh|rjiN!DeqWn&dlq7 E0Yo@EF8}}l delta 50183 zcmeFacYIVu_dkAT=H9*Oom7%+3MBLtdQT-GfrJ$4EG={h(iB7%5K$2jq>P0kf{0iE z6&EQ2iVYM&Ku`hE$6gRc1x3jBedg{a!RPsWp7Q&CUZ39|-{{Vra?Y7E=bSlnX6Ej) z_d?v7OEJsr2`7KCX&Tpz)|y=tTB_DS3(=USP1c%d8a`gy@~os-FBIrwpiVte^$%y*2|eGpDCYJ~ zFSA7NqUg!?1aDwGcf)o;OEgs3KzYjzR@884&^~Sd8jR#Ie2A8|^RDe$5?gU1@l&(r zN^woimBuUfB*iB7y;^gnPmOkI+tok5O51tQc9u}`i=rCwk-HQu}CPi;TcrCnWdcdFAUzFOmCJ2niB-?6>VKls>U zUS#`&y+S#e#t%#mi(wIRNGoPKM|2!Cc}n%@DZ}Pu3>rOY`n2lmIq8Giqz!8`Y)*R1 z)){GohE18+Vp8>_suoqlhL0UIcG8Fm(??cm{~6k#iNi*W89S*Sy7S-acF@$BQ~!C_ z6UGi7G>SH{UE$5xbDYmk zly9_PJ3=FqG%xWbXAzuhjA;gMm?RgqWIN3_l`t#+pD_P2b3DRyL6{pjv&-}GY&`!u zNfz1JHm1vKc6ONg$u|<%aPz8?Vfp`);eR1Rw?QVe?HG;^F zXe^A|+*7!b>>bV$8y8T+X^l1TG*nQ<-w2XKyI9Gyzmn(wq9`GtR#W9~>1^3QXX1ZQ zn6>k|K_=VA(?^o1TCwz^E?v45al;bqN~%1dvBs_L=-PEq*WTO*^{*;14*36#7_(O= zF&{ZO2~KEE2J`yg7OKlHl3nEdLL>QSG|P+sys?sNVw%Qv)|!$uE+EA#^`uB^cgjEK z`+sb5*IMK-r<&*gd(&LD4rSr4r`oa8oSl;MI=>A*!y0QOYi+Xqw-IhMG319YwCz z-xl|i>!#L2(}Zq}oit73UWO|U?%GQ~kcFt)@+=G2lMg=qTUY)3%@;4^Fjoyu{BR3H7-&%JdM&)&GulFCOZ#fan3uv zh~xFBc5|G%LsuL}qKf|ZgT_xGL|kztnyx@Twi8-zW>74%>l$xXK@B(YD*6oM8qb;h7x<~^b{NtZue^3#`Va(jPfcXt1TX?l%t03?u+M2t?~ z68OyCy2iY!PGQ(y16DF@OvUBfbj|2?qz+eoA?e4@T@1fi+or&N5Zz|-0ngNdG8J=NX11jVQilL5S)aKEGC zMSm2Xs@wqFYY{pLCT0or&wKy?Y2m}x1mgstp<=>^DK1L2SU&=aV#^2$e*yTgH3I+` zr&y0-#Ctc8Ye;eiBng`JiJ#I45{glHGpWy5;q?rclLoU0r$WT83WyJ>CLAVctovX3 zI9l6BcLn-#Z6Dol>LXj>T~>r%4@IYaRWrKO5HN$;-)jl=RfL=&0`)P=Mq*&27;Ra= z2;iCnyrJT4l!9ZW){sWIyVGJGX$?MSu!glLj#`u%H=)d^Md`E!HnB*_*hls9n9b?w zX3c2@s-wT%mou^REFx6{o z)oWL{cWY3?IGOnS0J8>Vl`o@g^*ciK8wOj_V(xxk_8H2;oR_e2uo-T4wSms=3cIa_|ihM9DTbNl7)X-3(0ms(%<_)8y7*##=p9djQn?2l7#~!|wRuzdc-@;ffYo@_UwVA7^c3Z>__k`D zIHi+|;C{Jfn*M=KtB>wqd;^QmY1Lr!%PvF98P($Df6EPBK9&csF_b_)Rv-a>Ib|7| zepZ15`Dgx)mUF5l1TC4GeqObN`Hv%~l8pjsmRyAaN&swGBZKl@f#++G&!oIxU`Hs_XifPbO>8Bg zHspN+f@~R!iQq#ZXjAQ4;QXt|cOW_FfenCX>r8oD;78!m){XLBffba`r@T+#SDF)r zLMr%aq6vm&EA9lM0baJUV?=xw5vinhE${_u?nikZI0_8f22x%RTt@_lP~N7A55b^q zm;`Q6HR{=XRBM~?VQXNgTJW4}m|XKIn+=-}(Oj*2FdK7T0UFzGhX;I1!EUUD-A=G? z0yda2(A!Qemr)E?KH+(iOS}!mpTQ|p#Vb^t3Q4dRsI@&jKzKIs@*)){QE?l!?xf;F zC`P113mSWg^uw1A3L6PFyfLprSt*K|)<7pgM@C}&Scrh6h2z8490e;;imNC_Y{3CV zu7F@zO9hmufI`7*lo~Z^6;Sj=3x-+_q5GH*lbt|HQ||2xRG>o=eq=HYsh6VVr)YI0 zS_-=tBW&t$EDgoMz6Q^hL%p@OLqtfb!zktP9E>Iq>!U>`{Q;B(iY|g$4CEJBfflWp zL^W{Y;WVPpT41Eu$tYV?7FbluVs^po*h0nga#@qm!m2`1V>ZI+-=Z3$``N&J9XOMb8A;DDP0EwU3epH(z0g-oa=fm(~ib{fQ4 zwX$R)p4TGJle{k1L)ri&r`0j4EvyN|-DTBrg~FOk6*edZBf*EQIa%8kY88?tADePh zBxO!LCn*$*J8!7g>Ibz}KO4yslK7)oyS3@6qjyMbZR>T^xfTnx^*U->+tC-)QK>?K zkigrmwJ4~yI$D604KSq3)znYyTVz|qRE3_bIlU~*HNdp&0IL}=U5cj=ns~RW4lFx7 zSla<=RR>z+8k*Si6cDy8;NrJhAk7rgj&^kG-wbEalDd{ zVtbGQ>=oF3slQ^RY|Z^>vbx`^5O-3Uyio~23D*nGIc6pe_7$}`Y(iz_aIA6xm6e0C z%2TMU7~ZeCI)}0r`O%;9U~i95ZCI(%cd6y|Y-$Dnlh9N*UaA}m>$keG%AIL8#8G%= z9<_ZBCXM;1i1&nN#$FzOH^fUHV;_&7hr!dwc!6uLK}5PX2wmz<>&Rjkq8~c4cuct_ zOoD%$f*ybo@5kb#wg0*;U z@rB)JK8u7Q7lOERpow^@orL^4D%kyU%0arDDkRg<1e$v4c?_A%Km|4FDu z%TtgBj@X9~iq;(;Y=}FMa|%$J?yPEtqq~spBnfALXN?T@gCtmQ1r?^C9tKosxK&Hr zirZm;VJZ$PRY(t$K?b)XGQ$-bmD!qCh`5RYhBMLz*5BYKYyiSh0RAl60=ftpY9b&- z^=85=4IL;H5S3o5?P|7a{akf5oTx0X*HtP}QC%&s?dk;rY7HJdT{!?0+LLIvR-2pv zf3&D!wB?&=DQtxbtw9eGQjzlegd2npL^m4qgbl4n?HQtWkxsvdDr)^}sSQ`)U9s96%!ltWylnD~s$t_#MA6E1w%nqx$6C5g3*ASQ@h4(0^IIUD}0K7S=3 zrNSY?V=c)9RIPw4H8eC3vxUA%98{|w_p2U%Cwbmkk60E0ON-c@wZzB=vc;kymYcnb zt<3~Z+EMMS z?dpKSDyqdgP>VH+%;=OtvK0LhkgTDfvVdR>n`!8u)dQ-j1^TQO=rQ_7g<(Z*_A%tGw_t}of{dr7s=ph2S-MR#HUn-m%3}D|9?DOT*!lu*VV5S0e5~UXi(W^BhQtLuw zU|*Ixsts{7Q1K3ZU{XW|Qq3&m2bAc~a)%wUKwI;5mg0OzEoZY890mU@D4 z04)U5T(0fQ8j6)RL+(YV&RJv|uhPEApvhr379neU8j0t0=ODGsr~ZEgIbF+v+}$TS zO>llnkpUuI_4hEg6CD#V;;2ASodBtbCso=2fKxJh z-dk^kypP@ysjuE0t$z9m)cflJ$Oq{8pb)69M;fH}494~{SU-f0L-g%PL-kt$8m9LG zas%B&O}Ng07NH*oLZlvv`Y636YNGY~kjCiu1E!(=ChBALeMsZ<{(y|vzd_!vCjcfv zPex6mz6WWNejN45`m?BSq<0R0t7xoOqbfxY#3)nskCAVpKZmrbW?1K9wsathGpq?j zY|>?m9!tlohIJccShqojb;Dvms<5VGES0AE1I)jnxphcA4Ql%1MqFYu(#sudXGnz{24FS^}h-}SPfW#6Pai~(q39TPNRUBNd<`ard$Gd1tMjPCS z#y8sH=$<7J4RIeK(-s*hxjov+YbUT$mWJl_kTL7KgDkQDO%^xNUKko1L2qGL{xRu( zs%WCjTs#Ca$+Y9)M{PfVRNxyV0o-(v%R=97mo*;>A(v&vGMZL}jZDAf+Re<(SC~%s z9cCd2{lh!V;neVVcbEz2?dB^?>)x^}@nhXv_O%dUxSDd}HX62uqvV5lnCd9m#4RS1 zk;7S*6Bn48{-bytZ9(4Zu=tWHg!#B{G^r(0^L5{75)D5^(CE~Mfu6d5G}Zm1dDH!4 z23p;a1rHOXx_>m){iCVwA5GjpI<*2*T|k=Z0@73$kmgMnkb{8iuNwo8;~8MxKi&;4 zv;gb=k+|Ri*8L;(Q+xlo35CEM=!CTz3B8gK*qU@qf}p`vnNIY$bNRI3$!L8G^%3}l zz)D(&us$qZ3tfczlUAMKNAIAb9t$q0-cAI%(>o`{iYguG2aTr6Z0g1kkHKPs?xl*H z;i_T{D#D7WB9|(PV4Dpd0ls+wsKI+1$#WxEjPo7TTtNl6sO!YxJ@0XJQOF8-&RV;#T+vsDAV%i=M(Y1$h+~GFdU>vKG z;W{s&h5mkx#{_E(@%)qPlmAbKTkr1OZMb%H?S8$$ZMmILgWHjVc$m00kn+oB zSftav(Jn;i{^@jeU3dYi)=^|@GC6En#dJlKg@pyE5YAni9C(7vrg%6gQam5@4&Kwb z2Y^Axka-Xp&7ij6qXgE9jDz0fu>!go1ov%Yr{;A5eFa@a!&<8P85xSKf__5=Co?cP zw}s6|L0MQRO&$6eiJ|0}>0?U_eGxVEF%v^+7SP8?3cZX6eas|nC_+?Sqth$5bybHV z6e08SqIDL3d*BmaO1as(#DDdchxo7F@(};UTOJAM_+}5;e1!vbb#Hm-b#Hm-X~V&g z$L1(Fw+s&}{5A;8v{rb^YNoZqQ`D`S)(TH~FOydI(yH1Oo+^_~YlWwLs%fq8ly7cY zD?H`XOlyUwd~4HM;VIwVq!s=x5OlBbSJ8W^Nh`cQicRTmt?;h`(x6j8KSp!JFnJaf z=dZ371FWk>cZ)Yi*roX^zwZyfFJBtPvYL|HH-1#Fp9BSw+cz$$LRc`leZ%u2OUQ`U zGGR1}FQwSba9=hC;j+>AKu-i&J(w;V4fkcEMd*xb@d~Es%=l29A^HU6l%;FNN2!h$InGu)Sr!N_XH1=SK6OqY#@=dv+`E*p){^mo8Z zsFH-O(@vO~zgm|3)w1MYZ&|W+qnmU$WP$TKfvR=MU#(02YF+YI@5T75WyxPHOa5wE z@~^in*-8%p*QVPBwn3<2(z0Z;UW+klS+Wf!F1Sg{l5GTa<6f3*RrG>{Ey&DRu4C~t z=^oRx?lDce$26^bOq1?0P3s=hq9@Dh$G0m?iU;i4@Ebb2CVKd;)`F>qA zcn29a;VP#1T-XR(GX=3rxOydJ5^>FK%O`~6CQmjU%btv1LcpiUm~Kzeypk2d5g^2z zRSojeSk}D>&3u-=1^^Utu{D_3jRDcnk^%MYM^r2;>M z{att@Rj#0{A+}&y3{RzsmCB68H&ii*Dppw)-%`b=^7J^ocX%Fz`oX=#-9Uy06W1Is z)~Q!g(vYDh&4)mfMP3Tv?O;}M>~GC6HTTQ4f=S! z#FIUXtk+JS-2A~TYn8P*STfz*(#M>{lIbpzKIRmbOcx9EF&DCAx@@A4c?WCcUX|r5 z<5?@YbO!U4yQZs+Lr#j81)qyVYAt=B_8+0qJ%L~g`j)7Z7FF(qb8+6eWP1zVZ@Ie+StCzFc ziG)fbB(YJRK9r~PH2MTJB9di<5mZPrBYDw>gC;8Ba24b!RZiK==cw(0zav?ITR3>FQ~KxS4_egx!0bsma}83lo_U*lRPlyN<8XGW zi_k!$$yjtPT6lvqoR;b$EXd${QYjZ6Y&xIEVU}*bMX9<5GqgLK!wF~!jVN8*iqgwq z(9nivQ@S^r(uw3Kw6|JPnqj9j37s0+kLU`=JBmUL6IZiSC1C{|p z`>Bx95ZIQXWh#XZg+<{&5bWZ3u4N<@mMXEF$fEpIr3mzn{LXYrp9rILq$2%?>a3rt zc?_Nj>QHHz68?f_RFkhLM8n>o8pR^Mih%r$5=x)xMX49u8Dip@ipO|GZno0OCd?}w z9xAQhsnn69Y{J<` zskMia>my1vkHIk;T8+Z`L$NsD*@CJ}WhPH3f%h_~#;G*@m11IP5!F1Sc;|}TuZmHl zDb+7mrkbyW-%}apYszfrD5JhZ4d9L@1i4L(c$pgNt4irp`Y8MVTs3^6MBO-@KpQKd zt}1<5iEBh7s=r-z)>})ap{K zUm{D!i$s*}Rtg=j6!)3xY_U?!?`n8w6@^$e`aXRC@6@str>P2gog%tRY3qcV-wjlK zm>OAgHSWf0F0@oqnV>p;T2;8@ zkFS(!R;bZ`uR2(kN|YNav6n09PEe48m2?LMEBl`nLO}i0I8Lc?_g6f2R9zlbtSnOk ztXAsiuT=25n%{JrV`$x!tqk#@d=CYGpAv71lF%PY29>IpV5N@1O2y%E%Ko=0&{>MF zJxbsg3W!j!(o;t@nsLhcc+VJ*`-IS&h4=lGc>>nidz4AyYz^y5viq66OuSrWyGgclc{k$VZa&>fHo1%sAroK8r|04E z2&b1zjjgJ82P2(rdYpYBH|TurrOJfvneSfqG0A!#n@{uS6?TNW*w3DY+KdBiBKd%WY&dcLDmxgA z$7^gKJr1#@WO%Q$10<(6*di*u$=1_|-eRqZ>9^S&qIsA(KOXFWm9c9GpjW4WKd z)*X+jSZp=>3y?cpr*L7{R*C;Et=2?#U$HS*iXR_er$-;(TQB%oT5Uc4>21l z{5kfU9ghQS8kz40Y%Rv2DDjoWf0Nn{^L;dxj_}XH ztMLwx>WRlMdOKobk#U?%eYerL4IXb9N60weHqz4YIBdL|h{qA*7|G%tBQO__ca62= zHI5q230`;}Gaew5J#IWr(tO|8NsT9r5c2US4Mts@GM=&H@c}kyc$_xIlWxu!t4Ze{ z8dIr@kBsZR@c7ucgLH7#c$}QXIpaR+@Vt>iV*130f|!g8#sCXn$GdxqoTVkbz)Ke8&)+@I`6lEZa&kd$+S4W`l5u=@#eE*~F($2=ZGRz9CQ zNC9{AYC>4VKcnvd#y8TG{hr@JgZP0*V)-cJ7N=A{|V8Bm^mr@h}tPJoz}jyq7{wzoT#Dh zzY--hhpvnDq=g!>*uZ0+9z+}K|(?&ffACE119+7!k z_a^;q(}PI}JM>-Ic%0O;N!e%gk>s||>QhtjxS&s=w$JscB(<;g1Ej6*^+V)DFX?pk zWy~|4B9ohM^le4)-%4YOiQ+@Xk7WIi8WCj0YmIUe;syinW`G%k-itP#G=3zFZZ#fk zgvT>Rdou6s#vY1>o;R+MEM7G7X`bvdn&;xN$2dY#-)kI)wW=xM6$TGs^S?$?4El`D zA5?Yw-$`bj&(e^)M3MHpEusmLQ$yq3$Y#LG0Lba9$+gE~I2Vpe9*-k^J6g@b)%*e%Oz%xcYHJtr)_~$58QeK-2Ne&{ zC&J%IOxuRbdethv=^kK2?&*$h8U`9s`MB2xGalerIzk}Qal!6Pe26<^4>h7DZs(fr z6bWJOy2J+VOcH&!k?@iu+?hs^?o8t-cP7QqMQXIW&?Ls4Y1+`8X=b`J&12n}7IE%O z%XoLD6~&Guf035pu1ZgIXEKtkj8Sk$&)U(OhcJ_LBklST-1f8?#*wy7wj*sf^H*r8 zbEDso?=q?s_uF0H{~0w|FX1g;BYW-VsLx5oTQElM&N#e%lz0Dpu2H*BbJF4_+|bJ>=@xcHjKK4NH@&#rDq=`QxSM**>b9bN*EyIHpy z^tF(kArkknMN0v4FIy6b$9*jM4nQtqS=j)&pM`csWuK;{9~ z^8_Bt+3Iy@T*1b*0O&K!3t#Lto@Fiep!6III*P|ORz_d8-p=f$DD7Zl#{uMdR`fbb zFR*1;f{Yj0Pk4hGPVQU0zW^WC`2s3kY{4U_+{Ky>1j5TsHWFWk#bW-|Zq)8!>nc&Z zmp$15mHXJa_dx9xHh&rr_p{WG@i@SazKF*`=Kl@aUS*%-dm0ElxaC6N`O9E{yv1HTgVGV!b0bRcvfpvmWgKPB(enZN9$N_`H;%Cx@pv3(uGy$O z!FJ$lB*sZL>2Z`!v87%peZbx$$)0ATG0%-N?3)F6e8g&=#^Yl)V#3 zG&9`(q`AlYwD5SJmgIeC{)<)~?~`WJ#Xs>t8xi^HMyvkV5gTc*;2Ug4#xMACj?wxA zuKSEO4Jd8<4EF6tyUy2E8>f<>$t?xDS?gYi1`A4)}MIX&*)7fc>Q z!vvSGl%p`{r7VSJ?jtPiS%5ss`maLU8g^v~D%Y|V&!M!1?OBCxpJJiqcx+{ODV1DP z2EGXn*L2%`0C|zUI2*(ef6e(G&zBf}7?8XPy9A=Iv8{*kIK)DO@i@xP`QY&$t5}3C zj=Q2Lo|egLJ*EKmaE7Z!I2 zrC-^bH7NbY-g1rvnJaAMPat}gEq9^zcNVu6h<~vB0|33oj*mjypUj*D$m{IHVU*_a z!+ij-^P1K)%7- z`J(bo{&W^9-{Py6qw;Ou)Q-|&{#_+XNB9i52je*JPLAq*KK22SKE+R#qU{5I`T)Mx zW}N2vPmveEORR{B>U;~Lo1|fgYU+H8C%Q3(x7XiAH{PD;#-}d2sdFv9?uq!<;Kq4# zbmRAz=*Hg@-30u7bQ9<%8RrRe;yq!GJ;WW|h=fo#P@*TwN%BNF$tubr|I^45<}~(% zIVqkn=f4Ya5CQ$O=mt{#C&7)gF1i_lJpg>mZiXq|dJUy*zQ-4ZjJAjHg&L#7S-g#4 zbfllUGI~7ejkI7FUfwr)&A_)Tj8Y%G4QupSg>TUq13FPUus5|1no0GJG=d*GfoiJm z!Rvy?s7q8cx{E>nXXYeAnB_}VckHEf_Act|&WY6VUF;xXEMy(2?jB~+<6f3WaQNa1 zfh=Ob6I=J=H{kGC%w8q7m#{dhT*}T73lHEWYCM*)c7(8;4W#is$W}Y4b`={%kBv+x zjLoc?Xg|da8rd^=RRND}tP99kPAsP_zItoqmf;OsBX8Y4Sag2y`!Mf5A^TwK71!G% zt=#_#X2C7%nxlU7*s(~*jL=Xs_Pt?f9hdVgu*SDOMfN}8$3ZAeY}XdelkU$2X!YxM z08MWHHqu*P*^O?djK`&;F}3JBJH;L4co8Fc0t>_=Yl6Jd$f`Uc_48M<}i4XatK0x@0KZIAjjdT2sdE|f2^AFpg`%n4QwZOl^f51sMnvrwaE(S~o$PN*BC#dWZ>${`0SL}ZrkA33qr$OZv@!nyS z-V)oV0Pk(lhGMwG;`j`dj)=fPwEz1+)U*Z6Y4J0$ct+g21Emi|i=8NaB;F1H#yR2r zAWG-O<_&23M0}ou(oez%-z+nJ7X2x*yDYll7fp;`MDd?s=2wx4A1pI|6Fomb>58bL z=9D7QjZ;g%2j$aNyfQaKRE%QQtvVVFc0aE;0KG0hxM;}pz;yD6Mk97cvN>hg0?k! z<2h(st8a4vf1Q4v;_&tQ(Z^8vn11XvJT~Z?ih=OB9`Xa)HtMoJDmUrpW})&4y{a6g z&HBhVaQLKta3w&tIQ3^~Z?aX78HwVH`cc}V?9@jlpz`Ol;Dfxe*_kJI|YWXEUp^DP1Sp{~(KyA3U5amT=prT6h+U2M z%XQsy$+37321YVm{^e~nsh4qAf#60`(+f)<1!e?5}%#3OO;c*H5amArRtSg4#cdc)(ZXXHEj^ae z%6<4E(maNd?lugO(fA))2<*abA+QU#g_s@-F;X6d9?4EPeWT!Jr8(8S{&%(Ze@|&n zx1D^`{om2r|2?HSQ#{H_^(d=}M_Elhled{iMa?}bYT;2)OOJ|Lc~q3|a4uY@FCWh&^Yu(J%i`*}VL##R@0n!6I(e( zIp!4pp71@uQiVJHs~Zmf%Onf&OfsBgT9XW4HpJqA;lz4k{W#CmiuX8JdqnLVv!)jI z|B0TtmE@UQ$)35@$TPPZd$g3|nLz)Yx-6H2N#@a83yzHiFn~~RLa4-jE3^bCvE<+t!}2v^1){Fmbux*j@-PQ!d_W;7WQyB z?CI?^hoi7}g`;myK~|Zg!ckyHMJ3hb%L`3J1A!k4l}iSjPso#rwkVlC#B3)VmBljX zGH(!_nNe9>q&Z3}%5n?y^MMWG#aU%}xpui|mEJ_o9%5$s5wZ4;oYWCb>?7pvA?7X7 zefl^GvkNQGeHwL^TUV6+4)cUO9cLy6IvoAV3mvx`mOZOsgy?lSM<#fk~?C%nTYvc|}%5 zo;@8M;I~@offA5N{evZoCh53bfxd2F)Cx@EN<#!df=vYhU z>22L|f=tyA+{{eAYBZ2*zSficdgSB~!JJ;1K)*56j0krRs<@di?PbU? zv!_g~F=FJOPTfm3T*pJCbD!Qk(m_f}&uH%+Q+i&Dw&|@ibBksFVP?|+M`cBpBi~X^ z+tzZ)DHhziSQIX_;&)9mz-Cw&%gm7e*Nl891uZu-BYj7hQ9*S&%<6~fn7CcNxG=kJ(x3|VomXr@!#QNt8^eHIMv^=_!c5L2eR`Y5?y;bx|Mv&!ru=Z_c30hnR6b0{-i#x*o! z`#@0Tc_fQMjK6oGdvutIP@^R}OvData`QDuMPVPe$9NSgbFc{z?Y zZ8|_f(WA@`GO<#RZRv10Fn!uPra4Adjlw_vF;e*=kGjZC;ks^bH_G~xeMXt7k~cP* z%9hXCqQRNR$60{=+U1wFXjf2XDZ-JLmF?!1W@mn07OWEksaqy!(nA%VZbpqFDMrZ$ zMwvdmu(wRVO^=syl-VrIQke&?-6(WWDIMKJxIxQEncN#HV&$u&%&6v-e=$*ltf*Tg zP;^@jP1ZA`VD=lki6%WAN-1SoxoVnb0IalEMJj$qdukIqrP?idaOD+Q*?`L`FHlmd zoeuTv7rdk|!-P7XWX8y$-9&@T%=BWC2N_nr8m-%RDX-<`<(9m%^1_nd_QVYQJ1A+1 zGHtZkOn&pKZkPQ>oA=4P-xERd@h^=Cd117f5Hf4%^VA^1L?3&S1P99@6?nFjv!May~_5gL)xP6zZu@+p9fmthQ z+W`2B5j~8M7Jqdx+OXm9@Yd+mx|0PlT2m*pwS07pnIhLu)T1K)vI5(!WxH6iQ#{Pi zkyFweo_s|0q^Z+n{6amh5txNOFn#JfVe~>C!_7Xt-n(PWN^fgjcG%lU`&e_~(29b} zUfH!CroMv#dUj!t9(m;zj;vxVTfOqYDppg}|J9#TPk)&>`ItEEDL`nNZA+9v*;q4o zrP>{$5aIIIv1aoitbtjTa4?pagk$o% z%wy&8O|}LRbyirngi?qWKhA9IkNBf@RY{ZCKMn6UGAk#yGRobT2tF z=!za=V8&n_C>n1L5DqMjWRUKgAnZ8Bv+E_|js9=mhFlW<)+AudHuLS&>_LwqgWPCqA2wN(J;vEmp#)fT~425mNu(IJnycenL=JtEedr8S{viZS0|ayBo!vB z&Mc#Hi>`j4ClCCpCm~dHPrKS4L7(&u()Bgn{IH0Vms;t`@~yohjyoL6;6!?xBH6Xt zO!B8KhDVUC<(Ew$WJk3b8CL6s3n4(IPb?^jtBj3^J88vn*IU}_v3W{dH5IPgzl&?qIFY&I>oV%mD9-3Hpt z!NTIWrKB*|o=U-W6LfR)npas+t7PScZz-%7Lds5)&BO_q5G|7e=nsYVte(i=1kAD z@d%}@^qXQ%YeQNii?XJ1dRhiHU^n|OjKm#Yk`u4&1t%h#e+K5}Ou^h3a#oL~{`30L zRto;no{6PfRxi`TS+TsamPZDA;sK8pWXMNeGGbdJMzVB5Dscz#bvq!`DLX68s>mrQ z&b!5O_%dW0ruz9QWJ&4ft;6jZ>t-8;07@bhe!~J) zJnLScJ)ymP@kKoa4y4{Lie_%Hd~cO#AdBDPkuoG)G;Dw{pVpR~g1np}N3YV<$A1WC2c;w9X88Y_;TPr!J8y5HXrkZnFc}%yTBR$Qn^2{`4 zjyE|z3T9}M$YITmh6O65rHIxY$hy}}kIVo&sj6zEW9ryhRgP(-Oq!RaIpxZA*ITaS zm}zFaFa*+Nuw`1oYxiXG%ZNd9KipLfBYrf`o~{rCaL|C`&>lccAJ@%<5?Hn0ersuue1e) zfM8gKmGvfRW_#KZQy@?`mp>USqI?~W3DqOUJ4Q^Xo+`7d%%l)fggfSU6y_G*f|>j2 zZDxFq+LZrw){_7{QQR+QXwA zyjnq`$D<%DXd@$Uhu}tVxT}KO%{EEae$PDt6)&{WgzL(yaP-ciqndR2K%^~3F89Wv z(QKf|gk5@=d}agAL$=*+#)Q;&?qsZ$FZL`h29Xr};y0Uk6Wj;I_4j+0^bgHIXVR?xor#6VB z5I`%-!f6SVZO3VdJ1e_yHsD``%ByF2Qrq&1UYQyB>1q8Oy>b<&wTpMV zUNm;#V0p>Osz^`0z4^>0cCtA8OnGA+Pm%ra#Ia%8VG$nIrq+oUl;Bv$I)>YHrj+kTalk-wAu6U=}sgXNm^_Z>| zH@Me6EUoa>#n4(mr`fHk+YRGf(c^79w5B!R5UhkUJN-*UrTvsWZ7$sT@c z&oXGQ^4(lBKB@izr_vtm<))YzyEm_S<}LyG$bIt=98ZtH0l~F-X77l)kpHGr51BvT zoStea0fMbvp;B99wjt^j*|IR8A*}}aLlN*9;RQy2^jEZ7uua7-Nok&;b;dM(` zfwcjqKvyln4!Lxd9vOw9S%~Bq>vpAZb??nL)3G{bVNLU#+ttj+<}CayqQ;Y*jSh0@ zU1nAThbNY*w}rbo(K z7vKb=!xE!?Lky@F?c>~*aMKNdT(Q7R2*zk`+DsSA9Sh78EooZUpBC03(x_3DV1n2s z$D5mn6K^#M<-EJidH!^UT+6IZjriz} zh30S>x6FvaUKeWd#Ex}ZkRLBJ^KM$2`XCmEDaPJo#^bblNH-A~(;DVPbG>$TsK;an zz(vu(YWFFg6<$sF%6rTtK`RvPTD#KW6kT%A1cHC77qzRC6wfJV9eIG(1!%6_)A*-` zZ1)XLOfPp7!Sa@iB3fRZ#Sh7$Q}CbQ#>)w9Au+M6fGj4u1##226I3 zUOAN%bERj<;8P+@9*7n`^1_q4E)(bR$?}c;JW$$iLD6fJEz-4aKJUO*FW}v5IaoUL z(`DAPu*%1A*xEdz9Zwaxq++ALXwzIcF`OC*R*ALS*-K zJU|Xv$6LzTTXDtl)H*Qt**YAQ9O`EacC}rPe}7M&Due_J-bPfH_ZWXgj=rP^yP9pl z50l7{O}vw=*<{4J#%_B=1*a?WQqn+({=j~KnOO3O0j z^_~1%*?j;867AxhnQ2KoP2xAW&@yS%YH z(btTWeNxN_*Rgkb3iB!~r^AM_3fc7{k8(A7kI!TB@G;nMs|uX+c0SH~$j(c2U%BEq z5BJLLm4zduvP^j}QjcT1weTXc}_Vhdj=;@k5@=Wt+47kSpdKKgMPC zd5o|$+Dwu`{d7|{tFZaF{``cOG1t^j`4b{gDJ(0$uq3ytaMIXmu81#qypVnG*8OFV zV{k%4XX*I$wXwE9*Rrqq5hka6!$alV=Mhc5F~}C;TKg^U2n+eZ(B-JFY)P{EpkYe; z4?Idv`~mZ}ragMUZbw~vytgVpSqc{3EfhYk_>24_A*ViRgvyM5XzBPfKjE2n7Xl!` z-k14I*C&@b7eR`}UezP393|7IIZATnh2MCge0UbLvfv!F-SG;~mFXjJ^0Dm-Z{qso z3V)r;V{H$5>iQ@DiCMS>H~63O zm*X(>8@=JF{&-jSkyC2;82RuxsP*tTJw|?B!<)(1=fNp#{{mB_ja=RzuIs`nUEi4@ z?!vE3y(Ucg9uxcI_NHc-EIBV?EM7vg>6on%KN+eUzN{irzqe5^eZMbDu59 z72z%VG8x#wFkE-~h}}YlZWkf0(E;KXLmt?L0Khj)Y>;nzfOFGrMa?ixX6)-1C5Z-((0)=(5>HEDc3i^@#}?fu|lR*!70lK z(b!cJAr5odp&yQPPJCwzm1m>HxANOOw7d}`nlibfpV`wD5G(fUuFn!gDU(k=Z%c6v zP7*V8`N?XyhjS_74td}Yn=aR#HWKBr=Ab+{6>QW5z_xx*6)#KMP9w_zYmSO*0d7+x%A2qg);qC5$>9kA$*yv84sGfGR4DkL9QO^ zTGLwm#-#IY$l=P5M!a0#R;+aOZYLhrZF#-3a5F6ZJBtTu9nZlagyQdZ76mfy7d=3x zUDLhgE1iw-)eq^qYj79g!({bd?kg8OONR3bS~I$drn0*OCN{U5NRXp`@yRV zlT{?f$++X9iR+6Zahs6m`k4_X^$Z}nJ__eNQKjNh*ZES>nY-@mD`qk|JI(Z#os#f2 zA-q{(-`pPZlbVJV-n%V+M02C46$L^l=f{Zga@tF_X0k;*=J2$!B3oWN4BP`}gy9-6Nd&W~GTa~(m*+W3^7C)f zZJKLLwMb)f+4qQCc-+?Bp?O zv^f(;YVMV#t=#`DZ|K@OMJ(0*Cy$*(|753!SnA_mT_H8keT~FR7 z1~XUCOfiVdx1$k3j9E;MtGCVD_1GQa79oB5n~CzbIbxlB48L?HBUb2k*Gi}OmdUT< zajpNwJj|7vNN8c$d@;v$aX$VrU-@Ha(@VbFP{)nmXNIpFzd-y#p$7P99u%%h9%gU!2_iL*ZW<-Kwo*?lX@TFXy= z)Z<+bEQbW#(r+u5RU=v%yFyH3a;vv7gG??|HeUsQ`1vYvpM3fuy|HWBYH`2eN?#+& zgzKU8VwI2sH;PHFvl}t=)k|QG6Brh?p-+e(blJSW+0NB#t2m;|&fCOp8Tb_JbJZoi z5yd{b>yK?>1efEV7YIkZ4I@mgMOpb+inP3b6@@r`bCk+?N3c}veqQ9sZ-xuItLAxe zO?TC}gvsTyJs6gKH_U$q63#V4v2%+ zlGt7uGx;Dxr$t)+w4aB`KM#t=wJt2{BdnR#-x@YK_b|MkV+#!Nfmg*@%UvvZO>~#N zd`y4&!)s!pwIY^JrlZC-X}t<&$tj1#X}RQMY?Mk~M|fH}#|V)hWa-f|E!yywTh|!@ zu2rw&ckyLXTfLj)pJ3s4zA1{>suG*c_4!+(khyvu7LRaO%{yWNT+}Rt0}sC^r0jYO z=cPZsZ1a&X9TQ^^s6zifyWvW2IgS;qS8Ghs`Nzdq%yq{Jkz6l&Tz8V7Y5APhLHNq< zr^FsBQla6OPn$A*#56f?A(Za_0gi>v?uQq`?m(9deQ@LMydZ3@!Vkn5AuEDmt>Py{ zgC`DQ_00T8^vC-2u_%^~o?t!bteDT_r(PKIgXhFkxSmjl3k7z$;X9+D41C{+bgexv z1~3XoOnI@3?&FHRAck>|UJ~v^O#JL;ViTv8+9pSTA$rP7nXs8dUx>c=ede#l2zjB8 z%?Qq$Q8j5A;+M(Or{z>n8Z~yby!0zv*~YI$M-}7B>x1D`zxrDA4psgPG4%hb>^s1# zDAxXW&(6#y5JCu{g+LNWDAJP9dnzQ7MhZxg5FkJ#gb;!t0zp6#MF{l;E)q~c6cI#Z zP^yAnyoz84r7J4Zi-;GL?>D>Wgf-Xif4~2Eo;|bg^zzQzW_HFB``wWTT>u5L#H@Go zjUd``0#fnO3q}B_!Y)vbMm-@E7L}rRt6wxiswt|FlnEP1TvD%&^kyLXXeT#)Y2HOp zWt@f&YAB$b9J^>FL#k|u8QwV(v}V~B5QwptjbpOtiqXNa@IbzQ-Dt1dl5wM(Mx0Iy zZy9~)-P^2{ymiai#;I|D5g_0Hw-L(ZpMM&QU0e~K3_2T(g$+o}b*SxUkgt#EY_Z*I zQB?g|kT|8u&}J9Vwr3d{bIQfWg28}3L)BQ=5acMrgv4;>B*d5(IO{^+aMqPt%{JP~ zM8RIxy{(y-j9nhIb{8(s=|t7NSgU{vamE&GY|UxSW^_RGGG@vby;-qAVLyqQvVAo+ zUiY!$$7Lkv#HIG4$YhvCqjL-&dD@qO)qYolz2l=~85%Dw*Vb=^ovkD$J@ zTn+5@fE-1MhH_5;yJX0B>az2gCXHEb>U3KK$<=|ZsY_lBV$EH&W+3n)G??wgJqzI7 z*n81CCpN1Wjc|vU**u`XE4^&A_0&m)Mk+-CX#h93zA2z&YT2D67WlMrD zT|$>#i($}XvwWa*9gAaY8Al7SrvDk)`Z%nf$=DPm;7ggj+G3CW-&hv6aQc8?|vF;w`LgHpZcoHlw;D_ zH#*fCXg*XGQzcS~QN5EpP|QD|Gry3*uF#BK5L%XJGNRNh_J!=3&7uuT?_@Hs$u?i@ zL}yRAg5{A%AP`Z+ag4;-0c@1Zbn`8m(H8PS*`fi2C`&M6SDYU@yX zu5HECy`IA^LwHBWT_4IW>cSpH%AIF4qKm(Yx9G$yqYj09;#y5BMz9Q7GY?;pP#JCj zpzlYs`?mCBu@qSPdL^gDWF@8cChy1C4vNbY;dK5nv?4kf(cc=w3T1i$OU2o_V_7dt zs%|0wEMmcC9N$yORaJ=Ra#_+8vn1TBT16TNyY@bg1 z?;z8&Q`tX+nu~E6a^W=04(e6PmRoWi1qHdUOG) z-ns>o&3C54yq~&5^lmwejivPcnD}qaVyX1dY?i3h{F-!pAJjEvh@eTcS%};;n@xm% z1sejzKEo1hW%Y5Hc)YW>E&Rf*0TM;5+%md&AE)^YtOO4It}fDtlQ0Fi|NM_!IaWxmA86V7a`O)r0AhT;0vB&95 zuxRX|hoP6DqihZ2Ob%VlTDWX|p?W`Tkt##i$j_Iu<1Tr0IlE%YlWW)&m%Q>aYpPSr z^_a>l&#|sDc|DuMY+F(P;{1|4>GK+EY0%_0&^0@4W=kxwh5oSubMVGy7H-ITXqw<{S5n2rzJH&o0cCC!SbtftfPze zjD}YH&KYP;4bQX3=!_Do-Z~Eim)(D2IlA2UGwZ6;hRcxoetwmOQ*;23;*SepU*3u> zU>717!`O@LlI-Ti9@4qBsc+dz79fi+vCmvG?J9#_T|EWM6CYi}uAB0CFlD?n3ucSZ z>ufzOn!#Jho7Wi*oA~-BI4ebuKyTgsm5qd6i$00{QTWqphS_@dHV7>y9Z=ync9eqd zud7q)`9rz^mPyxt zg-lgDgij{kl{c63LwFL-?E3{GpKoJWdyPhXlcGwHvyxE)?2wGEH{$W$Hnq=Ev@L^$ z%4v;pfCimw&w}K@CO8s{7St9&mb7irXO9puwIvS!U3HUZV`&HK>I-h2r?))DLmb2YVJ5}kS#I%}sye4B4i z_|A0wQ|Jpy$Eu~KkS#8&IpkrwP-cT^av$^(MS?sI%{9EZvSUS1bTU6eQ7ODg?oHt_ zu=6OzEQ5|b2Q5p2ypGT^N&eq8TBk)2@ix%M{Z!Kz)5hCl1aU$;s11_7b%x&A!e zkaq|20+;tNm=sEKOD0Z`BOk@bp|BQS-w!GkF^u1psl#y?3q9Q$X6lo((bgV$ywtD- z@}FjjAPRpEt@(2_Uo6Y>d7?q{dKfR#y#h=Fr6SP!vAieU8OvwOd4;^KL5p@7u&#~g zed*W%m#^$w%o}l5XPEpRZfS{9KXk=>Fr-t7bjRqjdShTwd&QvhV%S zIycSZ^Q=W8Ir9Y^JHsr~)R8t2h)ctO^FzvbFr)cP_)_`7QVt1gcO584zRNj2HGsWY z#xLjLASBg=DQB(3NfUIY2qupCW56x5UgY1)L96+6@VFqeD!KZ?bIrp{S%E8zx8z#O zU!!SL(6!@-i2Cy4S|017?$t02eY=7Tc3;Q0$e{InsA2cswT%#hSGPq6`)vY8ShJBg zl;<|$n|@nB+3*_wfnGk1S^MpESpQ$!gcCeyHx3+-<(qkmwXDG??J`uN_&Ds7+jql_ z(~&f6D<4ZACV_z!ECO4OkD-`XSp%x~CJ(2t&Wp~9{KpJ0$sbopC;q^wmifVSRn`d7 zOxLR1*Osu}eUrZ>S8U_WT=eZiS16s`&acytZ)0ICYzKy4?%-ExzvTar({}O;x{ZVL z-{nUI^6r;gK#%U?Nj7!ey-0Y`KkuRm7k8-+y!tyfX(;p1(ghHXY7gTfvTQF-Ori<< z`PVid1b0owJ{ntxm5J~1QkOh-0H3hRX&>-3gT6Y%hsXgR@isb*u4VYpJOASG(&uBv z2l5Z|G@3cd2&es@!_52XVLnawPzgw;!F1vV^bgn{eQ9+g^zGwEc&Q!Ui57m3aBB^2 z{!u=kE{*`xyL+8`%dF3ECLQhB4kgC;l6N#{-+Qp*Dv_2Be#2j}(g^oL$c$r{4m9gq zZX11s#ji9v@-57W2fpRws4ouCr_!~^Ys_~zsY%U|nzHC5e+C*{U5JLiEp|1taVwIZ z8U{(sQa!qy<@I&Cvi9h$sSIYOl;872pe?i|5M-<+JPjQ#>_d8|3X_d~=C~1~8=HxXYwXpuNJUD+sm4XVP=0ff zS25_|vuGLm81|O~^^V@`ILkfe94zDRB5`Hw?WyWe*>3U z>H>1YoLhqi+<|CO%NJh&EWLy2G+_x;zpw7_DKLQI4oE8gH(#YX&zlb1Lg&rC%Twsj zyS#*Y4g&pIevdy3E&5wjbnxd`CP}}~w^5gG_|OWwpkiWgPZhAnym-NAO(BMOnqo6p zW4X-`v#_ocj)BhMVjV@bl{yg=oOk zqNThf#G_o9C%oinAK|Ub=c);CzqECjoIlTk(R@>FkxbvU21NuJ_otvbqN6RxkBi2V zN=PU&Usgx_TcLDK+EZ7&NMq`WLlhkdhUSWg-!p+Cf`ZSB#;<%8C?L?R0;6h6YY&Nr z)UbhgPLHyg*5wAM^q!Ycxkb-G&yB8WaQSva0d-(-nz2$EAp-1Ht!2@Qj@*a5`vPU6 zFM}+FH4W~XQu(jTwnu;SzN|0xpVO5<*)!+fL z-a{f!mt`#kRzkM46hG3Ir=V2tZ3XqR%P($SPIhaJqUA#oqP8Iiv=ukNHTv@!^63uZ zYn#FgW$@?)d&eqKOD^s#YJ1T~F(QFt2brOAaI6T0rT!}xqPi`TB7QcSP-!AGmQ4x5 zAB>`xfT`}J-XhzFZM3@Qtw_<>_D@8PsS5rhO zr`s{mp%tBw?`8^^+hH=`WDYQ33Q?2aI~xdke79(2FIcwE7AxtIIjq0Dk}bTM^dBfj z8D6P*lQJO*Cp4W3=w_xjug@fB;xcCaf`0yh=*y+ zAjldMs+j&%FIRM}k&~lVcGSLY%@!Z&^is?g%i1+FSM;<^ZI^S!K1jJELrtlGMqOdZNzWG( zz^S%^djA`T70C+)BA(NRRnUeGNARYyRk1khqVu5)~-CW=+M8INt@ zYSpa8BvE8{(a}kwSoWDLGQ8^cnpkM@pxgqhdn^K!6`vJBXAZ!Mcl&El$={z67ihsn z;X{*x&A?aA%>-h7`5vq~Ay12X)M>T|kqc&va+g;+K9B*|(P+q@AQXM(h(R>;6l*BA z%@M>EirNvxvP*>VKm*RP`L8m4|U^ocRU=4P>AXXU^@}$98WMl>?;ANO|)XFSf zEfdq7R_{n<^_B$-#fLC=EEdyX!npvd`uP%Z)HWMDHiI{luPhb1PVX%ff71H`3!lG} z*r(Rht5C;6qcPnb2afXLa#3zjk2fJuKetL0VI61-NPo3`(03ZF7Wv8+jr|&{#kL3f zL=_tLF(zesBoKJ*8UZ6glTFya0a}9%5ihCJ!uzxUq5b@2ai1Rf6lR&<)(O+)tF{b> zv}kA3_YM!%tf}WKBFXODo2x**j=v%X+h)y7dqIre41+H5$wr*@jQt^^FMa-+m}9d_ zY+_9`!sL=oV!2MW+vD4etG}W8Bff@Ce>4sl_vB_Vjh;vZ1--LboYd*n7>LuskAU)> zcpa_3u|>d+W=TC88z2O0g0Ir2+r(rgF)%slEm5v}S=%IXGBfDSwV;!azm4ux7J6F0 zL%c)&f_0!FQY@!$YXCi}?i2$pvzVN@Q}kwPzO!n!rs&2<8u}De^uRsh#`eNaB}WKxI5j4d6Dlyv52-G6us;jQ2iYDqIw^Q9#r^& zcucJ)RHfA&A(QN%hkmqVdA|?EF=f}PL5=?@cG2~JikbAwAbce8LXoH; z#VMfiIoQuTP+uITu`C&S`so(P6GGB12vn;?xLo$Rh-P&4YY@hk--zliNEFZ=?F zXXwx3IlA>H3?zqt7E`&hru3%q>s>*RFQ!id5x*1)+>C1gJMY>H;-9kk7tzus_g@kR zP4fF3xVzw%m}Fajd;TgG($SMprd_vzoBlIJKn+V*)Z$~}a^gnk78d3e(Awk3^l~sn zj?&xW0Bv~*Ba{7`fJAbxgWi&!9BkH-NA4(ug87%zvHqsNaIeW!|F_5!ZW=zcD-V;{ z;{bWS^B-cJdtDB8feO=}`KQ26u$lM8J=o3-GoMB=GrXQa`wMsLkh?s8xn$h{^4 z=nH0UqUX5zge9lI2-1!gZif*hT9_@c!ND{aD+65u`FojXs7-CCa@FF2Pg|OrRor@U zJ#X_#+BX?qFmG7;j2!82Vl5*E-(hF=&A>-tecRKUw_$$0HrQ+;2h=ia;(E5) zW`e9%$An>EMqP6Z9X*Ya{_ZnZP1&}dSyvBKn-8(uQf;bkW^bLgA$++sKG0lbSw-oS zuUVB>g6o?)?S*ZOKHLeGk{x6g(sx0oWvdtVlF~;F@C|druON`5H8h{0-y536v@qB# zr_x7Q3mFk&MjH0kgDs7*ynUhzggG~^9Ne8KrO*wmz%`C zuL#p#(&+p&aMNO2Wy3JR&a^hCdnIF2KuSzzA6nQQ{B}qivpS=a4j8`Fy`WBd81#Y} zZB3@jMeR(Ni{?k0CPlUr4Xl+f7q(}7b`3V|!yQcKawAS8eRjcUD7`wHw=m3Vx5T9# zuGWwNt#w|sgvs=-W)yZtbTfC!Dc#K*nESAf%h^56$vQFHFOS>Gc?(5*D{q^W`uQZwji zx*6rIpmz9INBh?cNz=WSaX~Y&;eh-p@2A>b^0F zvB*PHQQ^uC*=8=bBhGd;D8-UmR1Q9fNy_M3sHs0|(at@eEKem@dggt5cf6>$NNfhT zf!JO#8Cqsm68`$dK~gOkME!Vv{|#hTi) znvl^&Lp@rXPB*ohN*% zC>{~frzv@3#}z-3l3SQNDsL?0S$Dqbdw*4c)f#sWNKEgoVL@J2PlJTg{a4Le4GOgq z)$=)z=N3%N)8cw%W?04AT|lj(c-SL}$}=-A_QBwJ#?IYQDJcpQEc=<=Ligw>8m-0R zV_bY+(MwfVjZ9>FwZC4)qYkTiI8EKigX>x?i%Uz-NlZ)YlbK_0ItytQN$Ym;POs}q4e2w`Ep8-XpX$;yD$FAWD?s19?c zaQ9n`YJE~1@F+HoKFbyj26~FLQdoI-`Wt{fE><7+1~wNbh@41zmJdcSx(iiN(JaS3+s6&(<@+FFCxdbo`msu|hXWBZ{0n*XIm z9qoj5&x*#S>3M}CG9UDVyRn&xsD+kcQ?p3TYDRoszLKQWPOijsd~J#;l=X;RA+WN@ zoCo-YZ>ajER2ssF=9TJ(ulrI{6R;b~^r5F3o6YM0du*Juu|iAE!&(rQk7mv>TYCY> z8aHZG0lhKDY(stG%;2!Gx%q`!5y;J0%-#H=f_%(>582i5HBz610U4CM$qW`MX6xZ} zVvc#DsfG&@wEOts9+#=UKGiH(o%%G{efMdA0yOHez--h-z2k}t>-P!%V|=}4x#sJd z(_g)N{6p}r;^T(Y+b@_6OFe0E9fDPk2llN5;|7WUbGYZ$Rn-oapSnpD_Z|EtJ+3-X zaA`&5D@UkYp`c0`sLbo)@l?13?`Y&~?52MFgM+?*32XNtte*<=R1BTyzrd_rsyYbQ z8e6}0c3i6yRhi0PU3q%Q^7ol-JdN&+@onZB?CYcQ@ub6Hj@GrX9=Oqi_|NERAF5)l zay{WHtvh|G_A?4lg{cO4BB+MN__EphK$Wy2vU;`RRWAJh1$#QrleenugZMa~v2p|) zz+Mr7;dWLhtSX=qKgdAS{QnDFIsd=FDE~hy@RtaG6aLp0{58D~D(t~uPm5IpoV}Y2 z*y`O82YspNdDBaM<}u}Y%!q>qg7AyRqnhY}yH$b}(m2D_yA%HZ4R<4aX+?pRGx%?? zr^`GQ{%;j(R;j{v{H70D?3sej3{@X0IEePlGwW1zmb2_*=zb@Bs}hVHDu&yWwKKj7 zuUz;41x~BztaeD`shi3`!5CjPRsH(;vcHT><#s*jSn^$9HcY>S(>K-6Q>cRdaHFt- zRa^yoZgcj~RTZZE6W#GVu)49BW;~A-yok9bYncT)sxB>#Ky**_GEhC0tqM~Y87Es| zUbAWFTqGO1!1M||18)XG;)Zrh@aQ%{jYze)4_J0u~<(;xpK?!=vszy z0zkKPEgSh;KNWK&9+wtTz6S0(JVGnhO0>Xo^}ZL6k2YRg;;M50eqb?t_rvGI|M2|* zK0o8J(t0DB0$h!0|MPlXt2&-Sl=~?jUCaC$&bKNW%2uwwRkh5&tJ-P=Z^VN}C}+F% znx!076{ zFzu$EUhXMU#qD85=&Kw%ZniUS--@`+5TPX=)ez-I;i-xqse1oNpc=IDcJS!bxKzXW zj}tV@rxSd-;PKXq{Opk}S70I@E9K1#cFI(EWZG27SE3#w%WcvQ=jTWAL>r^xNC+^M$rb8zO{ zug(mfg_p{pRK2J;y4F`YE8YIeeZ%&4CVSl-tlS+|uvOVZ_Nz1I|8Y!d`b@opEGpIa z>VAqp3p~1(t{flg@swWDM=`+w+gCZY@z_|Q-s;)`$_cCp@Ki&kR3WOJRt)*aQ~E$w z+7NzC-2Tdq!h-?m0H>4v+6_*${rWhZ$@c4XI5Y7GAdJ_wz;gBej2%=4X9*q?M52mj z29_&enH83?2F|*Quug%cg|#ppqyJ3 z0iNzqKKJYh%Fz@{vB?D9yzl^jl;ekU51-Nlspx6Fj!ktyioB@oSv^w`rC=(WtJjbz zbM=IE8JhR~3eS~@v=a}?R?c4QHA^{%Y+vOZ z4X0lgV83eGQ@Yo}t-iFU%&e|!@^G1XT6f)RCf`_O`s&rR=4h1lb7@oPqs3<9QpM=) zpPx3$Jd0s&*Ez z66;*3uo~`T5am4Ks(2;Zd0-X3(UCu{sh(SiDqSq+xt0c5s;1TmM)obU`pd#@>| zEFyb~iHGE8r?s&zi>fWhpqEhd51iLtEPV1lYi5X zgB>}licY1&%guU-{Kkv;95C*0`U`xr5_}VI3s4wU@kXjEftlA-dUU=Y?zpNBxTYiA!d&;j_-WTm@3&N_ z>@Ls`73d1MuOmS!U~dOJ6mT|BN=;XP6j%hffg`*Wa4iQsAF%0wR|58OQ&B&y)TM2J zM=M7J`IiVTZ6Dxjpdd=wRt0_mxTz!l4}c$az`p_Jh_4!{;(KEbRCk020X~j!g+l(N zh|m@uJslAe08ayqt3s>{(g0ucvWG}@=^(&UH4n_Re89Pm^iKf302*u+fc$3x4t9hu z1^lbK0;Q^kufxN`So{FL3-}K31r5<^06q-(X~0TnQ}Is&?&!$y4&anfd%CG`a7yj0 z8{ZV{3wS-Ir>8>o0q5K4OD&{nRWao?t64n(hdK&K1>D^Mj{^K23XE`9Xd>VQNBCU8 zdB71*_(H(-&?BlrszR#)_qXCh-ceYqZgcniLh!P$5{x?rZLCI^8iH>SKEP4nFMuBm ziJ|=lxB!Zf+x3vjk0gMNU+ z9dI7tGzUCQrFZ{A;LZme=?H%Xa6Q1lf4c|Xsx*cY5ym(Yd{QaH(|{W|y7UU*Bu9K5 z=s(;6*Kp5yRi_XPXN))#F+rE>T5rJTl@$Q6>e6JuH5~CD zQSsfs5V&IiBdvP1hHL`h&W`x=0Jmub70c5DFT$e+kVY9bQ~?_R$2k(b2lz!`F&r!W zFkUV@u>1?aF^>3`RYdnM1nw=s?H%FOyy01z?x`Wu>cS(%5upX(`VLs#N4^vl^i0D9 zz?qKlY`}dSa6VwIBLD06#{+Ke2%ibKbgaW;nM#nTI$d?y_4_Xa9_0w{0DJbMXmK+{ zQ$6%H!gCzqhXA*Az-Lu{?q3Mp8-TNsUUiI0?^gw;@!pOEVJM)n18xua2?yL2a5V>< z3^>mL4*@*L0gnfq3@k>3mHw|(yP$ky$mBIvbsW*BXF$!t|SCvS3oN$cU-gp#psqeezX zOwJiqls}GczGTMN_pA>6&B`UEy==DktdPul+1&PjDzi;ke(Q1Cf2*BD?P_|_q1Vlp zRa%cw>%r=Hz=dmDQqX2IK-Va8vl+}S4j{8PLrBth(D*mZ#{6&>UHh0;;8kBvd)|Oq zt%%OUX_Y=z*P_AsJwM(3vH`B=U3rz^R=m+FubN%oatA4ztbTVk>e|hkpgQiKN~WH@ zZrAOtUD_>Z%NDa%&5tVuoH&SW69}M#TTDNG_omRkrcjiGk9kZ#vp;z Mv;&S|^;GYF0MfL>Gynhq diff --git a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.map b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.map index 7d404d10..7b52db2d 100644 --- a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.map +++ b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.map @@ -1,232 +1,232 @@ - -bin/demoprog_nucleo_stm32f103rb.elf: file format elf32-littlearm -bin/demoprog_nucleo_stm32f103rb.elf -architecture: arm, flags 0x00000112: -EXEC_P, HAS_SYMS, D_PAGED -start address 0x08002000 - -Program Header: -0x70000001 off 0x00002900 vaddr 0x08002900 paddr 0x08002900 align 2**2 - filesz 0x00000008 memsz 0x00000008 flags r-- - LOAD off 0x00000000 vaddr 0x08000000 paddr 0x08000000 align 2**16 - filesz 0x00002908 memsz 0x00002908 flags r-x - LOAD off 0x00010000 vaddr 0x20000000 paddr 0x08002908 align 2**16 - filesz 0x0000007c memsz 0x000000eb flags rw- -private flags = 5000200: [Version5 EABI] [soft-float ABI] - -Sections: -Idx Name Size VMA LMA File off Algn - 0 .text 00000900 08002000 08002000 00002000 2**2 - CONTENTS, ALLOC, LOAD, READONLY, CODE - 1 .ARM.exidx 00000008 08002900 08002900 00002900 2**2 - CONTENTS, ALLOC, LOAD, READONLY, DATA - 2 .data 0000007c 20000000 08002908 00010000 2**2 - CONTENTS, ALLOC, LOAD, DATA - 3 .bss 0000006f 2000007c 08002984 0001007c 2**2 - ALLOC - 4 .heap 00000800 200000f0 200000f0 00010080 2**3 - CONTENTS, READONLY - 5 .stack_dummy 00000800 200000f0 200000f0 00010880 2**3 - CONTENTS, READONLY - 6 .ARM.attributes 00000029 00000000 00000000 00011080 2**0 - CONTENTS, READONLY - 7 .comment 0000006e 00000000 00000000 000110a9 2**0 - CONTENTS, READONLY - 8 .debug_line 000029b6 00000000 00000000 00011117 2**0 - CONTENTS, READONLY, DEBUGGING - 9 .debug_info 000030cb 00000000 00000000 00013acd 2**0 - CONTENTS, READONLY, DEBUGGING - 10 .debug_abbrev 00000cd1 00000000 00000000 00016b98 2**0 - CONTENTS, READONLY, DEBUGGING - 11 .debug_aranges 000003e0 00000000 00000000 00017870 2**3 - CONTENTS, READONLY, DEBUGGING - 12 .debug_ranges 00000340 00000000 00000000 00017c50 2**0 - CONTENTS, READONLY, DEBUGGING - 13 .debug_macro 00002968 00000000 00000000 00017f90 2**0 - CONTENTS, READONLY, DEBUGGING - 14 .debug_str 000513f3 00000000 00000000 0001a8f8 2**0 - CONTENTS, READONLY, DEBUGGING - 15 .debug_frame 000007f0 00000000 00000000 0006bcec 2**2 - CONTENTS, READONLY, DEBUGGING - 16 .debug_loc 000013ab 00000000 00000000 0006c4dc 2**0 - CONTENTS, READONLY, DEBUGGING -SYMBOL TABLE: -08002000 l d .text 00000000 .text -08002900 l d .ARM.exidx 00000000 .ARM.exidx -20000000 l d .data 00000000 .data -2000007c l d .bss 00000000 .bss -200000f0 l d .heap 00000000 .heap -200000f0 l d .stack_dummy 00000000 .stack_dummy -00000000 l d .ARM.attributes 00000000 .ARM.attributes -00000000 l d .comment 00000000 .comment -00000000 l d .debug_line 00000000 .debug_line -00000000 l d .debug_info 00000000 .debug_info -00000000 l d .debug_abbrev 00000000 .debug_abbrev -00000000 l d .debug_aranges 00000000 .debug_aranges -00000000 l d .debug_ranges 00000000 .debug_ranges -00000000 l d .debug_macro 00000000 .debug_macro -00000000 l d .debug_str 00000000 .debug_str -00000000 l d .debug_frame 00000000 .debug_frame -00000000 l d .debug_loc 00000000 .debug_loc -00000000 l df *ABS* 00000000 obj/startup_stm32f10x_md.o -00000800 l *ABS* 00000000 Stack_Size -00000800 l *ABS* 00000000 Heap_Size -080021ca l .text 00000000 .flash_to_ram_loop -080021fc l .text 00000000 .fill_zero_bss -080021f8 l .text 00000000 .loop_zero_bss -00000000 l df *ABS* 00000000 crtstuff.c -080028fc l O .text 00000000 __EH_FRAME_BEGIN__ -080020f0 l F .text 00000000 __do_global_dtors_aux -2000007c l .bss 00000000 completed.8603 -20000078 l O .data 00000000 __do_global_dtors_aux_fini_array_entry -08002114 l F .text 00000000 frame_dummy -20000080 l .bss 00000000 object.8608 -20000074 l O .data 00000000 __frame_dummy_init_array_entry -00000000 l df *ABS* 00000000 c:/progra~2/gnutoo~1/50a5a~1.420/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7-m/crt0.o -00000000 l df *ABS* 00000000 _exit.c -00000000 l df *ABS* 00000000 stm32f10x_gpio.c -00000000 l df *ABS* 00000000 stm32f10x_usart.c -00000000 l df *ABS* 00000000 stm32f10x_rcc.c -20000000 l O .data 00000004 ADCPrescTable -20000004 l O .data 00000010 APBAHBPrescTable -00000000 l df *ABS* 00000000 timer.c -20000098 l O .bss 00000004 millisecond_counter -00000000 l df *ABS* 00000000 led.c -2000009c l O .bss 00000004 timer_counter_last.6552 -200000a0 l O .bss 00000001 led_toggle_state.6551 -00000000 l df *ABS* 00000000 main.c -080025e0 l F .text 00000104 Init -00000000 l df *ABS* 00000000 boot.c -080026f8 l F .text 00000080 BootComUartInit -08002778 l F .text 00000024 UartReceiveByte -080027c0 l F .text 00000094 BootComUartCheckActivationRequest -200000a4 l O .bss 00000004 xcpCtoRxStartTime.6570 -200000a8 l O .bss 00000041 xcpCtoReqPacket.6567 -200000e9 l O .bss 00000001 xcpCtoRxLength.6568 -200000ea l O .bss 00000001 xcpCtoRxInProgress.6569 -00000000 l df *ABS* 00000000 exit.c -00000000 l df *ABS* 00000000 init.c -00000000 l df *ABS* 00000000 memset.c -00000000 l df *ABS* 00000000 c:/progra~2/gnutoo~1/50a5a~1.420/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crti.o -00000000 l df *ABS* 00000000 c:/progra~2/gnutoo~1/50a5a~1.420/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crtn.o -00000000 l df *ABS* 00000000 impure.c -20000014 l O .data 00000060 impure_data -00000000 l df *ABS* 00000000 crtstuff.c -080028fc l O .text 00000000 __FRAME_END__ -00000000 l df *ABS* 00000000 -20000078 l .data 00000000 __init_array_end -20000074 l .data 00000000 __preinit_array_end -20000074 l .data 00000000 __init_array_start -20000074 l .data 00000000 __preinit_array_start -0800222a w F .text 00000002 EXTI2_IRQHandler -200000f0 g .heap 00000800 __HeapBase -08002212 w F .text 00000002 DebugMon_Handler -00000800 g *ABS* 00000000 __HEAP_SIZE -20000000 g .data 00000000 __data_start__ -08002250 w F .text 00000002 TIM1_CC_IRQHandler -08002208 w F .text 00000002 HardFault_Handler -08002554 g F .text 00000010 SysTick_Handler -0800221c w F .text 00000002 PVD_IRQHandler -08002214 w F .text 00000002 PendSV_Handler -08002206 w F .text 00000002 NMI_Handler -08002908 g .ARM.exidx 00000000 __exidx_end -0800222c w F .text 00000002 EXTI3_IRQHandler -08002908 g .ARM.exidx 00000000 __etext -0800240c g F .text 0000000e USART_GetFlagStatus -08002226 w F .text 00000002 EXTI0_IRQHandler -0800225c w F .text 00000002 I2C2_EV_IRQHandler -08002404 g F .text 00000008 USART_ReceiveData -0800220e w F .text 00000002 UsageFault_Handler -080024d8 g F .text 0000001c RCC_APB2PeriphClockCmd -0800223e w F .text 00000002 ADC1_2_IRQHandler -0800227c g F .text 0000009a GPIO_Init -200008f0 g .heap 00000000 __HeapLimit -2000007c g .bss 00000000 __bss_start__ -08002260 w F .text 00000002 SPI1_IRQHandler -080023e8 g F .text 0000001c USART_Cmd -0800221e w F .text 00000002 TAMPER_IRQHandler -080024f4 g F .text 0000001c RCC_APB1PeriphClockCmd -08002900 g .text 00000000 __exidx_start -080028f8 g O .text 00000004 _global_impure_ptr -08002884 g F .text 0000004c __libc_init_array -08002236 w F .text 00000002 DMA1_Channel4_IRQHandler -0800214c g F .text 00000000 _mainCRTStartup -080028e0 g F .text 00000000 _init -080027a4 g F .text 0000001c BootActivate -08002268 w F .text 00000002 USART3_IRQHandler -00000000 w *UND* 00000000 __libc_fini_array -08002220 w F .text 00000002 RTC_IRQHandler -0800223c w F .text 00000002 DMA1_Channel7_IRQHandler -080021c0 g F .text 00000030 Reset_Handler -08002244 w F .text 00000002 CAN1_RX1_IRQHandler -08002564 g F .text 00000030 LedInit -08002318 g F .text 00000004 GPIO_SetBits -08002256 w F .text 00000002 TIM4_IRQHandler -00000000 w *UND* 00000000 __sf_fake_stderr -00000000 w *UND* 00000000 __deregister_frame_info -200000f0 g .heap 00000000 end -08002258 w F .text 00000002 I2C1_EV_IRQHandler -2000007c g .data 00000000 __data_end__ -0800241c g F .text 000000bc RCC_GetClocksFreq -0800223a w F .text 00000002 DMA1_Channel6_IRQHandler -08002320 g F .text 000000c8 USART_Init -200000eb g .bss 00000000 __bss_end__ -00000800 g *ABS* 00000000 __STACK_SIZE -08002254 w F .text 00000002 TIM3_IRQHandler -08002224 w F .text 00000002 RCC_IRQHandler -0800224e w F .text 00000002 TIM1_TRG_COM_IRQHandler -00000000 w *UND* 00000000 __call_exitprocs -08002230 w F .text 00000002 DMA1_Channel1_IRQHandler -08002218 w F .text 00000002 Default_Handler -0800214c g F .text 00000000 _start -0800226a w F .text 00000002 EXTI15_10_IRQHandler -00000000 w *UND* 00000000 software_init_hook -0800231c g F .text 00000004 GPIO_ResetBits -08002248 w F .text 00000002 EXTI9_5_IRQHandler -08002262 w F .text 00000002 SPI2_IRQHandler -00000000 w *UND* 00000000 __sf_fake_stdin -080028d0 g F .text 00000010 memset -0800220a w F .text 00000002 MemManage_Handler -08002000 g .text 000000f0 __isr_vector -080026e4 g F .text 00000014 main -08002210 w F .text 00000002 SVC_Handler -00000000 w *UND* 00000000 hardware_init_hook -200000f0 g .heap 00000000 __end__ -08002238 w F .text 00000002 DMA1_Channel5_IRQHandler -0800222e w F .text 00000002 EXTI4_IRQHandler -08002510 g F .text 0000000c TimerSet -08002242 w F .text 00000002 USB_LP_CAN1_RX0_IRQHandler -0800279c g F .text 00000008 BootComInit -080028ec g F .text 00000000 _fini -08002240 w F .text 00000002 USB_HP_CAN1_TX_IRQHandler -00000000 w *UND* 00000000 atexit -08002234 w F .text 00000002 DMA1_Channel3_IRQHandler -20005000 g .bss 00000000 __StackTop -0800224c w F .text 00000002 TIM1_UP_IRQHandler -0800221a w F .text 00000002 WWDG_IRQHandler -08002594 g F .text 0000004c LedToggle -08002252 w F .text 00000002 TIM2_IRQHandler -0800224a w F .text 00000002 TIM1_BRK_IRQHandler -20005000 g *ABS* 00000000 __stack -08002228 w F .text 00000002 EXTI1_IRQHandler -0800226c w F .text 00000002 RTCAlarm_IRQHandler -20004800 g *ABS* 00000800 __StackLimit -08002266 w F .text 00000002 USART2_IRQHandler -0800285c g F .text 00000028 exit -0800225e w F .text 00000002 I2C2_ER_IRQHandler -08002232 w F .text 00000002 DMA1_Channel2_IRQHandler -00000000 w *UND* 00000000 __sf_fake_stdout -08002854 g F .text 00000008 BootComCheckActivationRequest -08002246 w F .text 00000002 CAN1_SCE_IRQHandler -08002222 w F .text 00000002 FLASH_IRQHandler -08002278 w F .text 00000002 _exit -0800220c w F .text 00000002 BusFault_Handler -08002264 w F .text 00000002 USART1_IRQHandler -0800225a w F .text 00000002 I2C1_ER_IRQHandler -08002548 g F .text 0000000c TimerGet -00000000 w *UND* 00000000 _Jv_RegisterClasses -0800251c g F .text 0000002c TimerInit -00000000 w *UND* 00000000 __register_frame_info -0800226e w F .text 00000002 USBWakeUp_IRQHandler - - + +bin/demoprog_nucleo_stm32f103rb.elf: file format elf32-littlearm +bin/demoprog_nucleo_stm32f103rb.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x08002000 + +Program Header: +0x70000001 off 0x00002904 vaddr 0x08002904 paddr 0x08002904 align 2**2 + filesz 0x00000008 memsz 0x00000008 flags r-- + LOAD off 0x00000000 vaddr 0x08000000 paddr 0x08000000 align 2**16 + filesz 0x0000290c memsz 0x0000290c flags r-x + LOAD off 0x00010000 vaddr 0x20000000 paddr 0x0800290c align 2**16 + filesz 0x0000007c memsz 0x000000eb flags rw- +private flags = 5000200: [Version5 EABI] [soft-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00000904 08002000 08002000 00002000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .ARM.exidx 00000008 08002904 08002904 00002904 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 2 .data 0000007c 20000000 0800290c 00010000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 3 .bss 0000006f 2000007c 08002988 0001007c 2**2 + ALLOC + 4 .heap 00000800 200000f0 200000f0 00010080 2**3 + CONTENTS, READONLY + 5 .stack_dummy 00000800 200000f0 200000f0 00010880 2**3 + CONTENTS, READONLY + 6 .ARM.attributes 00000029 00000000 00000000 00011080 2**0 + CONTENTS, READONLY + 7 .comment 0000006e 00000000 00000000 000110a9 2**0 + CONTENTS, READONLY + 8 .debug_line 000029d6 00000000 00000000 00011117 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_info 000030d5 00000000 00000000 00013aed 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_abbrev 00000cd1 00000000 00000000 00016bc2 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_aranges 000003e0 00000000 00000000 00017898 2**3 + CONTENTS, READONLY, DEBUGGING + 12 .debug_loc 000013ab 00000000 00000000 00017c78 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_ranges 00000340 00000000 00000000 00019023 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_macro 0000e158 00000000 00000000 00019363 2**0 + CONTENTS, READONLY, DEBUGGING + 15 .debug_str 000513fd 00000000 00000000 000274bb 2**0 + CONTENTS, READONLY, DEBUGGING + 16 .debug_frame 000007f0 00000000 00000000 000788b8 2**2 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +08002000 l d .text 00000000 .text +08002904 l d .ARM.exidx 00000000 .ARM.exidx +20000000 l d .data 00000000 .data +2000007c l d .bss 00000000 .bss +200000f0 l d .heap 00000000 .heap +200000f0 l d .stack_dummy 00000000 .stack_dummy +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l df *ABS* 00000000 obj/startup_stm32f10x_md.o +00000800 l *ABS* 00000000 Stack_Size +00000800 l *ABS* 00000000 Heap_Size +080021ca l .text 00000000 .flash_to_ram_loop +080021fc l .text 00000000 .fill_zero_bss +080021f8 l .text 00000000 .loop_zero_bss +00000000 l df *ABS* 00000000 crtstuff.c +08002900 l O .text 00000000 __EH_FRAME_BEGIN__ +080020f0 l F .text 00000000 __do_global_dtors_aux +2000007c l .bss 00000000 completed.8603 +20000078 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +08002114 l F .text 00000000 frame_dummy +20000080 l .bss 00000000 object.8608 +20000074 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7-m/crt0.o +00000000 l df *ABS* 00000000 timer.c +20000098 l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 main.c +080022cc l F .text 00000104 Init +00000000 l df *ABS* 00000000 stm32f10x_usart.c +00000000 l df *ABS* 00000000 stm32f10x_rcc.c +20000000 l O .data 00000004 ADCPrescTable +20000004 l O .data 00000010 APBAHBPrescTable +00000000 l df *ABS* 00000000 stm32f10x_gpio.c +00000000 l df *ABS* 00000000 _exit.c +00000000 l df *ABS* 00000000 led.c +2000009c l O .bss 00000004 timer_counter_last.6552 +200000a0 l O .bss 00000001 led_toggle_state.6551 +00000000 l df *ABS* 00000000 boot.c +080026f8 l F .text 00000080 BootComUartInit +08002778 l F .text 00000024 UartReceiveByte +080027c0 l F .text 00000098 BootComUartCheckActivationRequest +200000a4 l O .bss 00000004 xcpCtoRxStartTime.6570 +200000a8 l O .bss 00000041 xcpCtoReqPacket.6567 +200000e9 l O .bss 00000001 xcpCtoRxLength.6568 +200000ea l O .bss 00000001 xcpCtoRxInProgress.6569 +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 init.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crtn.o +00000000 l df *ABS* 00000000 impure.c +20000014 l O .data 00000060 impure_data +00000000 l df *ABS* 00000000 crtstuff.c +08002900 l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +20000078 l .data 00000000 __init_array_end +20000074 l .data 00000000 __preinit_array_end +20000074 l .data 00000000 __init_array_start +20000074 l .data 00000000 __preinit_array_start +0800222a w F .text 00000002 EXTI2_IRQHandler +200000f0 g .heap 00000800 __HeapBase +08002212 w F .text 00000002 DebugMon_Handler +00000800 g *ABS* 00000000 __HEAP_SIZE +20000000 g .data 00000000 __data_start__ +08002250 w F .text 00000002 TIM1_CC_IRQHandler +08002208 w F .text 00000002 HardFault_Handler +080022bc g F .text 00000010 SysTick_Handler +0800221c w F .text 00000002 PVD_IRQHandler +08002214 w F .text 00000002 PendSV_Handler +08002206 w F .text 00000002 NMI_Handler +0800290c g .ARM.exidx 00000000 __exidx_end +0800222c w F .text 00000002 EXTI3_IRQHandler +0800290c g .ARM.exidx 00000000 __etext +080024d0 g F .text 0000000e USART_GetFlagStatus +08002226 w F .text 00000002 EXTI0_IRQHandler +0800225c w F .text 00000002 I2C2_EV_IRQHandler +080024c8 g F .text 00000008 USART_ReceiveData +0800220e w F .text 00000002 UsageFault_Handler +0800259c g F .text 0000001c RCC_APB2PeriphClockCmd +0800223e w F .text 00000002 ADC1_2_IRQHandler +080025d4 g F .text 0000009a GPIO_Init +200008f0 g .heap 00000000 __HeapLimit +2000007c g .bss 00000000 __bss_start__ +08002260 w F .text 00000002 SPI1_IRQHandler +080024ac g F .text 0000001c USART_Cmd +0800221e w F .text 00000002 TAMPER_IRQHandler +080025b8 g F .text 0000001c RCC_APB1PeriphClockCmd +08002904 g .text 00000000 __exidx_start +080028fc g O .text 00000004 _global_impure_ptr +08002888 g F .text 0000004c __libc_init_array +08002236 w F .text 00000002 DMA1_Channel4_IRQHandler +0800214c g F .text 00000000 _mainCRTStartup +080028e4 g F .text 00000000 _init +080027a4 g F .text 0000001c BootActivate +08002268 w F .text 00000002 USART3_IRQHandler +00000000 w *UND* 00000000 __libc_fini_array +08002220 w F .text 00000002 RTC_IRQHandler +0800223c w F .text 00000002 DMA1_Channel7_IRQHandler +080021c0 g F .text 00000030 Reset_Handler +08002244 w F .text 00000002 CAN1_RX1_IRQHandler +0800267c g F .text 00000030 LedInit +08002670 g F .text 00000004 GPIO_SetBits +08002256 w F .text 00000002 TIM4_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stderr +00000000 w *UND* 00000000 __deregister_frame_info +200000f0 g .heap 00000000 end +08002258 w F .text 00000002 I2C1_EV_IRQHandler +2000007c g .data 00000000 __data_end__ +080024e0 g F .text 000000bc RCC_GetClocksFreq +0800223a w F .text 00000002 DMA1_Channel6_IRQHandler +080023e4 g F .text 000000c8 USART_Init +200000eb g .bss 00000000 __bss_end__ +00000800 g *ABS* 00000000 __STACK_SIZE +08002254 w F .text 00000002 TIM3_IRQHandler +08002224 w F .text 00000002 RCC_IRQHandler +0800224e w F .text 00000002 TIM1_TRG_COM_IRQHandler +00000000 w *UND* 00000000 __call_exitprocs +08002230 w F .text 00000002 DMA1_Channel1_IRQHandler +08002218 w F .text 00000002 Default_Handler +0800214c g F .text 00000000 _start +0800226a w F .text 00000002 EXTI15_10_IRQHandler +00000000 w *UND* 00000000 software_init_hook +08002674 g F .text 00000004 GPIO_ResetBits +08002248 w F .text 00000002 EXTI9_5_IRQHandler +08002262 w F .text 00000002 SPI2_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdin +080028d4 g F .text 00000010 memset +0800220a w F .text 00000002 MemManage_Handler +08002000 g .text 000000f0 __isr_vector +080023d0 g F .text 00000014 main +08002210 w F .text 00000002 SVC_Handler +00000000 w *UND* 00000000 hardware_init_hook +200000f0 g .heap 00000000 __end__ +08002238 w F .text 00000002 DMA1_Channel5_IRQHandler +0800222e w F .text 00000002 EXTI4_IRQHandler +08002278 g F .text 0000000c TimerSet +08002242 w F .text 00000002 USB_LP_CAN1_RX0_IRQHandler +0800279c g F .text 00000008 BootComInit +080028f0 g F .text 00000000 _fini +08002240 w F .text 00000002 USB_HP_CAN1_TX_IRQHandler +00000000 w *UND* 00000000 atexit +08002234 w F .text 00000002 DMA1_Channel3_IRQHandler +20005000 g .bss 00000000 __StackTop +0800224c w F .text 00000002 TIM1_UP_IRQHandler +0800221a w F .text 00000002 WWDG_IRQHandler +080026ac g F .text 0000004c LedToggle +08002252 w F .text 00000002 TIM2_IRQHandler +0800224a w F .text 00000002 TIM1_BRK_IRQHandler +20005000 g *ABS* 00000000 __stack +08002228 w F .text 00000002 EXTI1_IRQHandler +0800226c w F .text 00000002 RTCAlarm_IRQHandler +20004800 g *ABS* 00000800 __StackLimit +08002266 w F .text 00000002 USART2_IRQHandler +08002860 g F .text 00000028 exit +0800225e w F .text 00000002 I2C2_ER_IRQHandler +08002232 w F .text 00000002 DMA1_Channel2_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdout +08002858 g F .text 00000008 BootComCheckActivationRequest +08002246 w F .text 00000002 CAN1_SCE_IRQHandler +08002222 w F .text 00000002 FLASH_IRQHandler +08002678 w F .text 00000002 _exit +0800220c w F .text 00000002 BusFault_Handler +08002264 w F .text 00000002 USART1_IRQHandler +0800225a w F .text 00000002 I2C1_ER_IRQHandler +080022b0 g F .text 0000000c TimerGet +00000000 w *UND* 00000000 _Jv_RegisterClasses +08002284 g F .text 0000002c TimerInit +00000000 w *UND* 00000000 __register_frame_info +0800226e w F .text 00000002 USBWakeUp_IRQHandler + + diff --git a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.srec b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.srec index ec75e138..1c9d8c34 100644 --- a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.srec +++ b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/bin/demoprog_nucleo_stm32f103rb.srec @@ -2,7 +2,7 @@ S027000062696E2F64656D6F70726F675F6E75636C656F5F73746D33326631303372622E73726563 S3150800200000500020C1210008072200080922000804 S315080020100B2200080D2200080F220008000000000D S315080020200000000000000000000000001122000867 -S315080020301322000800000000152200085525000894 +S31508002030132200080000000015220008BD2200082F S315080020401B2200081D2200081F2200082122000862 S315080020502322000825220008272200082922000832 S315080020602B2200082D2200082F2200083122000802 @@ -16,140 +16,141 @@ S315080020D063220008652200086722000869220008B2 S315080020E06B2200086D2200086F220008EE11AA551F S315080020F010B5054C237833B9044B13B10448AFF334 S3150800210000800123237010BD7C0000200000000021 -S31508002110FC280008084B10B51BB108490848AFF35E +S3150800211000290008084B10B51BB108490848AFF359 S3150800212000800848036803B910BD074B002BFBD095 S31508002130BDE81040184700BF0000000080000020DE -S31508002140FC2800087C00002000000000154B002B2E +S31508002140002900087C00002000000000154B002B29 S3150800215008BF134B9D46A3F5803A00218B460F46D0 -S315080021601348144A121A00F0B3FB0F4B002B00D089 +S315080021601348144A121A00F0B5FB0F4B002B00D087 S3150800217098470E4B002B00D09847002000210400FA S315080021800D000D48002802D00C48AFF3008000F07F -S3150800219079FB2000290000F0A5FA00F05FFB00BFDC +S315080021907BFB2000290000F01BF900F061FB00BF63 S315080021A000000800005000200000000000000000A9 S315080021B07C000020EB00002000000000000000006A S315080021C006498D460649074A074B9A42BEBF51F84B S315080021D0040B42F8040BF8E70448004700500020B7 -S315080021E008290008000000207C0000204D21000876 +S315080021E00C290008000000207C0000204D21000872 S315080021F01F49204A002301E041F8043B9142FBD3E2 -S3150800220000F070FAFEE7FEE7FEE7FEE7FEE7FEE708 +S3150800220000F0E6F8FEE7FEE7FEE7FEE7FEE7FEE794 S31508002210FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE788 S31508002220FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE778 S31508002230FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE768 S31508002240FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE758 S31508002250FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE748 S31508002260FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE738 -S315080022707C000020EB000020FEE700BFF0B4CB781E -S3150800228003F00F02154613F0100F01D08D78154391 -S315080022900B78E3B10668002316E001229A400C8801 -S315080022A032EA04040FD19C000F27A74026EA070646 -S315080022B005FA04F42643CC78282C01D1426102E0C1 -S315080022C0482C00D102610133072BE6D906600B883A -S315080022D0FF2B1ED94668002318E003F108040122E3 -S315080022E0A2400C8832EA04040FD19C000F27A740AD -S315080022F026EA070605FA04F42643CC78282C00D1EA -S315080023004261CC78482C00D102610133072BE4D90D -S315080023104660F0BC704700BF016170474161704775 -S3150800232030B587B004460D46038A9BB2CA8823F4A3 -S3150800233040531343038283899BB28A880989688933 -S315080023400A43024323F4B05323F00C031343A38137 -S31508002350A38A9BB2AA8923F440731343A38201A8D4 -S3150800236000F05CF81E4B9C4201D1049A00E0039AE7 -S31508002370A38913F4004F08D002EB820202EB820213 -S3150800238029684900B2FBF1F107E002EB820202EB91 -S31508002390820229688900B2FBF1F1124BA3FB0123E3 -S315080023A05B091B011A09642000FB1212A18911F4AA -S315080023B0004F08D0D20032320A49A1FB0212C2F3FA -S315080023C042121A4307E0120132320649A1FB0212F1 -S315080023D0C2F343121A4392B2228107B030BD00BF3E -S315080023E0003801401F85EB5129B183899BB243F41C -S315080023F000538381704783899BB223F400539BB2B1 -S31508002400838170478088C0F30800704703881942A3 -S3150800241001D0012070470020704700BF10B4294B37 -S315080024205B6803F00C03042B05D0082B06D013BBFE -S31508002430254B036021E0244B03601EE0214A5368C4 -S315080024405268C3F38343023312F4803F04D11F4A10 -S3150800245002FB03F3036010E01A4A526812F4003FC5 -S3150800246004D01A4A02FB03F3036006E0164A02FB8D -S3150800247003F3036001E0144B036012494B68C3F38E -S315080024800313134CE35CDAB20368D34043604A682B -S31508002490C2F30222A25CD2B223FA02F282604A682E -S315080024A0C2F3C222A25CD2B2D340C3604A68C2F366 -S315080024B0813208498A5CD2B2B3FBF2F3036110BCDD -S315080024C0704700BF0010024000127A0000093D0064 -S315080024D0040000200000002021B1054B9A69104332 -S315080024E098617047024A936923EA00009061704731 -S315080024F00010024021B1054BDA691043D8617047D4 -S31508002500024AD36923EA0000D061704700100240EE -S31508002510014B1860704700BF9800002008B5074BAC -S31508002520074A5A60F021074A82F82310002098606B -S3150800253007221A60FFF7ECFF08BD00BF10E000E0B5 -S315080025403F19010000ED00E0014B1868704700BF15 -S3150800255098000020024A136801331360704700BFD1 -S315080025609800002000B583B001210420FFF7B4FFCE -S315080025702023ADF8043003238DF8063010238DF898 -S31508002580073001A90248FFF779FE03B05DF804FB9E -S315080025900008014010B5FFF7D7FF0E4B1B68C31A9A -S315080025A0B3F5FA7F14D304460B4B1B783BB90122CB -S315080025B0094B1A7020210948FFF7AEFE06E00022F3 -S315080025C0054B1A7020210548FFF7A8FE014B1C6031 -S315080025D010BD00BF9C000020A0000020000801409C -S315080025E000B583B000230193009303F1804303F5FC -S315080025F004331A6842F001021A605968364A0A40DA -S315080026005A601A6822F0847222F480321A601A68B4 -S3150800261022F480221A605A6822F4FE025A604FF4A5 -S315080026201F029A601A6842F480321A602B4B1B68A4 -S3150800263003F400330093019B01330193009B23B9F4 -S31508002640019A40F2DC539A42F0D1244B1B6813F4EA -S31508002650003F00D1FEE7224B1A6842F010021A60CA -S315080026601A6822F003021A601A6842F002021A6017 -S31508002670A3F580535A685A605A6842F400525A6061 -S315080026805A6842F480625A605A6822F47C125A6088 -S315080026905A6842F4E8125A601A6842F080721A6060 -S315080026A00E4B1B6813F0007FFAD00C4B5A6822F0C9 -S315080026B003025A605A6842F002025A60074B5B6886 -S315080026C003F00C03082BF9D1FFF74CFFFFF726FFA1 -S315080026D003B05DF804FB00BF0000FFF800100240DD -S315080026E00020024008B5FFF77BFF00F057F8FFF718 -S315080026F051FF00F0AFF8FAE730B587B001214FF483 -S315080027000030FFF7F7FE01210520FFF7E5FE182345 +S315080022707C000020EB000020014B1860704700BF6F +S315080022809800002008B5074B074A5A60F021074A0C +S3150800229082F823100020986007221A60FFF7ECFFE7 +S315080022A008BD00BF10E000E03F19010000ED00E0A6 +S315080022B0014B1868704700BF98000020024A13684F +S315080022C001331360704700BF9800002000B583B043 +S315080022D000230193009303F1804303F504331A683E +S315080022E042F001021A605968364A0A405A601A686A +S315080022F022F0847222F480321A601A6822F480224C +S315080023001A605A6822F4FE025A604FF41F029A6055 +S315080023101A6842F480321A602B4B1B6803F40033A8 +S315080023200093019B01330193009B23B9019A40F264 +S31508002330DC539A42F0D1244B1B6813F4003F00D1BA +S31508002340FEE7224B1A6842F010021A601A6822F059 +S3150800235003021A601A6842F002021A60A3F5805353 +S315080023605A685A605A6842F400525A605A6842F4E7 +S3150800237080625A605A6822F47C125A605A6842F49B +S31508002380E8125A601A6842F080721A600E4B1B688F +S3150800239013F0007FFAD00C4B5A6822F003025A60F9 +S315080023A05A6842F002025A60074B5B6803F00C0356 +S315080023B0082BF9D100F062F9FFF764FF03B05DF866 +S315080023C004FB00BF0000FFF8001002400020024096 +S315080023D008B5FFF77BFF00F0E1F900F067F900F0B8 +S315080023E03BFAFAE730B587B004460D46038A9BB236 +S315080023F0CA8823F440531343038283899BB28A888D +S31508002400098968890A43024323F4B05323F00C036D +S315080024101343A381A38A9BB2AA8923F44073134367 +S31508002420A38201A800F05CF81E4B9C4201D1049AD5 +S3150800243000E0039AA38913F4004F08D002EB820246 +S3150800244002EB820229684900B2FBF1F107E002EBD0 +S31508002450820202EB820229688900B2FBF1F1124B73 +S31508002460A3FB01235B091B011A09642000FB121256 +S31508002470A18911F4004F08D0D20032320A49A1FBD3 +S315080024800212C2F342121A4307E012013232064917 +S31508002490A1FB0212C2F343121A4392B2228107B079 +S315080024A030BD00BF003801401F85EB5129B1838933 +S315080024B09BB243F400538381704783899BB223F40C +S315080024C000539BB2838170478088C0F30800704729 +S315080024D00388194201D0012070470020704700BFC9 +S315080024E010B4294B5B6803F00C03042B05D0082BAA +S315080024F006D013BB254B036021E0244B03601EE086 +S31508002500214A53685268C3F38343023312F4803F67 +S3150800251004D11F4A02FB03F3036010E01A4A52680B +S3150800252012F4003F04D01A4A02FB03F3036006E0E4 +S31508002530164A02FB03F3036001E0144B03601249D9 +S315080025404B68C3F30313134CE35CDAB20368D34056 +S3150800255043604A68C2F30222A25CD2B223FA02F2AC +S3150800256082604A68C2F3C222A25CD2B2D340C36078 +S315080025704A68C2F3813208498A5CD2B2B3FBF2F3E5 +S31508002580036110BC704700BF0010024000127A00B9 +S3150800259000093D00040000200000002021B1054B81 +S315080025A09A69104398617047024A936923EA0000C2 +S315080025B0906170470010024021B1054BDA6910435B +S315080025C0D8617047024AD36923EA0000D061704790 +S315080025D000100240F0B4CB7803F00F02154613F052 +S315080025E0100F01D08D7815430B78E3B106680023E8 +S315080025F016E001229A400C8832EA04040FD19C00A6 +S315080026000F27A74026EA070605FA04F42643CC78DE +S31508002610282C01D1426102E0482C00D10261013325 +S31508002620072BE6D906600B88FF2B1ED946680023C0 +S3150800263018E003F108040122A2400C8832EA0404D7 +S315080026400FD19C000F27A74026EA070605FA04F4CF +S315080026502643CC78282C00D14261CC78482C00D16E +S3150800266002610133072BE4D94660F0BC704700BF0E +S315080026700161704741617047FEE700BF00B583B04E +S3150800268001210420FFF78AFF2023ADF80430032335 +S315080026908DF8063010238DF8073001A90248FFF798 +S315080026A099FF03B05DF804FB0008014010B5FFF779 +S315080026B0FFFD0E4B1B68C31AB3F5FA7F14D3044605 +S315080026C00B4B1B783BB90122094B1A70202109488C +S315080026D0FFF7CEFF06E00022054B1A7020210548B9 +S315080026E0FFF7C8FF014B1C6010BD00BF9C0000200F +S315080026F0A00000200008014030B587B001214FF442 +S315080027000030FFF759FF01210520FFF747FF18237F S315080027108DF817300425ADF8145003238DF81630BC -S31508002720144C05A92046FFF7A9FD8DF81750082374 -S31508002730ADF8143005A92046FFF7A0FD4FF4614314 +S31508002720144C05A92046FFF755FF8DF817500823C6 +S31508002730ADF8143005A92046FFF74CFF4FF4614366 S3150800274001930023ADF80830ADF80A30ADF80C3027 S31508002750ADF810300C23ADF80E30A4F544440DEB5B -S3150800276005012046FFF7DCFD01212046FFF73CFE68 +S3150800276005012046FFF73EFE01212046FFF79EFEA3 S3150800277007B030BD0008014010B5044620210648C0 -S31508002780FFF744FE012805D10348FFF73BFE2070FA +S31508002780FFF7A6FE012805D10348FFF79DFE207036 S31508002790012010BD002010BD0044004008B5FFF719 S315080027A0ABFF08BD0449CA6802F4E062034B134351 S315080027B0CB60BFF34F8FFEE700ED00E00400FA059B -S315080027C008B5204B1B789BB91F48FFF7D5FF012892 -S315080027D036D11D4B1B78002B32D0FFF7B5FE1B4BAD -S315080027E018600122174B1A700022194B1A7008BD7F -S315080027F0174B1B78013314481844FFF7BDFF01280F -S3150800280014D1134A13780133DBB213700E4A1278C7 -S31508002810934215D100220B4B1A700B4B5B78FF2B9A -S315080028200ED1094B9B785BB9FFF7BCFFFFF78CFE0F -S31508002830064B1B686433984202D90022014B1A7072 -S3150800284008BD00BFEA000020A8000020A400002060 -S31508002850E900002008B5FFF7B3FF08BD08B5074B28 -S31508002860044613B10021AFF30080054B1868836A4C -S3150800287003B198472046FFF7FFFC00BF00000000A1 -S31508002880F82800080E4B70B51E460E4C0025E41AB3 -S31508002890A410A54204D056F8253098470135F8E724 -S315080028A000F01EF8084B094C1E46E41AA410002531 -S315080028B0A54204D056F8253098470135F8E770BD8B -S315080028C074000020740000207400002078000020A6 -S315080028D003460244934202D003F8011BFAE7704705 -S315080028E0F8B500BFF8BC08BC9E467047F8B500BFEF -S315080028F0F8BC08BC9E467047140000200000000083 -S30D080029004CF8FF7F01000000FE -S315080029080204060800000000010203040102030489 -S315080029180607080900000000000000000000000083 -S315080029280000000000000000000000000000000091 -S315080029380000000000000000000000000000000081 -S315080029480000000000000000000000000000000071 -S315080029580000000000000000000000000000000061 -S315080029680000000000000000000000000000000051 -S311080029780000000015210008F1200008EE +S315080027C008B5214B1B78ABB92048FFF7D5FF012880 +S315080027D038D11E4B1B78013BDBB23F2B32D8FFF7B3 +S315080027E067FD1B4B18600122174B1A700022194B04 +S315080027F01A7008BD174B1B78013314481844FFF7A5 +S31508002800BBFF012814D1134A13780133DBB21370C6 +S315080028100E4A1278934215D100220B4B1A700B4BB5 +S315080028205B78FF2B0ED1094B9B785BB9FFF7BAFF94 +S31508002830FFF73EFD064B1B686433984202D9002217 +S31508002840014B1A7008BD00BFEA000020A80000204E +S31508002850A4000020E900002008B5FFF7B1FF08BD75 +S3150800286008B5074B044613B10021AFF30080054BAA +S315080028701868836A03B198472046FFF7FDFE00BF34 +S3150800288000000000FC2800080E4B70B51E460E4CD2 +S315080028900025E41AA410A54204D056F82530984716 +S315080028A00135F8E700F01EF8084B094C1E46E41AF5 +S315080028B0A4100025A54204D056F8253098470135BE +S315080028C0F8E770BD74000020740000207400002032 +S315080028D07800002003460244934202D003F8011B05 +S315080028E0FAE77047F8B500BFF8BC08BC9E467047C3 +S315080028F0F8B500BFF8BC08BC9E4670471400002017 +S3090800290000000000C5 +S30D0800290448F8FF7F01000000FE +S3150800290C0204060800000000010203040102030485 +S3150800291C060708090000000000000000000000007F +S3150800292C000000000000000000000000000000008D +S3150800293C000000000000000000000000000000007D +S3150800294C000000000000000000000000000000006D +S3150800295C000000000000000000000000000000005D +S3150800296C000000000000000000000000000000004D +S3110800297C0000000015210008F1200008EA S70508002000D2 diff --git a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_GCC/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Nucleo_F103RB_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_GCC/Prog/bin/demoprog_olimex_stm32h103.elf b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_GCC/Prog/bin/demoprog_olimex_stm32h103.elf index 657bf1bf99703cc36effc9964411bec6bdfadafd..358542fa7b8e7e03029e4348ab19202a8195d69a 100644 GIT binary patch delta 71459 zcmbrm2Xquy(=FQFGcAc3jl$%dL^PO;jmgC-{C8 z$E=9Ws3~o#lTo8q2T^joOh~S67sn*5uH96+{vsiwPUi$~ozR5db=0~sg5VV!TRZpu zQrI#q!Bp?_$YGF3Um1Q9D;VBO|EzN_sNakH=iTxXeyZzK4}S6HMndO$I|IV%nB}4` z!khnmYW~+xqFXI_p)RY68uKEkYy|GXWyJ-mhSaH+fBQoHTG%2)h_xbC=Rd#mF1)eh zrycf;r>_F$qv{5sjU9j0T_^fAjqSbRr$r%H9n25DDENckOJqp4=ZlSn9sb6{<AFjA#f{$wSdSMYx}*+~+n^3w>0-he$#{Rj+%3@TaPU zQ7!aWz3n6j|ER|NB?yC55q;IdzpB+YRYE}RIU>Pt$+~JmRG<4pg%|dC)q+Z$SQ27& z8I2^!>g3&OAxLensuqIPu{%W}L_L0iBotC_+ARbGje5sTLC~t7tw+t&Iol;cr+%HP z62jD#ng~L;x)26lg!)2vwGgTHPgV9H)U_L_1+#j=Se0N= zJ5~vTRh_X-5Nzsi*Qf-$`spo|;7~9BQ!O~vIekUJrSAQkD7e+Jtpy?AQBO@01+Thd zx=Qe=dzvv?)Y~TuLX>*yAW>au9D;_7yjM4^PbW+zej zM3BXxwAm^l=(YvTm@Ae*O9wBgi}!cMpSr>|8t+LhXi4 zMK@?_o)83S#40=r8Zio;F>)QAy_&>aJdfIo=V;A{p=v>jrF@q5<;gmtAjM_VK-6k! zFG=TaK|XTZ$kb6HK3 z9LVSMxuWK5J2+gx=gOL;$5e?&;4pmSHh`C6dI-%mS#`w3urI_uf%WvZ9PcL>Bc$I% zKm=2vg{WpPk>-M_SO#46Rv5LpZS5w4^oPQPn6e*3(?{g%gbG1pFT+t^F$SFFErzL7 zK1lT#jFpoR492ElEzuF4%LiS+vtU~?1anN9p>V25%wua5=U9P2uwTU75NmP03I$qB zsR)F_|2JlIEsiL$xnRFv2VA*ffRs`oNq{(6=m>}~+6A#!CfCS<%J^Tef%kS?BO_oVrbHd7 zhvlq4Ig^IBmdkH>S6$RgFj(l(IbzULBsWf9;WW~&ADC{-F&+WXn+#Fk~n(j~7^ zI!2LNHDA|hDX7OPj8of{nsEx_6+(Z4!J@LFJ8*#F&((?>P2EN?sH`v{+I7@?p)jG^ zXfjn4CPMp+OjU(3YTuHnrZ86RbTZWy#;LtXriQ|JHFaCTbxnmSEQH2V^_9Aj7!U+q zhqLI=`UlW=So6?#6(r2xg+Pd3@===5FRcH6aJ|D1lWW)oZ;tULM65*s{1d>4jd+O0 zgCJ8EnNC=l0eEDHEEp)=h&uuHB8rMQT{j?vjHZR2!y`C5s5cmyCWgtd<|X?L9M`;p z{D$q2@RFm19T>H0p)98A`|d^NCj7*5`{Qx5qs(U}c$VBMg4=^%D-TMJX#;SWiz{ogt?*|weZ|M60 zMy9Z!c|XAFbAW{z<hNQS@LZnHA>jk?VsZsOhlU4^ z;khE8BLvlUf-0Tmu+g2w8=it#>1r`0GBgK*FsKBf8ZI%V3yRaLP=pu=6X^iUV`Rv2 z@XZvIQ9)s>2nKzDl-*Gqm+>wtfR&C$U2qAJL)o5P6tjXcyqV5(3F{)VGw3@NRTcmK z58oZEB(~DBngpWlnBd8+kfXd<$ zQ@#htP>H@PN-PP{^ZjdlCe8JMd4WqX+1W^qCB7*CB55|P(w5ZGSR$ZXT{!+ z3KTR$7lp6O3PrBr3nSd%ub|i~EcPxN=WhjuJnOyLAcY^Rn5{bkz@+~$vt!^Em`ze( zYZbGe^gcxqoKoyee9MiBol~jl*R*>>ZyS|&P(fGFG#iyEA+``Ai?T13Yy}~huh$Po z`a(3yReZCn&YVi@TvIguHiB>bP7zm!uYxxgRBXZTSZ?Ga4zucbOQadN#1t3^`XAYh z)m3apdTWj`EqTg%mtx z#})8*>Dr>^6pc(Jt~g$SD?8u$68sxhu&m;0HchFIuUA~HydIiebrk9^)n~D+7Z{m? zVAPl;e5n}qC@?~H0l^2WHPln{p{T~R`iTRfhhjDBV^KXm8rj*GssCXV{jsQ2#R%{H zz5!D|8pW`FTh&6Dk43HiSkzX<%J{L_w|=zha3nFcwrK3A^yTpaF*-b%&Mqr8DCqEf z_$uhK%O62|*3b-#Fv*ZL05YkbpdBF-{4Wi_4`5rL*E13LM5PS<}o zVuKXqDpoA=G}~&v;;f(4@9_=SI`3e~X zH)6;@*h?Yb1EUgD$q>mk_y2EX!}3@QLJX*&5Mp6TArCOGiif<#yIgUagPY8 z1P{)T`nX#KRV<)#^QZ6=p$^C$f8m1C8!GwRX?QImGN1a+tc4W0it?^32Nj4$Npg3t z!tbm4+gMhh7w|&Jejr6bl|$oR%ro_m@hJAB%LBN?6eD1UNJYrUqa2Hwzc&!S6{St5 z6AykV)(W(tlO->(LM1CE*MYMtCQE1{Hn$E`5R{88Z12thzHBa_ahyh-*k%g5itO=^ z+D|Y@?Y(>TeXs2d)}|y7%W1orY$e(T6#gc7EVOJF$2*EOXo`=K7(?8tR6-*vwP-H5 zZW3e@KZNWsfW?#!0J@c`+eFq~UkHY5W+2(lVhfKl5gm$M6KDmO)ahKJu90Z%q}Y{H z?0!`=%lIy}{vSnTe=MSw0*(JzM6Lf(L>Ca;KTP_6G-<}}j}vjogbAb+ zGwY*G-2bqNdvD`BRbaxQCbkqD70IS5JyK9lj-!HlrhahHkMENDvCxY&S^giLXbwjC z1vbk+*eG4I{Jo7=3DhfN5 z?t(xq6v9is4;riP76grpN;?Ze8H*q^$WeB6$|1n}BY_X+1nLKQSRM^90S$(z`hjww5mBg4OB^rcDU4C`KC-33+A3(@N49O? zLV18B$W>e5wStvE6s!cI;QtYb%0v4e*%0ToLa-8uf|WoNtOTN91fqb@Fj$F1!Ac|w zRw7aG|A<65u*Cth-0?7ISV15fj`D@Dfj^gWQ5kk4on{Gmth+D4o~;+FasBA z@Ub+N1{*v>M13LT<$u!%X3n3G?4VuHD(5X0Ch@$2R!bH}OJ4H#qLs&eyn+i`xGeLM zdx(}X?0zooa(6NDWfQSfX5!c4CsO9uO+}}exvhm5rA~})A=XZWz?vA-OnjSowT)Of zz{Zq>MBKT^3c`m2&0#oxDh2+-nc|0|LRni$bI*pe90G}tz!d9ddH#$^Io zUJAT|rGx<`31w%JhT;;1mL%hq$2K48OQabhBAuXKTtPw~L>K!^K3BmND*Pc#k~I1G z_CAnSgQU*?A?*xFH(ZKs05CHD{vWXaRxFGE3YRFfY6;R77i&X0wm|&ftn5$~R@5y? z$|{eoN$S+YS)?rV70MT$`5pM56je)-e#&EOk`cJxgZ|UK9%7bGT2QIBtW-fo+Wsf< zL#q{sn(ZM9-}D5b-^?w|g2PljIk{gcn&u<|=CCNVCJ9v@o0AydOW(WZEaD8}#Tmql zGl&;w%7+^8nKOnL$5Y~=_Lu}0+lk{9Rv9{n+KKHHxiXR_q6&!+6_4PoU`ED^^MKM? z1cnqRI|CQyp1|wFAEyDQ0I$NRabk1_(IyAUuFPABPux;r<7b1A*@vp-p!*lF`i5v^ z^POgir94u(QlCPj4z3!G8Kt_Q(#ihU{Si`wPn)n#->MZRTjO8h$r&!&2IM8idZbcP zMz6$!#iXi&Z9lpE8SE+`yG6adBOX4b7CckqncCksw1C^?54bv z^4`gH=^|O_?|*9W+1``LPD+E9j9|fu{dwQAgjTXraF0~_Y49WQ!z)vs_JsG=7;qRL z(E+;H-Hf9A=X|zFeAa(SM+=pPP5OtD@i>o`g&Hqu)_ROmOh?MM@1tZ{91AEMM-N%6 zct50!fQ)5))*R%$x6&$`chhzbPR3;6)?l2vqi+_|(V?omFK9(~C06miz(eRF<^7&2 zjdm@Uj$+~|uT_`$m{=medqVlb5Z>=CpzF&xaFB&38PwLpaT{BjK=qs=6dm*YR4Yl8;+;k@SN_ljLLHw@z<2D$x@0;@>2WG&iizwqBY78 z>DkPCQU>K!&+zWRu1gk1XzBTz*3bro-;v*-Cw?RJ_z2#`Wh|h|PCoyXOS9t#iTUk3 zpF0-xF#@?PtX7KbHj4QD$_i2AEsm? zw--`74?9Lo%TQV&cOhmApO44#*%2s8X5msA$6|wu1*9_Fy==|rw0hK*G*dF;G$jL< z@_Eim;=88veoBPBLkjOd zDQjQb9>lckK}idxvxe=Xhg&6Z3V@+8fs8PVt}`1G^j0bOD$YqUo6Rg{5x&jILTN6U zg6ePH^OSy#TtRuRvOH(E<@1qgyid*`=J*-Lw8uAZ)y>9SQ&c7SJF0m59QMglxNiC-Daolrvv#c zm!4b?leA!-{JElWqs_kl8$s=(^2zQ#J3twZD|MZG2JMyp;W8d zeQKR;DH+y}+VR*iBX9?lh}6wP*GCmcpDR=Gv@+U9htcfN8{Ri7i|!j`U`8v!+R=#e z>@vI$|C#rcEi9mM2YPERvzSWCYM6~5=U|*EW2NmM#N1Nm(dW$+#U-ULdsm@Zt>%Qr zhSQx{nMhgqaR;W~XEgf?DI)syG4CZ?OUf=ncD3T$mD$$-xN<-A1g=8opYU91aU0z0 zbl?=Pm)QpQ2BCjJ+vew=QAYOxC1Dll7nlZ7|GEsTf7RRsQH+?x%EgKeOTc`JxUB}r zB5@cpEI|z?6@vFdJs@x$;%IRPPJ`v4Vs~akBgHB;0T?Cz8>~1ey8^m6amf@2M~me< zK{!J^R0Vdk#0iaIkSYdTsqf#y*7Og+T3a}|+RXkQ&uzoH0@JSE!S@BLH!X%Ps0mZ3 z;N??s51CvVB@{~Qqa2F}vM*{Yq{TzCppoLV z+2BTr83D}AplRav1lXmBzZ^vYkHkI2QThw9F2{Sc^gF_oJY4eO%d0$I%B=(BB&p<0 zaLLkVdq5USV?D52FRjB=Qkp3I)-SN}Ik1P7%Y6L_p6i>-qB7t67(D*%a0l|K;`mFP z|4YUGFTidUHzS^a|7 z?}DQi*|hx$?zU*w&f#Qx`uev<2}Pcrg}hjEw(Y0CF_kP=9|KgbU|~Cd@p}~R)u(WT z*YvZ^>kSTpw$V*w#EN%INA`hhLI8arM-5;Pl-P_8kSqP(63;b1XQtC=02hf?SFLcC zAl_v{FiFhO!(y_ymO*imXsZX|V)5)R5H1myF)LmzPG>r~M!dHiBvUNA2*Pb*>U6Cbx^Y?~$)CY1?te`@H zx5WJ#AYY1`xiY>IN7aMwwb-f$NUYQ*09~B)C715eQhB~;l9at4Bv~pG4A;}7TD<^S zAobTX{w|clY=AD3isP_TUMCf50OfkA)&U4NNR1iO_eulTLAOt;H4?i0(mzE}?kTAb zljze@5vIeJrN*3$x1|bP{_>=tyWk#59g9HsRNDCzUS3K*Mxl4opPRwOs&4g^5PuU? zHQxd{RkdmXyv;)&=K(s5|w~_R8$86b6mX2&OafVw!vGj zxIPl(l&Fb-#Tij%6gw+gkAb^@4J*h+aldnn0-7DziR-4K%RJ-;q8%lzc$#%;uvO@PsI!-mCwWre?#{| z{N^|eUy7@n0P;p`)(_;ZSV0CPU+lyUYP9snryxV6Z1#GLl+Wa0gftUB^^iwO)7T`j zQV0WhoK)dDbn#MJNpNEVQn6`Jj+16_6&f!Mms%HU7lm5VWFnPArkQrIJ^|9fmp5hHVfYmL3)cIU-$TwU0@kF=if@ zUNG6sm8y&eIVBxus6Qih<`Q#Oif;~s^HQ~05MGd;atn1yS~Lu`xGara$))0|)apk_ zuSso>0{Tq)nMvhyN!K10FQv}+p?f8nmcZK^=^-YU{8k#zOf6rEWtfguHPgW$MrE); zI82p!9LSNXihV#vsU~N^=V;X%uC4K^>UtQARlW6s8>b4!_fA2cpz?BHOjPC0f}1U> z{{Mh%RV|8!{SMWdQy@E4v$e3uQoXqYZ@X1v$^f!gH5{=*-luA_4CV(^O*a8~P<7@i zkU6Sv7J@sZs>1`4BdRlu=tot5{R!c5)ljBvCsg(4F#hJMrWXNwO4Z;ElxJ1Le*pBH zY8Mxki>k8k;O&y?(^nu@RkOGO;?chz7r6b5K)kQ8dcT|1iz};1~Y6|oFs-CC7 zJy0DP2wjZ&4<@I>)a&BmWu!VP8)TGv{zeD`aq7z4-;7o#4@U80)W3Fu{aE!ccR`-2 zOC5ypnYv;mxEJcuUxB<-H&_RQ*XqIbp?jmA!D;+XJ(}C}e6@`$(-8R)ht5!W-w!B$ zn0#&nxZ&~uHswg!hfkOCDEZ&+AaMaX`FB)fw4Ba}IYyqwMi?u9ZUh-G_umF@6XZ#p z%?WawIp8MA&XLefk;`z0lPFJNJW7^ZaQ`q({`UqT)8!#dR%gg95}-?!2b2X#lPB+j z-5j}J4{+&nI}V6>a^S^dNaxGx<6yW@zMBqik-S_FvP9N!{w|eE{R3{f+z&~Pyh5(w z0?Cl`*odp-)3?B_k^P^5%anU?7O#_+6#=(ij>>`gMtK@1&L){ZLzlP6YoY+zDra88RU-23l@PKlM{D>9G6|3aVO-~!@-@D>pTT_UmlzS?txq(23(G=dB$~rjUC$$lF4QLFo8GUj@PO zP|fF?A&k+C;Sd?7Dccvi5t@)iup6oIF@%rOOySxZt0~?D25|w+ruDEGt=YqkO1$R4 zd??3g4lopt)!0@+H%_zU2XNyx{h~l7XufiQOw_zcg0}?C$)g~XGV>q3yDIX1Pj%HV3K+-ioum$I8stf=(PqQr^-sWo>GYBovtmKY*p{C0~ z2p4I5KZ0AV*@w?&@)C{e0w7B@jiVu4rl}aPLAqSC|1HQ0O^=DNSg9GsBqu|29qWU< zN>c{E?2}h(-jxSgqp8g4wpR1&MnKkUHgetGpb4ypZlh-DcIY-~)=vSqSu=PibXzp; z6A*6I1W$l)o2DL31(aJOa_*Ofh*(^d%g zYSwU(+poD)8RUTG$9sTeYnl%O$bE7p3Uuw!RVSA;C90%cRO%T`bH<|~G z@^3W}IUw&e3z?+nYc4a+MQbB-VK78{m_v4`b~ew$VzjMBfg7eB!a+J*+m)?7Li^Jr zkibao_FrK?N?Wuy*jR0$UT_nq9ncxvXl>d}c#GGbXXqcJz4tT7SnX*ZE{@Y??SS2Q z?IP|MCTPoCgxy4KsU08*+7b*xleC4afSatX#3gTvHtZK56SYHrf!$PX+tH{@Qb2pW zH>AnhL5F~urv1Dna8|WwXs~Tu4!eq+I8)r0G-~@8VaF^o7z737$e`( z4(JJTTl?$~$X)F}KY-+E?_|R1L#?|oxJTN(Y^TTCxlCu?Xj3^y^0gH?$A*SRIKd4M zZ956vsL+47437@2I}gIKp*PmUZbE22w$1XS&}9{rg(~#*6-bjqAN&gF^w8%_P-lgD z+0C;hWIpkM|{?-IVtPM>K1!O~LA0F6m z4z120vMtoX9@!b1a{%1#(2eYoeW6WrRG5DULo4tN4~4cl0_D-r%!z2I6QK?!DW^hz zHk-!gf8 z9_r%(#jDW5r@*}qZNm)fO(=hL7LebDMssr?tvjm&8KT?6U@=TrfjQ`K-PM6G9HE;u z8-^owmD9kD(nWH+IYDP;!ZlI%2{&^II_F|=lXTUY=1$fnF}zRFZQ%4x)aCOl%T(R^ zKT&RyZao86vd+B;kU+Zb^;cl$>a2xdeb`*c&8Anw;yh=R9Ou{>T^TKOXLWB^fIFvK+z;GEor{~eOS<+A zKrZY0x}dwN3$Z|VO}F_7yj<7KVvM_?JIb+sOK15W?{Y_XyfAe4bvxbAJ&;8oLuq!$U4~3=Ah464#>6H*332Vbd{AieSCb;8a?ka$s2&?fH z+{v(aQQ&gJR#XQ$6;^&D$hokH-=RAnc7|!yg|Icu-Y$lHmdf*oOJTj0Srrz+B=Abu zh5@j+8n%`B*tM{Ttsg_*@hIXgPF&_2J#Rg1r)MISz6){45V6u7&4` z;I4;vt_{rF@Y!47?OphhHsJEZO>exF`@&^iyCKN4(>>ToPgEjUtvuY-|gX5s|>k ztcsXbAKo$}(%G?VBPue0t&0%2^skTD&jfCBMDi{e+=zHR3&@)h8fFx?A|8n#cOuqq z0=XM;fM3_{Mf8h+L0-fYo^?Hp2>i??@lix^6_k%7^m=elBO)q+Jd3D06vCGg%ec_I ziin&C?sY_26LfDPV!j1=8*!d%*}Dk+FjOW#VhSf-bYu<#$dE`2qxUdTF zG00N=l8zwD^;s`rzCxdR7G$NqP#(xCeGLHytMx031F}Y+&S|(-zuW-bI(>Q)bnEqE z3C6#T`tv@NyGftG??{{Ve=^P4s*f)UZkxVG5TM)j8G9kzsgJx1;VykVxh(y-pP}2U zzqt}*zn=f#Kt7=FnhxDTeZ*`abM%#NLw88OiHX=@{TBnk9n~Lu4CFDr<{HnxkL%^h zsNzZeiB*v1>Pv8mIHm8yIm-HKXPI^Ue z<>GZ!-;Eu6O&=7Dl5Xg)mxl1B{%I7rTl$OKYTwaU<)Oem{i6=x0{8XWJHR~9SLGqs zL;dnI;2!DA_J#7X-n|3dQ@!>u$TR&L9x*)EznBT~Qr}_=3|{H4bCkT+U-=2-t$qy8 z?cV9HiYPE&ui?ZSVmQbVIMh&togHK7&ZU32VW1fXBLW8NCeV?F$$vu{Yslit7H9a4 z+-O5_?k~m~TwG|z83x~nxABH6+&oM$WVHljqMq<17;Yq<%!~Gv&mt+Wi4PCO~&s+$n83M<+e54o-ad=KQjNxFLW$4WfT&khtClIC? z{7iFZ8_Fhun`3y>8*b7Kul|LbxrQn2!Ob%a;=W|QVesF8EHEs)1K~nLIW8iL41GC& z78{%m0a;@BqzJgBhO0XPS!O657zeu2aFA0b!!V5VewCpVmzLFrLT|yXF-&>}F4K_n zDZH&U?AQ&m&fusF;d(;_mbAg}MLiz>o?kKq>%w!H>76W4tPxgHGn8|+_$ zJ78#d8swlMlDqwE!wMFWWB7%qhldRNcnp8oFuFQ?9x?pU1;XQo$*k`Q!ytyilZHDM zKynQ++d2PE8G@Ksoi=n}o`1&hlu5){!+|ehf6nlVspfgZR<2AJ43+l-a?y~J4epZR z!BudV4QD$6dBw1~8|r)2(5oVZHw@wH;N_;F>QW$Y8PYd^yKNZEzPn@i{yw<7hIL7d zfAXJT^?{Hu8z#_DK}@)Xnt8wQV3Xj8hxJ+bpC0ILK_{#yF5U#xtLTq#Gw+gqyj>m)v#FHx^?`zreVb`R798 zAM?R2F`fy5>!rpom;f#_c4msY!q|n;aHa9+1lVO51E=-?y2dz}&7Eny=>}%4@pwCM z>y0gXf!kmlr32Y$9GeN@7URpmP{dYaNe1(6#`uaL+l?)g;bo_B37zdS4jB)FEMxan zkiABk+mn69Tk~PJ->7D}2aO$=)8-i42N)F(87uG?42O*uih~?8K4FwNZj9!x?1b?w zM{ut3Kn0Lf#vUAqr;UGbK%FseW-f5n*zg&ApEG{D1SMTGR^zwmOU9obfm}BJ)gO>6 z#_lKK`kL`7yXm?yhiTOf3(3{3Q9uejnpEDPaHjRvdw;?9qTnLAnER4IuO(l7t zFv8T7o1~GZdrQELGDYC?rW|XkAi`;!X*iF6Mw@P0z>PJ1djQ-xQ~5)1Hr{lyA`B*& zT-^UnG+n<8*9j)~WuCuGGWBBwNHnde1v1t2A{O>ZrX~sCl1)uGET@^~2Z5xR61Xp! zZW??IzGshQ=g%@o-O2D44Awm~?@)PNB$-IU0vG}mTfaJI=*x-KA_O>>F>vdvV2o5}5_dYl|POznRG z3G6gI4g=j~`i<#xmZ>LW>u!^~0mwen<0}yEH`U<^bHMc5Lf9WP?e)PR+tgtaggGYR zDujnjQ#wO<)YO@)z%i3}7{cQwT~9zxmA7GkCd18T(_l`3E2akj!1qvBLIn8s89$ukKo@1f~|4F*q4fz|84J~h4N{{ES% zE0gf&rY4U;UYPFiEb67H#|b!nW%`1@i+pQ(tVb>0nTGrXNWSR?Pw1n~lbV5xF~1lJ zGR%CT3LwMH=A+<7nA69C8)=?Y9Ok3Ud)cC~=Cxce;?0)>_@E&B5G6PcX00p%xR(Ey97EY+lqAkSS($ZRirsv8>Znvuz%@B(smnVX`@z`N=eM zFCH1rFt6d;&omD&0dAIg0Tnb%Z<^0s*>`|yt0m<4jz ze5w{8_smxY!1sOgZX=Kn%0j0VK)Nvm^|XEpvN7H_g(Do2wLyhcRZlWg0W<8J4w= z0GS!EJZcMzS(bJDkwvQI+dm*pvz+w7_iT&x9>`qFT{hc1%Z#lsoNo!91IPl)lR?le zw1f?S`6A0!E(VJ&mlgxL#B%coa7!&$Jb)~-O!yIY%Ps3U9#>do_VP;0F=h=JmO$0+ zfUdGMI1lJ*%d)RQ)>t-ku4G!QPk>o#3Ecq5I!l*7LDpL)aADnGnVb%?(X#hjKsH%s za^JYw(y1QE7E3x;@U50_xcF_e#By)A-J)#|gB=!q9gv-t-w%WAvZMvp0h499ULNdT zOAx*7v-Btgi~W`pH=#RVdAt$aLCZR3tl5@E?4KNqHVWjBrRiLd!xrf#AV(~r%>X%S z8O-Q?%o4pFwK#4$tOn$S<WR&&H3fRS3hjR6avp#DB;b`lMcYwrO!{VVEXC1&HJKnm0E5rnA zk1Bvnv?lXiCR?}g%>q-bUgisl)&o4Pn`(W?MSGgHMnjMktG+Uz)2(A8p_^fK{R&~K zbt|b0#0G0U?(sHS z-F>0kVx7qi$yV!*B_P|ZlbFhHwbE{tQmE6-M8*;4(@@q5U1!PYsVH4 zKDI7<0pSyC&RN(!wSILFgEFjOV-M&OEURkwF*I!%b*dTmk{pm>n>|5(^UxGy2 z#^=C(h;8FOK!@6f-hnX2)}E=?Fk6x75DvEu$pAOPcDN6?k+!h6Fc@WIt^|rSLh?mcXc=V0+VC$v!Wdt>JNyE4Js%Kd;)_j05JH?Yq~2T(>Rc1iE4C z)*9rdEujw}w`^~Vg50*vTZfYF*%Al9;J)pbKfpb(8M*+OXB)w_VLM0eH zwhdyQ{=^m-{xvX9ZTF*K_{>)J2%yhxCwZXo!WPUuz)Rb``ruyK%zFWOZ5zmQkTi7`uNyAj9mI`{0J#doh_EVK2h~GSZ%M2HYrn z(SQ*&)_#j@oc+ct*pId!xddUTeqUX z8Fue;Kxf+HCxV-0uc-o;YVUUrB+cHX77S+Hpf!1sE4m+BxJ?EU*gxX~VX z1G`Q3?L9#@+xs(B++x4W)N8B#J`J|n%S;AxyS<`?^KZZXIe!g&!2T;&pM&XwK_A)gGmbsBKjG+pVlT_3|Ec}qF&I9xU+WCv zbNg@(u?QyW#6$7!q@iRtkWC&yDX5m_G6JC@9ZbMFweJ_`UVEkjuSj6 z8saGYGlWAOd9MM9aRl0N85riMvl4DbI@~sz1eoN|oP%((V;+Ok6vsR+Y*QVfV?dG| zb3X-1cEkjjH>WuIH36CF*iYyz#}|!IM5?1RXH}ZR$aCA-j&FGqFvqd;5x8{6!$=s+ zbrfp}GT+f*IdlsgT8_Yl4lCo=V#i!NxFwE1`D53m4ii_O<&Ht@>=lm5%i(&Zqgx5i zzg3QTzd)MlFl+=_>)2Hu!gY>wJk43}=q&)c!O?Rngc}{NYD2imF)Yi2_POgBE5j@a?Igko#nX17u@4`#1XXDQRrK6`yA@U%s&q}rZQE{ zanyMZi$ji1UjuX4F@pzzM;rzgan$h}PrZ&g8r=ms?)bDc>U6>pFN4cerSs;%bx0nY$ zaXjw;?x~~5O&C0LOxg|d!m+t5oV|4XF%Y^}j-@xj1>QLN9Dzl?V=%*Lw6ohPkReXZ zpD1Fe^D<9*Vw|U#wG4AM3W0FAvrB7mBb>FSf{bzwEdpVzbKYCn#X0v30*QCV@ECK9 zbB7%zjdc#L1~T5cgiSfYIkyNP6P@?_K{zSk9Qy=pqVtDpP)>E`a|us!#xUX~J73fS zH_aKzSd-#ZtpIYmQ~wxsn&Hf1J~qqQtP^UH>fFHypXOXY1CTk+#i=0a&JaT8Iv+4y zpYN=u23g=-G#ki;PBRaK7CQs~ax1^gd6?&GE1e$Zy{nz-YA9l@^D}O;HaN3c;AZDv zJfzs>jG7MQPG_r<5bk#FXBNHB`NwhSvYqu$fgE;T-5(HIpZvG1j6&q z(7qs-oJlPA50Pcbtvc2lt&hQ$e0PYq>yPIK5{FfgTopS;6$9!jVJ-BGsrw@P};%dJNc1$yJ`~MY1cvX*k1mlljR^S5`Ne&vIq$VEjvU z{VGA3=E~skn(g{64$5@b(;qT){0XwwRq6!KU)H&9v!T|z;-9hl+o~@YsXILvRx;b?*~kQ!?V9%kxI3;Ab76ni zHDVr|-gA}Xk;wyBOkKFibG>>9;X_v~ZkZmrhK>e#;tFRRdFoouRqvVW5`PB#+*PeL z$V=BX4uMy$ncSSccAfnh+#A=f2GG581$Oj=MZW9UK#*v6{3Bq7xQlU)#<>4156m$4 zsZyxSaQBKh2uHYEWCAkEZ94&7tovvxxH$KUrQk-pU#7#$824R%;T-D@UPLoekO#6-OuO1%@MaS4!Wc64JE)Gb5G%rJ>fp3 z207{ev@A%jy9WFIlzRpbPAQLCk&5xz}-0op+a83hsh?s2<3R?tP8<`_D`6 z{x1Q&>~53@=~ee=9(i1I_hrbr?yfQc=hJQl%)SdDJkk8zWE5S?PxqAXr#ux6+ z{NDM>9nMC4?Oya1EZ(?Z@o?m=doFeF+*8g#m+yYX{QQ$gW!@p%V$ED>}ebZ zGR;%CA%rtL%k1zu(_>ozZkFdfBYUbRw;zOQo=_VYZy z=wUJ6GoQKzo}a%*Neewq8OIiT{=NpX#M8$B$Wl)R=g2b8Q|496J&P{_xx$mz0wlxp zmV z2l9;PRsg!Qo)Bip=RKPSlfB^iip5{_=s8+0dzvJ{;)=(|oa?G*0`t#np4Ww-yYBg% zPH%d?t_pI?Gl*v$w>_R@nBVa{<`0DKdFHf3N%uW}EC%<$lgivD&*S|EkVl>nw)SJs znszAmiRaHZJb!uSx!x0)=bkd$o+;oadIw!aWhQ$&`yrg-UAPLalf3E`5GH%| zXqkXK-Mjc1lry|*+#spmznDGD@%}mh(0N{0GRS;yd@L-Mdr$R-(-q!I(co5k|MG%l zc$0Vpx5hhziA|>WS{abF-UB?3Sm%A#73S-`C3#f2$=iq#daF09Ck(cEqmuA0f$iSK z>!IA?tu2A<^ybAuy30F!7ob^Q&q#24y>n#f_IaCf3EuCumxk_u*R%)Zp!W)6W43oM zdosscmqGQASItE1sP|zSxD(!H*I<6Zo3|b0qW231yi49A$G}|kP z*93UG?w#=o$PI7WD3Dv;p1tAij<;`p7~b`E?+fHTZ)#nTJnxIWfIRg6!{3@b^46&h z;S=x0Cm>I~Ly}F5u*$KmU z-a$jw}6MYo|1}GDJad*H@_GN^@Vv4UgmybkW9w&T~ zPtPcv?5j5v+;m?u*X0>Lu_=%bT-G=mM0MD zzGg*1=K4k+hO>D-!#&u|_l3@7{9E7?nfomC9cP}h$oEBmK$rS9o`!CjZ}DD`<-YJ= z;BUy6d;?duv1 z-5%c}=HmN&pE1bn_pPo6a?m$(2ApR5g!(YZ@%^|6pYU=F1Vm9rsOQ z_&wo!vW4+4*SC5Yyq)qj;L3K!7tJH(v%ZZ(z@78OJ%-_V-w8L!Mc-uZj;{K$`G(hg zXR3o-_nqOX)lFZ$D&TJU7A1q+_W75hPIr8r=c} zV!po>7n244%&H)Z{ddyi8L6}qK<-(7Ia{FA9$?oa9uyA}QuT!L5nbB;on;a}4i zWR>6D5s=mX)GDxB<6p}D$@Jf2LbTTZ({c#c`F{vZ1zqn?;RbAzf6HfZv(?{iPWtsWaJhchCUB?x zc>}?n_J3U&c4z!On#1m_{}F@YIlnuX^Y4PcVG=MG{d(>@FZmyvK(6>tG0nN^Z&4m@ zuK62rlwJ4N<8-*;zw|SNH~o)z!h6S`P#om0|2Dsx-t%WOpG<_o6Mug<=igI*O&;nz^B41hJok4k59KTW#y7BtiF$Mm7Q>?Ia1|IH z_1#u*qoTTV)r*Z<@fQr@qRyQ}5u>9@@|#F}lrnMI-HxJENMFfpAxpy8_D1iqf#TcSqH14c(roj=f;F zH)=mm;`T)?;I=5RKkD6FU=BtJ_>&JswdV=(;i&&b({~3%aX#SQ1N2G?qk-C2FiuV~sIJJ*0P}7p0@p6v2X0q**|UqF@676~uxC1w}>uJ(JJx z`_Dbh%rmolyZg>NGkYdU^q8D?oHq>81;<}#+Fj?^v<*_dW8c|uyy)1v6b_dhN6}7s z#nJB}lvf?!qUmje zK0xe8j{aVdIvs~nDtzo%K$D^_$MQzlx*fCWt37qhpey^#@dmvE>T%qr2J^Y&6a%Ce zj;5=CmyXE;a5BA)Q)$}v%JEnFzAn5sH1^%jE2R|d#$y+tbm!TYQ=jd@d-FYFd-3{y z2g#c^iHZOp-bkv1{CF+2a{PIhs2&U8Ill`C=Yb=dADd15W<^9 zL;6r&Q6!XMyr*x28Oa+-1B@tMOeSK+@P4Gdd?)Wi+i{4yct#_{INqgxkm7lF2Llp# zH+b+*;O z!J9=@$9~=tqGa);4meOY?*&z0IlO-d(E2;bJ49{oVIGf?*b&|ty!3L&t(Xcy(Qnig_s-9HN9bWeTE{@-9*Zb&^*# z4t}S24m2P>&HI4|shnr;NB{k!3ZCC>h?TsD@4~-|S3>E%nwMP+Wex9#>5$IyoT?GD zmiMGDr1QMZ)D~UfO{ElG$ICf_p!GZfH8PiY^V~tX%(DxH?Fw&u3zS!R{k{ODfp;+p z%4@tyA)qwzEN|2LYv%c9!PvrU^afn#wb9qU$y<96)K*^ZUO*e~-af!BUMywAc3uOW z+8v&t0Lr_(t0SSj$J1?s^nkZE4z`E9?R{~+k9e`vDR%Pu()m8-1r#837q6CB-L+4y6}=+8RjS{Lvl&AHFFY?!NqfPhs=7@qgZo z*a7^r)ISFDKc5Q!Abw#Tj63+rlx;)!Gd~3-lz;Rklwtf{DmNneJF)>${F(RQ7tQ}5 z9n2X1BHH$M^0x%z5V8C>wXntUudRYIocWj0-oqv%JDTBXvF{DiX6)Gq9@rTm`k;VUlMt=wRvuW^| z&HtJDk{o{N2`~@xr+o+8VSXO%lt=gu1%O<>$7I-!^3!bzFdpNZ=-l%8xpXZG_!H@p z7VJ@fAlzPrTkk-kjnVO>3mP{18I*t#TQZ4ahgBxBS1O-{4}^%@Ne7! zoZ;W6K~oj~1U>oH{Ag<2YWO>76FmI>bsxdsRj~3?_`3;u=<#wFjG_BlAl2zLAp*eRIN4%;q457n=6f`c>R z5HI+edXEIbRaZcwz`F&uBtfkUY$<|!f530IApc{)9>Jkxz+OQsUF}ps5*;?(CTO8a zLWW?_K=@}00+vC_5=^1?=z!qdCy=rQ&UYc@2y$u1J1DR}2>-)^$9zaf1pCIpFIONfxRFUZTmkuC@dsTZyj?5A07y`Xv*Y!?N;{R8*Qf)3jj7_SJPm;hG=TPb@r2vVp3 zY!q1O0yhaRQ+v`Z`1x-{YY_y01-LGlN6H(5<&=_I1%Dob)F!yofY`SL?PCG$f_Ld2 z+!pkw4gRiRF>Pb_1pbuII|SBIh;m<${t>Oe2ZB^O?jwPE7>u0)RRxrf1z!vVr&|zA zrRGz?j0Qw|CRj}u|GA)@ra3PJb+pu83iPz@UkPq6hwY8vPkK6CgjKYrw+sKIN7hwn z8Vqm~J~QAz9zv%fV0sD<)>8fHCA?Y-(OX!$A4VVHzJEaV6PD1E?=O^4hZ`W=vIh_- z7e7go{TiVz;AIwMl}Bc;1&;ip+p?i9X9X>ONr`a?Lx z3g^;SiWB;;q4)3c!pX@nCJNu8d1R7s)@5*#g}vVcQiN~uVcRWCrXpgm@Y?_=Q-yJq zAJc@&IzYOxZZ>TDg!fM(=zd{K43t^IDO9Fp3oB^-9eVw5^DI7+#`cpzvG?W#>G@3`85#HJfTcyxOomZ8xla$rMp%Xx@JZ=l! zsrR@ebRP}nU7^hd)O*6GpF!#nF5C*r17S}T93KiNehlR!;hi#2I)xeI;rCcLoL=xe z5zcXitxI_8BiOoygK3!hR9LzIQjai?c8=%5@oNDugy(G3e7_W4p%SQ9m_;e^mGDCW zsIP^isV99Stp6F{B4T4eaTW1t19KD2pu*8zw3JFz57DRyP&`FT=0WKtN-PG`TlC}| zD1Ah&Sy1|l9vTojK;%r_cAzNz4k$sQ{i*c+Z-;0;t;%500Lq~uqQ&u`hKkP7OejpW z_g_fiBIQj;k)oZ8AVrBb?Sd37x<)VMV?=%EBJ32kQWn@HN}UO1tmq&;h;br0Wr%pu zNxEr?q6NWFCW%U#!Aur?aFX`F6jA$M@YpT-;~}(rM20Wnu~(!h1vOPveh11l(bOC$ zGeoyPN0>~}CzO}>i8^-!_KWUPYRD4ZrRMB_C|dx?7Uj=}GDlSK1>m5lnhL2SqHxN~ zxuW)`2z^xKrl9u53zRBR zt_fhP7EOJEL)3^W>CT)LE#g5sC%Qx{u2$4ZPw9ElH}pW%iIy&gf4ykpN=O$)%V{&e zBziU<%F7}pZMauNLaI8hism1HvO(lS?v0}7c3?J(j>bW15fz+*?YhVo1Lh5zNE-;_ zP0?YRm9&aR`9j+!8rUCjOZ4|#K)dJyEyFt^lOy1+sBtQk_e3AOg47{urT*Z)=v^6t zJ`jDK2HQiCjUL%YqK!oe-6`sz`O6d0!C9bmiR!8N?-qqpJM~m#>reroiGue*+aq%Q z1JviD-L#rthXUHaF7BkN(pCI%6qs(} zx(QIai)AXfdx%%A19*$aR)FFoekcX_iYHTL?kApZqi^9aK1T1X1H}Cnf*L4xp=%i= zR?#`_5O?ztEkrzkw%<_kg+fSS;@33d2^R;y17(CbiWSJQ$|60dpzNETPv!EdNi!ORc~mjW`yi)pUDPdt4QqU;w>c?3$fcIwin{Tv*7qrY&i{OuQ)9d%va)tRMNi| z`|AL2#F9{ei{vsAoP(QW0yW>B64eqggCy@zzStr8<`5uQVjKJ&f`&;_X|o8IRMP^A zki7U3hlrHiqBD(__*3i{NqRhBr=*j%_E^c39zdMrLIxmFGBF2`B3Y3Q${xw6L`Zuj zKMaACDhZ|nFkRw46p$g=wi(CElyJ9U+b7v4Odm)` zB$5)qG0B`-C<`PrX~a_`iKe}^R5D!w+eyiaSlG%X^;B9{N?a!Zsw8))SgMwE(~stB zB(om@&PnVjC)7$zVo=UYsz*`%c|o!~4p1lQ{||y*l&qpb(^58TM(JiUz2kEI~vKG>F$%38mdm(xJG2o?y{#VKly^@)9 zfnG^I?Mv?;UQ3!OVZ4$2Ma`^>v^o#4UD`&QjH~pB8>nv5KSqP%F1>RG;2}Mah3nug zb(;=~kF;$BD8AC5UL4R*T1@4jzchz(LV$GjNiYMYp4E_oq?0YM?U3H1UkC(CZ_vYS z3z1IMWflh*Bld%ToS4{4Ir;R8s!rDvvtnI%0%onE%|-Dyzf*rWq!BRVK8 zJ__SuY2Txejz~M4AmvJPXmE2(+I9rWJn8Juafp2Bj1#aGN<-3A=B|Sh_tzCN2Mup%VX#@2&ccm2ppx%?pyCL0|_NOWR zL+P?Xi2X?VQ5B$5nm-y2Po%jt`0SE?IvkW|(k-+^pG*7Ge*Z!`h6ac)rKhRscqPs3 zh3&O8rw8yxx`ul2?Xno!;#_5;lvKvL$!@KK=pl>W1f!R%jozSn%hF{~`pEvG?Z{6y z_aMMuR&GJiAldZ{T|D*JY2X3%wyL&BP&Y z%AQHMMSTv3=dyuqIMNH*Z?r4Dl&zuqrdRgo z3cwrLqz3>Orx8Qou-$2S032MM{OLh-bMmD++ubR17$_c2wkuS%csgxJ2FJ@u-VUv| z)9Rs+{G8&y1o%6tspkoBYM?eh(5aG^Ly(i>AVdps(w>17>NLv^wlJrv3P|BjXX+6p z!f6pbI+0F!{Qyx;wf{gF?PQg}Z>Q741z^TGt+G)enB=sFzRYeXJ1S~Zoi^=&M~2hn zBvAJ|`3YgmcKSUL%0o^?6XB5SwCEc!3!Hp-uoXGwQX5$6^cB5wJL%+i5|nbM@pB0ZjSg=+ z4e0~vp3~>kKzZQA`XNlGlbR~*E~gz@NY9+2Hb8peBv=gTl~YGJBp3NFzL4DHXK7~c zEl;0_V1DvMN>G9FaWqyBmJ4`Pe}>5mqQHri52XbkBY&?LM~aotHp3%HZXXUQMP4L; zv|E0fO1C|7^BTZjc{J@&sq(2bS4flRQ~Q`9ucl%7e))@MupN+xHbI#!pGoi9a^$>o zfP?Z+X*)V3KQn^r&%^TUdEn&A_pAow$@3@~6v$f|!6}rlq%1cO8SrCHc3F2zpu0Q4w%O{$n|84f0p?8n8(oMcYD) zeD$xOT$jH+8xA+*FR3%WDc^hylva5F4H?_yQ|PH~m!GQy^RC=;Kco(M;D3WTl~rMzt* z{CeeW+hKbpAMOkHH}a2t;O?$iMH{`RLQgxEm*N!dZQhDAS0MQ)Y#XU|@>SfYgyE;S zL+?ui6n6AdV~0Zd9ioLOcAkeaRH1POB}@_R2q|3QE0xW_Bzg+rO3*t7u3M1?)Qp-fT;I|0cGp^d7_-3psM#8kysO91JL{INJv zhT@w6fK0_odS>@20)7DOSE%XUXDK4b0kRdtw}5g;Q91_F5rt?9D7lKpRXE^Lg~N8h zF-0HhdGZw9^g=jap-cp`P_dgTuwuoG^;CbBD)c!pmMLD*6zPOwBMmZ6Dt@G~(W>K=M+1iL8?_e_yN*+Mc)tMcR?|k)@Hq; zb^s1^SwNaSpJIsw5qNt`aOYTlj5`$9?goFTTotCv{oTZtAfQq zc}vktwsu9BJ(#x@X?Fp46#Xc_-c|TF1MVsA1VMRU;gJaUM~aA>IMQRq*kgbviXwWd zyA;-iuyre{F205J_f#=zARM15_G|+@S4@e9u~#9aGW4~gFb?oW5l$J^MVUwGeY>*F z3E--nl?9udayV@u9?EZKL+P#T8Gyt4DxcRw>8I4t()L$=OPM7=DfNUcP+8O;Qjn5I z&6zD&Ig~C&m~sMD4-v}xk8p~S%EvTsic;Pw1w<>iQo|XeY@w}rr!txbHnGY}9GD5p zKWO_+QqGJABrBIGKuJ;lz5=jYIiEJ%J<9&O;jmZPMfGQzvZDi#sr>#7LhrXJ1F2fd zQr1v6dO#_P1Y|2Gra_sb98Ej?L1lL_JPs>IP&0Z|`OPCpdCF4*0Qt(XRQwkx125q4 zh009>ARSlcZ-uQ$d5d1Wmnii#I;d2hN`X|RET&g7)yg@Kp{!BbLU+OAtnz#M7Uz@! z|A14g+!GAtd1WZQySku6e~gr*%$Ne@C8Y~}i_6OIDxtihoL>&VtI8Ktd^9K@6SGk{ z_fJUIlzCK7H7S3miu1biy*UVWLut1Q%A3j(>Z4kfoNYL?ZAw0k(rzh-yaBacS-lq0 zZRN54knSj-{S4`@^2lB=?50s~=Tz{zCF$k1L%8GVS9xF?! z@_VA(M7wC0^3`8Zb}N6Yf%2KMnDRxBa+sF(zvoKJZ5UrD`>ulamC}C=IIooj^s3H$?ZWwvZpH{Il`+gL+HVPV28-HIy2^+p6A4knX8=o&t2JJT}1pzN)nq@Idu5y~XHM zedLZPk5%hOgYrZbNqcISYOVv|nQA&U-#sc<`Z>vSmETAxU#M)$sgZi63JZhyTD9I6 z(i>GTEkjrJt8Sc(oB9P6fbQxTe}ISj_AOAn)t%qM!AIRpJEgDMX*L}E)KO`W0@W3x zAO)#?Q*bgn)NAPJ3RbsLV-TkHq*WZQwv0oR2=y5oy;zD?_orkZqyCEOvYqO^9e`cx zt_gs6_1>MJB&h9w1SL^@qZE*&ey1N`kJ{7#TbjClHx7}mHqzbBP9YE*SSYWkegC2Qvr*lbkHcS6=W3vBQZH`6A)3{XJRr5GtLOq0@;Qt;#2AAKL1=)W+-JbgNg>O!ld|nu?}p>ThWx)}wYj z4S24e!vHVTP8slfsop|+Yp;6T6~HU?aN0#*tKX*a=^OO{+FD&S6N;eRt{FHFppRHnpgrck#Zr}=``QM_hlUpORa8Vf*4)QqE7 z4N02N&!J4#+$#a3XoOTm@7AQg4ci{gpnu`FS96b2L#igrriV676PJ#l>6$8B;ZSR-5s#-#wZa?P}_;83CYiuS)V8t-v{ zO3g;PcvYIK^mJBh-l1_-jYcV^^>O&n>E2^NG%%M zBT%ku!j*s7f81>9!&_-t})Pla$6G_3Am%l&%>9w ztC`{o+da(<+QmCGE{<@xuc?@Wpbs=Q4VVu#Etf%gq{+DnsZ+B*5R}In#RrhOHPO^l zKGg&=NVaDh54wmwn#nwHo@=V#uf{eGlvkRtm!Q1X7^s1JqnS^Y zg^M=lSHO0ymF}pk_N}3CchgF};ODNLy#SJjRw0DDr#7w|6fdoX8WL~qypd4)*tGp< z5b3LRp{mqRs~-iUzxEkDCIMP~G5iCyb(^3J(l%!!=nn0g7}$cfzSLlcXy2zcFjV_9 z?TumDyX#?#)E3b`6s2vAfnT&%N-ao?cG>Sx?$lo23gs^C&Parg)!Kq)L5$O8M1vEr z_52o`1nqhcC=<2MDbFQol~hY4Yh`ptQ?!q$m)@<_w!mSJc1#(hz1rc^K}pr-&H|)q z=TZ@!uHCm2CzGKqqRlu{dzqT6ecB>Ar~TSlRF7q8SK7uxJfQ8p0La$PrSV>l_WTKUzV!~*TW6R;I($5AbQT$}PP zph)|EI-po9pm!-H+Gy%$O0`Gn9cr0&&AU{8p3sV@)jO%}rM>Eub}?0Or?u}>zgw=& zxDH!|cG!Ku8SOMm+LhW5sKTz&Ze<8lt=$|1sL>`;WpGxTLj&e>+7)SVsMQuEKsv82 z)576`b|`HGb=umW;ZU#rcNqQqql?-=6SSAK(`j^ZS$k6lV}tfF^}>zXAtNDO(;lK4 zq)EH)T`-%qy|h!dXeX}3A+BrXbV+V#y?+Mfrgrua__b=6M1k3+y|4ndTiQ=)WYMlI zwE}Ky)8~S6N4p}B_P@K@3zY8eX?)S+EOea(IClyivoKs$2=ln=EP*FbrsO?e5a zQ+t>$>0|9|dq_{TvuYr9X}9r99&HZ&aOJsn$@>WXLi--I z@UOJv#zL~a)_PHbe52KQKy=aVri{2<_ln-wyXvAdL3Pu`eFMo|H!d3#4_!?_Iw{8X9CLdkWO1S_Z+9r>mwRqrYz16F`8@DFML(b=GxY2I)3^0Obyw z&SO8c!Mcf5^@r%*qE04M_h$k8!*t%WVGGwSoeL>K*K`*UsjHa^$0*$z>Xo8(b$3(%mlIk}FWg>ppD)GeK8C1FA&b#uHE`>F!k^O0rIO8MYLiEky*}ty^~l zut(?D1I}LE*C!DsRTua>AWioU-R*SUcG@X3bbtN@Wu|Vc1H$anxo?2qe%ZMP}OlxcTEWYTHQ?Q|IX{Kg@AHFx8X-Xov!!>l=Zr=iFr|XgL3aB-HA(ZxU4%) z8^{%%d=->ebrbr-p+Pr_DvCy3p^o~$Yr3_6Lu}FwplNrrF2fs~7Trd=P&ai>@qkuc zX*j~P>Heho+AZDLX;8N7ex$R%t-C_E>5i_?biiF*CcP-Rr*j_#N{23>6UV);+f2p# z1Kks!Q=F0l-}d@-&0AEpnvuU zY>E1Xlu?uPO4>}5_2sl*r|3_93g%w@V;WMV>ibe*k*5Dz4Q9H2a~y0LdRvVN+DyF% zm9hKu(+5G?ukWI)m!*%Pq4xp(sA5pF^)W{gCP)96;gUa#(+sGU^e1 z8?{xr`VTLGa#ZhH0Ln4_+f=aT>Fel8$kz|2UbsL%o{~tRzQ64;VjtIg(DqxT7eqoT z)~{2;qeR~o4o<1Qj-I44{d!7;C-n2}Ksl+8-UrGl{U&<1SfRf*0=6@H2|X8;`bjh$ ztkVB=47O^$_70Ra`VgX=)qhMa;yL}7Z^OM--&H`__Pl;POlWJ%Tpso&SOIntts-Fq`zwJV3Ml zdum-;^sfc5UDt0K0_ld{mu~h=ebo!v|629l6)?8xql|!C`ajpfv0Xoix|Q4dYHDHb z>7D2zcIbm^6rU{e)U@p6fpy0eGQ5@&TwX^@`Kr^y&lYd3>esp>6cF zKB^ANH~RGTIIfGqlM=;tLrno3Tn$^d!RBVzMf-ufVGKrb4jzU%3*hHzh!%nAWq5B2 zf_WQ4s0jBlB(4R;=4;4*1IGZvPt-~T8b)Wr7-Sem_h5(N{1*5J8#V?&8DdyWQ=m}8 zdrKgN8J47g5^m_A%obtz$q^7~i2ezbD8u{(fM`R`Mo?l5zX)L4X$YfzcbCCyDInG` z;0&U~*$i!Off{f4lCn>N!9=+?(XfiLK$1aCnIhRx_Bm`RhKk9Mb{m%Ja87#+xj#U; z*U&*VSE^wU?fz+o5^4j}4LT~qGYsFH0wvS%3GLTehDt4L2MmL$YREPewnNG>*h)`9 zJZLEIfX5+24j*vXz)k~>7=%OMm}^L(VeC$}+=JEtn?^$^TXMCk@v(Bg`p- z!~)}K!z(-(4&{c&)c92x&e7Y9Glto;`&Sy=s12+#Bt^r&+OT;dV%HcBl|xx;DBJ)z zZ}?&&;DX_cZ$POttfR)Q-jKQ*aM4gsCwj?{_7*~4HfUGT4syjXlxBBV4ex&jV}oHE z2dUApWia5HVG`ZZCPU6$Fq;j|-+|I%5YT>b-OzazlpBT#*`VAsDEf^+}gu=us%U4VywBJvC(BgYB6il!rs~7|h23&kZpfL3v?VO>gsF z8s_;y>NWHeg89l|T81OMHhh7t%I5IKP)&1n7h`}Nj@yl&?}CS`aUX4pZpK)uSlx{_ zs^vY5^G|~5X;_AH(D?|cL*?co(BXP z+amx$#_7}s?l5*whhz&jK6(Xeh%w;{K)CS`HEL}8Tw#hhdy7AlJAY~YpSzu-wH_)tcpYi#x zkoFsobpW!AYnLGQ0b}H+U}hT!Yyc(4c%JsggT^t`_#HAnz7J)tF(DKVM~z=m%wtB| zZ7QMij4Q?P&o>_Y0onrNFSLyo8tX;^jvJ%P07b^PDLoe(k64Cynz+dCItA9;DO8m2V?vxp6toAuEh^;{a!j0hEv{ji1=|1FMX^H0!T6 zTHk@O#yFV{c-H7~2>$1cPj(_&t?@98?#~;yb5LF|UW$i9oiXq=px*fUB>XNKW#2=( zVvM0|dDVD<@?(Rs{{ldxF)9Im*NoG5Kx#6&Uj(z+*nb|4_gakFdK~b&aT}E~H;fBa z@V{xiOnYmqaU2af+Kh{+roLsYT?{1O~1i*&zN!(?j6SI zl$Y-t-D#yiFc#9=z=y`ot6+O%OyI%RX_O71{qM1HEaj^w#sSokbQv8=VC*((WPqne z4Hec z{7pP>Py$S)-vI(meM_MXGA)~h*gH&%*8+k~5%lUS#8k5ywoub;+RMXCTO6PaH+`}c zF(XXQpMV)@THP0tEy^^A9;j&3b5C$$Ov%*3#F~asEgxqZ^D=527cct*#V+yR1sl^w5`6fqNX$7X@Iw*@wFKKX6Y_e^jXT8L< zIs^WtCX+j;Wu|^qhMq7bQDuM9H2fb>PMJKL%L}4qWR4wQ&=3N%cgQZJg%4+)reP3`)OFvU^;yOltxoS8>DNdAj$$wrtMVp zTsLhoL%L!5jw+~|rX}5wT1}WyU^SVh(AnQIjWgMR?WRRkeB3rU{SLTex>FC%UDHqA zfP1Dys@^+HcijQ^O_Q<^=7DKFZHf;~B3h!4Os+I<>NMS<`}5e;MGeIh(}!Qc)@AyL zvV6Dc^ewCS^qQv9QhQ|@MH%(A zX$TeJZ%o(wA-0RzWgC=k=ASMD+|3#5;qGDP{{+d?yqI=>FY}1sq4YK{-v&P)^B$^w ze9hA~g5qZmRw0VNc?#+xn?r!vw+3RM`5FyegUk~K0(O|QXu}OQfA$$1L(F?S0iova z=*2~tS+W|oaC5{oK!o`fmGqJ3rqMW3lsRE4AlmG62xk#vR?mQKr}-j17rV@ZZUbV? zBD#2SW-F~UTfBMdN??NdMjRXy%|jL=Xp-6Q5|kNckr%n#`u!3p!0N=PTo#=els&7Yh{ z>W8uNGG1J0U{P$oTZUQ4;N-fT-OhvQ{) z2SI~5+zZAg^SvGzTg>sa4PG}-r?q{)r=vyQPzEx~rw7FQ{&o>>*IPTN>q{cvzG{kUT9bXy@>9*=nBUD_XGmmaqLG6>y#~YSB0~~K!`uq#2)e`(6jBS>Pw{gH*mQSepZnyZ2gzc_n0aZ!& zEgr*h+y|Bxx`myV7kA+P*iu&ucw)K#6PR6=8FXK}Eq5uqKedEYJNC@N`a$ZkjHO-W zxy>^381RK<5AAU;Es2y2dM%|_0k15R=wW+pnP|cx-dMCWeR8$V{uAJ4{hhw3yH!ft ziidS7RbZahyIue5q4e+!6_y2nJx1t?FwzKx3hcwt~Yw(4Ci1n}G zfKcnFw2OyX?P9?Rw|;I1h_JQ~hkvB?-dT7=S%dvRiMBor0K`}qZUAMc^&!=ByR2>W ztj1fL&%zv<{<(CCM5=-zC{P^e&_ns|)p%yREJN)t`H;m2?mGT6<_uPqPO8 z1x}7NV=DX)Syy@h4qKD9L3zabl>p3KYXQA@KWd%r59KlIVmwI>dDf*yK)&@gU6}&w zH35PZS~pSZKW?2*EmM)Tmiqc)Ys?%lORS4eQ~y_LJ@*V^nf2a>kWN@T#({d;$`wIb zZY@;6qr!TDUSXZFuJ(Yk%KE{(fNEO<&ssrlHUJawhp9(e#P2JufDEY575EKhgfT-TB-?*j$~zk-+BR{4xlBp3e7a=kaoWi>g9z=cZkde4MpoVDoieJQ7Sl z=T+1+_&a|_BSTw&bLkI=7U=wOB8fB2ueZF&X32X(<4oV!U$T=$s%3|kt zP<5cUc*|khZ=0Z)@jjjLyxN7({I+@z4mf|%7vL{gdkXr6buIY(ed7~EUljQ%tc%}m z!QV@4Pid1{x|QmL<@sO2`Cnro%v&Ce#pl*m6ZG5eQITo;jn<|MyFm%cl?509ZmgRg zQV;sCe&gaZF;#wEtdR1YH(Qqir4OsHhvdt$c7W;6HqtYb#5QDrnat$2aD+)=gLlHX zpBOEP^eYEh&aFhyBz7kAuwN3Zz49|3y#^v)!jbIl>gQ4szL-G$T36 z29|$kNY%a-5~?qvTn{GHG#^u-18iQuaH|3{S8P z*Wppm&bUB%k^M9u%uDR6cVN5BQf~vUuwjFsyvkNnbJxIDwSn2l-ld#yjs5Kn_xsE_ z54H!4{Q-xEEae6q9D8VFgpHbVJ%=wOh zGKKqiAYeBaR7UmZ9`2kU#Jyb6d{9$4H`=MwInf}%F>Y)rlzCip2cbrB9x$T|Lq zXhqx)eE3yxn`nS>hC7-7W+k_SZcG*T)^RvgbNbDYYPb~I2G4Q>%AmZ;J*T~~mDB5B zvpwKuy1=oATU`p#&90LQBY(R?2LTawC2yl&pl55yDjyuv{4SNe7S;oeRmsQSV^2&& z%yFyS@b~y@CHQ;76e{(VS%)i~fg1_fy(cN7TcL1LYpHMpby_`nOi(06{Sp0nzI7`-U#otSNmcY8K zoND#u3$Ehx4>|Q$D@+>jSDtx@_^V1Xq5m^-AvCLF$HTg&2T9T9u=a2w%hI#!0 z8mMom*a7ojx9MJQ7o+&Y2w^=*j` zu-ewf;P34$C;-9^wjA5b|E}Wbui+(kH{-L89a%{Ie?8kU6s%^}OY_whc6u)0I=e_e z%e%pbOaipBo3S`TC+m&J$vkG?#KGYSTTfH9r|hR7*j%~osgT^bjlOUQ zRBBdRxSr=wUgz#o8*-C7NKg2EPU;QY11{|Xq(_|OTSR%urO?}`Ud|!{^A)Eu0X*$& zKI35wvis&sP8hRUkML--d)9?8x9n6cIDEU^m_pcY+chH9JKV7g+z;DbJKiZM@7aw# z0O+v$$pOrlcD*!9?6os~33z1}cL~8cDd1`Q4!UV)?OW)QT(fWY zhpovzgIcm?d-p&{E%tX4AYHdt(s1mC{nNFGa?`#H=?ee<0sDVx^wefwn1tirvbU$_ zx!r!`XMo%Gedy}lvF}Gu;9dKFKLFgbccmw(!#)eQ`G3p$xj!O&w}(>R*q^4sV|@E0 zXr?Tu+1Av>)YeTuF&C#WPeT>JZz1K-%#Yhl>wkfn^7q1&J@{Pt#rrU9aH3LoJL{q* z&y|H1Agmi}LsEBeXT1yz57wWi1)gj;Z4X|oKP^6g#-le60c_nTfIv2vdafY0mDa)z zmeChdF#A;l2w_9ia0p|67ukRjY{gRK`2W&;$tE0nvQUBuQ=I$Y@2TD~@SmPaRm?Ak zsTH2_&rN*J+@68YUxyw;fZ02#DxUKTy_KK)hUSpJoo$14Z9CP@>kLKNY~bjS*b1aW~H~` zfXar}zAs~x2mRRfGJG|E_TF?T1K1!}CyXg}@0 zp==tyIxdFs5;);(MllP zV4h=N($}nIwY0&VXKAzzUtmYfI4*urcLkJYCjK6NEo`<4lI zz-&;b;BR9+{R+>d;_zu+t$@bz*Vp*ldW9Mu=MfSxzdrvPG~f8_L9~$yday>>t-{}< zhg<EEtS@W98v9;H}g=XCas%y8rW5MUvvzMXY zHe(X>-t1?r7TmP=$Kw=z*|%(1SmZeSt_D!V-YG)NO7=bN z;Z^L92q>%BFdJ<&m)M&Iz-4xuO2;eg=ORSA%8tJRr;*iCWpIt1N(D5rXCvU>%(ClY zYhk;#K)TL;rTXdy`-;BqO|~f<(8?y<0JJeTYQ1hT;fIjgSq(j_x7poDDDSX?2W$v> zmu;cx$~_jk1jY_Fm}VaLnQ$|LK45>)8hXe|XwQGdW?X}^lXYpKe9YSE?c5Vqdl2qj z?62{FZuYAc?oZjNuVH(}D$fF*voV9<@PfHgjq#Fk?|{ca%{J0hLBF>-NMLlsK_wF0mc5wBxDgPbd-Y$h>Hg{AAZ4ReFRqSw(bD?_k z5ZCn@hd9jjq2lcbH-QpdE;nodf*$2&&^+WA*Ej*pJnoq%Z28>pQ=u&2qNpV(@lEZooL$&U1gA z2J-@^5kjitj#j}|Z{tki@VLnR90ctpZa=*=yUdBG-MGTF{SM_-E|Dc;WKDH9Lz&)kYaz@9#qG zz*%bh!USPi8&$l^Kc>CqkG$O=t&sM?-z#@fmAk5T38*NY@ws|C$!>ojTedo5p8{AEchFaQX>BgD+?#JKJ6_$fB(#-f#YURdYk+& z>xE$pZxNigb}d8DZTlWVZ;SmFf4i_q%2L}|e1GU%*>qeFR$`TTNfP zfW+uWY0nvdKJ+hG<72qKWZzI~>SZ^#LFsPe{13p_gX?z=5WwB0<|c%jD~2(W zoANO{Vz_5-aIiRT&~n()xii^dW^i?SNST}qjpTB^xB zS59rgX|5*%e&yT|T0A2%2d3^c{#9cB3i7W!mL=!GFKq)oYNB*`1))Ao8LVk8lSil~@rDrN<#fI#dn-CCZ_X z50udk`zio&_;;uO_w>cmN|S%w2-3(*%K1|-^#^6GBh~UYZ)$>EY%FLfSlijyDu631 z+XQf93xCC_xU)`ra6Q#tPC-{m}xpF-t0jwD9CA4S^KgZFA>d;4Pub|StC_d z0qizyc!6v-WsxAp(M1ksW9TM@vUQK(p2=*C68k>Z#}BZd1yfm;#g>GDbAV;hdw^`# zOj}eA3)%qXL6%27_92!;1@>X~hW3jiEIkCuT=ofV-bdLEF&vJukyMG~v0EFV%x9CR zxGrGc&mk4Ez$hH(I6G^j!nlaNy&T$Nwt5Mq5;i>t#!|NH45TvlGy!2wur4u_Cz*wY z7^m33G+H>##%=!$T`fz2)e zrH+Lzr{}Mp^`l#Uk)_a;y~Kj4pS{ecd=I$FJl6vn*tB18h(@-RD9!AvgOFac&nfM_ zVf&Mzbm2~|M$qkCWhRuaT<|%78+RcJOm{As@`DF=I1%8-9ovbM*~uM@qW2HGxOG&I z#d3X*!#|FjQ~*vq7g_>k0@p;_Zz6ZU2vQRFs1cN8PVz3K6mEYdU^h3A9=ttVP9e@> zFZXo;AeHmI3T7JjrVhc)EGC9|I2zG+=pvlBZZsZ*5zfW`b2L0a?8yO4Vp9b%O z`Imw|aGB9B7oRhYe)ydAgi4G>o9LNb{Glr}OMI5%b4^ABG;155!gpQ4V{o>FQcbn> z0VUdPch*Ah%~sLY;KRNV!Q#t`PQWpY{c(w|e>mee!5G0d4TUk1jiLf5iY=rGKs4Jx zOE!iXk3+eWm1RNN#kdiWV%hI+LE6tM?n269LV7?CFsJ7TmdEyc;pq9SKc&P1_Vzs} zPccaen5UWB6e!Et*e0sK&oh-1951l@BXGbvwt5+$p8fePI8Cfu3TS3e>B_XQ+yY3~ z*(NH7Z?I+5^W9|j)F`yF;CN8l*tZv9yTwfQu(h+Zw9DUS-c;+}VIEVUyvq_^KzWZH z$L|nqXf$qYg!Uo3@e9C}+h2@mZrsDs0C&!FB&Z&o15G46xsR#V@Zz@p3dx(3QgI!~ z9T=wjG=c?aRSj6;&)D+#x!rP|k5MAdKrr>oT0H`Wg_yWds3ikzC?WFh+6f z74V4W41Yq3;fkoS+R16JK^e;x2SbYI7SULuh+}Dx8n}VUID8|QQHkR=alAnIz2P=i zfZ}HN=n0e_cAeD9q}olM0EaX?4W+6~yT3k$-#)t^&ck-VX7>qIJZJ3+e}PzQH;!t^ zdb`auy1Qtn`vTHsyOK}f*l1^If@6=}_tZRXw|}DynYF|mLVxPuvJaV zWNZf&c;o7ShjsiJEB>A^H3RzZ>psKh#AOc9{9s$$59S}ox8ie7$sL54yZi}0=dI^K z^V_>9g#K5$M^M#lK9LWtrHbAySohqA#yQ;|!M@HKj&u4Zaw0xQE}=C(YSC(hAN_R} zJ}2quZP?`5f$;k29a{RoP8_MdTlZ0Lk$l2ZDS-pzsp<=&DgUvW1CV+mDqGY`c^-CdErEU8F&lUrK22ST{g1> z{N>wdLiopydC;#&OUK_UZFzkmta_K$%%2u&-&g0+yN)%vRIabB-30x*pEdY64P@9q8OUdU(sm$%+pZ>?MxXU@!?*?V@IJu~OrYw!LwQ1t@d z#{-~l9S89z-e()-|JU(RqfyOz zUa<=VH}Et%ur0oUvN{`i_X7~z!(UNH_wo^G0Q>k817-X9>2u&bz$a1MdXTT8bMy?a zIv-7(<#njRbG!-l;yk}h2JZrIKskVmd{jKJE4+Mp$l0&*?;Zf&;ISPc|AMDJ1$fEp z>;>^PKe8VZt30i>z$>IxpDT}A@CC6$WvT%%}xyu=H#X z!69-3;|&5-LUSu#^TBs(=rE^Pq$*|Kd_NX(J-DNLIy=aQ!|Pv)Q@ znJ?qh02auV`5>@Ro}GuXMY3uR5Eo0Ih9G_~kC8jKM21ibVyWyvTWgt|Q_Bu?g?!}; zbe-%*(dT*@Pb0fQnl#Opd_op{qilT>*cRDpEvh*sw@n9jS{_}1vNLi*4`63yzH9*J zG zr?QlhsB#|LO~J){c6SBJ7O*b)(UXO2SrZUfv0n6aVl^8c1T2H)SOI}etiySL&CHi} z`4%=ZFR(pq5FHnL*#vtvz0~GFOGj{_!z?#1Uc92!4Sm6*W76FOFEJtNDc!V{e z1kX`+k2csbmV6y$$JrKoly-vUq_g@YtNlH|DVB#!z-ji=7+`1Ec(Tf8Sxed(=h)!s zz|J$*eRfoOfjuLMi|hrt1((>(UeMw)3+M&;D=g_9uv;vpHoyZGxDMbU>jWnsVecFt zh(Bh@&*C1U>;oG?dE7LK$vNVYODCEo4{7{zB!><2Co(dxiviZWf0eLMP9*= z{2e(SKk*M_$JX)4+rZZImgEL*;KzG{#o~QP(T&_6uQ1^E|M)sO4>t4Gk07yyyYB-y z$){`v@f0t01>iLQ?KrBr%Eu1?c8&L?O0RQS8Q?AtCGmUwI31h!`H`Yf^cjCd=h$=J zmx8|+d`uL;OCJ9Xh_ARL_x?4{pb53V;V(A=zU2+++0Z*aka*tncNEHh;E5D^q=~-d ze+&})&q8ppC=vl|h-m5tmZ72nc{;Wc& zVG4?S70-ifzjl-XOJldmQ69u>9nj)n7TXELA#CqxG&PjHnh0V#n^qTKBFi@ooRiqs zwNN(O&c2|+IV^Mr(79~udK4~WUsMEG&W6xPtza!_FxRnLDFEwPBl0pfu${gD+gT+V zg&phzdE7f$@7Vx{*_j;RJi<; zJHjA?BPuRg#r=Q8tNxPbt31ysdpK&?$UcJPN1sTc<&t#tXav zSjab1^NaYUBdBySA9n!QYJOFLGlOsQ0LvPli>%37{*H#^NA60gw4eCcV-Q%!chNpt z&o5K}*uX!~hKcJV0EUZvbZ(6h>yD!Ck>Y$R1V@Q=-BC70+@X+q zs`$1sSf+`26nty3jply3NT%&LL*ylFrbzn%;ga4yZyB&TqU;=C%SA41W9LHt z!`>LxFK2Jam)*+7P6XJ_1{H*s`&bEjhI))Opz!7*D>D$_CVP<$;4jvayofh!WixP& zQvY3wz0r7jjCmP~QxUmIbCBNW7hi zUX{X|pZSu-!1i-@itP{ZMQtE|kWa}6i9@^|gJvja-CO+d2>;=S|rARm?6T+gWDv0Qt0{TW)Zss)oc;XC|ubi4wVAyUQvXC0+?dz z#R;*lE5J!{?i;Y25?{hmfLjdleGue=t&kgQ1N%YlC5yFE`hAI7R!gtvP-c^iBul$R_Mttn zO>T`w+0Sl*-(|S0K{Rc-ZX&WYF`($w?K8br=f76sz*WUBGvUG zBo?di>EQWZts+ymM0F(jrE1t8Xm^<^*B01vGAtNwRgtnwKj6RF2Ioq3bQXxKROedY zT&>#E37(-E76oyQnn-(Vt*S!v^P_s0AK)kD|DIOM5tWdF!lP;u8H;0T3gxkmt070h zb3zpj1vsf*-3I3=RpvHSJFOZPK)Y8}ElNFHQ=d@`eO;X(pZ58Cu#pZQS-I|eX72z35jPax)daytFn_J@m|fj2W+%) zuQ{+W#;G%48Ee>@f;i6TeGj#1BS$-c=|=i^)H1^;eFfMe;}`O@7aMurLhgHG)D&PD zhI<;YHO3z_=WC57Chfm1Mz@=&ZL8rq5{280J!JiVHuBd2&q1SYH!hs8s}YA2(_ifxrnPlvdeEqb=E+%fEyp|97zDUYxpz* z@t$!uHw5hWjpRb8?YWUgC*ljEcxO;w8o$$1%^_x)jUWy+$I}WPW`3U&*aS1m9cAg} z`X;DmqM3Uyh?C5($QPV!29p;y#hgP=Xs4P9FMv%mTkizW=6kZ8OU(k5qFZM6sSDzA zyE%&jvK8hM+EqW8H!0$@%tI6qZ8U5AfnIDf8_WmGE^~cH5Oa_ z1E}R9TTl94VqZiBOnp0MHdfIVe?Lx4SF z_8);gXI&^0|AGnf9AC19Ng%#rhiHSoWXOcHi%dB0LyUR zJ`q?t|K=FvCgS;9E>I_Nx2>Sg=Gn%BI*0E}Lp5``d;qYF_lW~>Ij`0SU35}WMC&~}&!+e<^+_xWdrdtFA$uO0 z=rp#4tmYurfHFpdStR8L#<5M~K%Bt-EC6gG>+v1RCbO$_)Ju-aas~9*@ar*T*Ve&LD_0{ahW5SiG)=SenqR~aj3+0rgSk|g_Kvr17^se+}4&|NV@6>NZUl@fn0Jg@sly5&*IkMgD> zK$^2rAg&6-d`vg3OyTCL+&@v+ElXi{r@~hQ_@@ecexh);EQP%s3KtIK4uxX^`9k|g z3Y(9RQk3e8amO%{6}!>3($y2VR^EOZ*J`yd;#$4Xaa`+J=WwmR=Pa(#)6U}>^Mp8? zj6H*Et15K;@=Gdh-Ss4{wpzsBt{+hnJD$SzD;JXLWY0%PzeEz~pO=`vJxtf{JgBh& zEvVrkY&|gzXX7paj9{$@jAR{&Zxnk-Wuw_|q}CXAkt!O?){!#f*dyx0cy@wRn7~|# zn9d4QofBDEDw||ygNQnnH6gHwog?ZJHlFHU&T^5CD_Ly<87v6Z(7*qmDQ>$X$Nlf6 zxb2P{_rI6owmWj%|6YpQ?uO|+`|qW=$-8|c{=F18tUGet|6YpQ?#OY&fd5M=?pBT* zH(cLOQrtYR&nGsT-j(=oY_zLzbNeqg+MR5)F73|?>zA!q_D{}}Y@e8CuPpPN-C>^R z6?dBFBgH>4&+`wHc`mo}8mv*JoL6zJT#K$%5-;IeQ(nil)@8b{?LpTN_sh73-X{LA ziF6JBgD4SONvdvtSXiey`=8$cu~Vd!_V2v`LJvPyvVZRl5Nr>;0`eIK;oo@!#O`z8+$5_n5L#k}= z-INQQHsxB0;l|4_b0YeeX07Aoig}xg|9k^7691H|If|%e?h|xv=(zrYtMGO+-vQdC z@O4)nUEV+-o0P)K&Ga}$)nxZjIg8u@HLs^KCcMCnna!L|MN;^AnZMGVS@AS#n#bJC zINV5cn&Y~Gt|CWvRWji>h?Q}JW@m|%(_8UaM<;Uom<=wFL_0eH=O)e7NGKPTw-0nz zC8A#ATK?+qxK@6G5m41U9^qQ^@qJwD{6>_}-3It0?h$AGU9Pxqc<3FR7xG^mF0Tsw zY&*7B30I^lj5PMyVlWP3%mg-!m8^w>X*jc|BX47jV6zqh9m#&mE?taSZ0BUi&1T=6 z!qhxw`zfS-$-=sWC5}nd=nBb4s9;6 zMi^h?2D=UYkko(s7T_t{10sI>jkPwR`v=yHIy;Q_K~Ee~c_wqvOV7t-t1=TXl&b6~ zqLi;f{1qgPN5y!eSDJhe*UInz!nMj}8jq?$Bvmc>I_`s?E8K^CMN*+tNQ1CY7u-kG zz^vL;-N>6D)q9JHQIWqs#5JlnO+;cgEG}jDAj%NtPpu7QrJ=Gzxoo8&th_2b!8QAC z)Zn0QVKv<$w3Wp?1AQC2^#{PuY$>(y3tLUIwwPj3V6Vgm^5W>v7{ z@NDu4skxU`AZ#DYhgR`u@+;E%0Q;3HJ;>gWVkg-+)Mos}x{*3#_|G2z#`5~4#W+5J z>KxC%CuJt^86=+0>rqE1a!q}l#O;#_PUgp`(ka}F`ZJZs)3{CJ1E^BX8xuC2kD=OT z@CV>DmhdF>$+*NXVqA=g;v5b7G?9oIFlLM6z#QXIJd~!PL}`iZ=e6j56kALqIhqx? z1u%x$=hGq{%ZAeoj$@w@&v@ofU;-;a-AHHiNn#?ahK*q?U_&r8nH~P$+E)uiQL1{0 zn3A=i908%qeur`$f%z+9seaMe{yC6{+~v@MEwDH42ezVY-*lFK0}FdK>-`egcDAjw za52uZ?XJSvG5(6`_P9iY=lLfMOtw}u1YR>~s$#ibsKB^l-B=tb_V)57S!EsTnjZ*HtO9K{wQ2RNJrW8OhyLYei8@3(^o?3W9UNnnv6G79jd#Q|#SnKhXX1;;G{)u1QGG$bQ&Sb&kTO*o zkh)dZ(aNe`j|Q@aMY`5>CrYh~)MD^dnyru{bPa7s>oIB$b*pg)TCGh3NY&QuXbH6W z_O%@#=@>D66HNU}K1vOAYfb8PPoj?ZoKHKo_enZn2CSh<2R@=@VqZd(A*>9wJev8C z+;|p1!#aUQlKPX_LTYg;+eE8j8VjSb((F7bIfH2$q?vZMk`~o$=1F^fE}jB{n!!5J zAg^J$sG+s&XR78$){>g|i47uc*Ru^YDjV2pns|%dr8&mC5i}TYStvE}j>VIP?^!A8 z%m+4(IMeu#7-M7t?X(yNBl%AgFpM*j7|q91H8*)W?b-W0iF)xTUr1y1lz*Ucc+Jm| ze42Pnbq^B9N#BuT3N5Np;wDv=E)EmtG;x|{e1$klhWd~w?^yj}Ax+vT(TJ2eExxl; zZD+)1B!5}lrp0kZ9HHj_5V@%CcOs2u;e)tN-5w-+(*O^a!PL@F*_rB2mt_gel`E-< zMe;HY-ZEL0YWYEyBxN$>HL7K;Ttp*!T6UnhJ}=oDn*Ynvhcvy8uf3x1H(7AEl5(EL1=3u(|ik%m)D6$8T$UBiy`(;G=l*(oHEGD^@v%Yr`h4zhc}4Q6Oz&>MBXx~|yp&$p zjr;1$`K6zZX~^ezMwSmNZ;ObvMaDKsw(d9NDa?&-ZSnoOCt7Wy`C6ujY!byaez!+j zzK!|U`040_O6f8FMt0qKq4Kj1$Mb=vjyZ1>&<$GiDVEuWE9qW0DkQGHv(}&l?k07I z(xRZ%Jdx*gwRBg0lIcYac%V+dtCTgk8_y=Johkf0)Aizw&-J{5hO$G)LjaSy!ychp4+-Gp05)!E32um0km)-RezGhLwx&tXN(;J=&t_jiVm)nNgTWtxS{V%CO*Jl?%v zSV$vVXk3sjC@8f@K#3B)l9E%a+EPoF>sv0Qq>H|v$O~B|R`JTrCp@uzuTIh3l9N)q zr8pJ8&|Q6IwO!3q4E<~!PtpFzq|$@h8v$0zdS2FWi;akjh;0@TUeTJjg=?wDE>}f# z=k4IUHc%+(m^{9NM!D?~`~FFR3St5Qe_ElTUMvPwJYO5|@~&yY1pdt&O|* zdebc~CNjv`|1O94EDybOJTIVQ=O|yR_BlR)>9k(5q^{RO<+V1S=lg}V>@r{Dt~WiD z`E@rRBd^&sjz+AC-Z2G9l0kp)rP_P6fn1a*tjFzCrmiytYp2dj?xnlj=6))waY(p} z{_}IgPtU#0JL&B$p8r#sR`HVaKjCZ4EkzqFI8 z=g}?msz7V@UEYLgZ*L`apN>Xe{pUR%nLVyw&-kPcoo)3Kk~?-uOwpC@^Qs<^@zJ*U zuvlAo^LQP2Ugotn+~;nty4^4?b>VR$pZ?`3f1nqpt5SMpb?K#>?iYUg-7|j6)1gt* z80YAiFZg@q9?>E`vZ9mV_m1yiI(v+v^x{Ajpwrt(S3R?|nyO#F=Zma8A9xk1Z?#hS z^viHn+6s_j0n>vo^J4m0J?U-zr9=gxkJW?$sHW53ibB*GW#Jnki+&9Aln#Cd zEvH4OyxO~{^pnlv8^>BVJ;WVDhqqJSIxVO2bEQ>Rw;FaoYXN)rRfnj>@Rv?GR_UR^|V)^m@EB09XN zNYdX(qnN&iGtc_5sK6I2dtAjdepy^Rm)4-tVm~JHxgmA;U{TnLEGq^Y8Y8BR3eLga zTTx7B`nZ5~T>1p|qHSd{O&5M7OX=hNxuGA}1pYV5Dx$KX(+*wxUS-zv~s3@|+$9e`P_m(>IBBO;sLF+fz(je3d#dd=^=kgmN0o2=sZGQcW4Q0(O@ zET(B>xc-nPidiv(#4Dx`Ji=a{IYeA_b?u(DkMb`u>gm2?MT+(w#FgIefl2CfhI?y1 zP89IMmTsQVIk9PX=h)X6C(aAq-5&!JQ_t|!D;f$vE5}4JN9vR`;cGpfDtfqjW3xCn zhKpl8R@KJ~3x7RwuDIeb`8wr324!AlEcYNiXq4e^O_(QKl^et|r+D*X@t)~hjSVk7 zX$j23{Uu_iwAmsX>6lx}UuQ%~(>k$KJmUJ?X`{HdIvT##s}*7uClglQxi+!7b66W! ziA7vL?4-Q(wP)yEg|DTbY8nz7Us0d9EAm_4t`V+odQBN@+@@(pptWL?up8PlO3cuc z3djQb;W?afgSU%hd{Ng;)YC!Zv7$@u6we%%P5aE?fmWSeqAAN38y^A9i9)^t-WD9Q^5~Uy2!O{Q0;-*#VFR_(b1s@8N>A9z{{PX-Sb1O$p zx_b{JK>ym$$fes35uaO~ABjrBhpd)!gq)L8PS+`*{H@ndMKh)6x5q%t|4s#1!(NM$ zTo=iM6Z3Ivo=Z3CkCk)#tvF@q9gWmyIyOud&>lsUpKjb4MtvS^ua5FHvRT~?IZj}S z$HZE1-6ZPx&O^EBcu%+-{cB;xytPz8?HSLr>)-~4yB?V=v+H*Aux0mo%4uBlJvjT? zWS6aleqB-q>K~trT>5QO?ymc5c1=un+BvIAb-9wc#)gGCXZP0{@*A$-r@|#L9>5-N-@@Ir zrzLXe`7f|qY42OZYfBI2(G*)fK662)*O9;J@x@_IyyK*y2YTZCNe#wmxx=fmMb^c+ z6sCi#%K}!haJii6#I8n8?O9h^T3pAB?5ZmZavhtlidr6#vYXORnkip>YBL){wdK7pAxGk)}TQM7FU)=gYfF$1Ra_yrW`lnWtSj=S1FHB9oM! z^H6rslP5?YD{-YP%l%TxHL~?i=+Z0Eg|=N>6YRUVCP5YSqggy3x^Gz9SIeACkN*M3 z{Jzd`Z(d}`D!S8Q;j4E}g{^O~Mt-k<>5QRXH4p3C%8PwMMuwHw<-SDu_M<{tF>7U8 zp%ZT!_4Vp?a=r|!8y9Ouua~!_mAF~P8M^2oQOer4T^2Vj@BMPG(?ie|kBI>NZoBZZ z%){~pC+{#(Z^@4cV&pMdLqFUhis{D3u_NvulTC&0AJ1d0kW;djDC`*ekg%|((YDCY z$atGAph9^U7+uFiIcF=-AI{yfvvRZHaBnirvHgmSVY>YlSx6tdijAJ9fZ?s@U6Wh% z^@X@ zYD0>A);(8MUFg#p@-q)Iw6>_EF4v99D-`;@*v0Y)4@f2ynlfp~e?J*bi`D0B6mFQPV^Ic>J^&?sAU zNDEs;ix|vlP`UCIt+HiQaSy$=j*-LaQB~#PI=#BuV-2gJnkou|a_Hb@FjVi>$WqqD zV7z6duO-2RRa$}>M%Zbva@xDUDx_b9so$(35y}Utn+Gr_E$gcGhW;=J=4;F)jy_kx zVs@Cj?NN$xeX2Ta^i{3$S-&+>)!YhZMJnZ7vhK?NeOE!ZZJ|ndXBAX(9!Kz)+KWQg ziWX|W)LZ+&DRUUh>k%@qUNcpgOMhsin02MC8p!p7h0?IbCa7#uSEvFr*sec}eeFc< zr_i!Q}io!wav1$j(;fg=f9NXb-B(sa4w8d{iO5MSe{3C>GA0}W`|uu7q+#9 zrQe^fl&Q#-4%KUaR(W*J>FR{WtNz7wzz;aeuHYG$!t~%Pv!GnYCuQs?GGX6?o$({0F?7rF$O7Nm6{3s;OgM zi%M39RVtsH{-FM;Ul;Y^&91NN)U+4GUzplG@3r@?X+-S)g{ z^pE}RbS?F%^QwUkScUDqyFR?If)|jHc0)EJGx|S$N%hwOyWl|gxQw5p@CXkf|H&2~ z7ZIgHMjN?VRV(niI_~M?i0tBH;aE3@U8F?F!~4pcYvi;2twIk}ORSbVTxHA5Iyet= ztM?;S*wDj<8$NoT7h+DwQeCqNj>+;Ds)&cv>q+WvIr%GQ6hkk8$3N^D(^L_0AQdsP-C* zbFsoOyw!FA*#gj**Ajp}f1j>2bwnQC)Gi^tC<|H3EHgFEQ1cR@Ing==inDM=$Rv^EqQ`7+w!6xQ?+)SS7=ZC@CYuVq$e_JtNiW z8D$2Ys=m>YWpCOOKG%POOY7@M!(a}RVhwL#>~M3&*S+J7UtQsBJElrEZ)%)Hr5L~# z&G7QI?$yUA>hON!b<9aPISFly0A+pO-Z;wi4uu@lgpNin&}LB2Kkv<+PfR!T&FY=an}A6<1eB8ii%Q>qy?+s*w^}UZ)1j@*~ggf z8q+M?xt442l*W0f0W>=v;8A+gc6x)CAqO=BZ$O>nFS1X+2< z7}vS!aAV4LuwINa9x}aUgmBYo>6pBNhh!eBdb)Ae(7yR`>U!7WewMd3mWh9Cvnozy zt(iuDrXu5PVTk8n@`BbCAxAQ+#cZP;5<(N8{I7G2Kb3BD8BxLUH%jVLi;M|+=rQT7 z%cQ81R{O=qX66x-nA|Zgb899nF+S7T>mpdmXTb~Tnt&MV2SlNqBEZc3^=O$<$z8?O zr>QOalQEgQ#yXQ;L6)`Ncx<>i%(BC2&G0Y^Sg*Dk?_CQ}0;+zdlNaB@(E*pxhB3DA z*b3!z;CC{&m20oj6nf9V2{!AsEUqW*Gmg3j*)m&gz27i}PHBeylZ@{qI-(PgYURq6 zbegp)j^NE2amdJL|jch$qQBV<6z2A1Qz(N$NxVEm%DB*~IG4L`x7UtTcw=xhynUTfP$BOT9d z!;E59^c5qT@wyds;5+1azxYkMT3fCfcu*MHxN*EqKU%4ZxMjI3a50lG?O;SZ1mOW#t`$V2H}8Qmq9>17S~Hm9?xE6m!ukgvJL%aId{ zP3)PN8k&^aQ=j)WztaB9tfQ;tFl)FuN~61VOw>Jdn5}aCjUu=~Ox8FgKC)3geK?01 zt?{-}pp0l17OQvonmKh)PBS{YgFUW$Vq!;^(74!GJs_vqGN*%>HHaXlm0^tTh|Z2ZwD7=It* zH9x_r^^eQx{$u?AwH&W@+yB=JKCSRmyuc?4{NEJ9>p1^t&(j4zKk;!7pwT~YDxMqG!(3cH#=-6K|B8RA_y2%5`g^7-X6Z$(;X`(|r~_Ad~(wOm7G?3)!=HvYN=ky}(C($ij_J z@c*rRO1O(Fo;?07Km8LNMK1UX0F~SSZs219V)#^{tO?0dh?Jvw&B9Z0k4_Hd!&vV< zyS@HVR`EY&&8X}@9n&Yn&3rz11IA@Gl%#Q_!78WUg`1xhqw2p#(R>s+t8h+F9HxiZ zsO)sOz8Y*ISd1_`PF$SJ`n`!+O4v!+G;Cx5e3)Z$X~SpXRKEBloNA|y|8e=ok8mpA z`Vmg@`#-^ly0`>5^=b{695<1*J#Wf|H`St7*p^b>sLMO*l||9K-MB()S~sw(B0v&05SyXEZnK06b`Je!+Y! z{}$#{#hG_qH~Z*$G{#jcMckLiMyZ=6HT4To-F=UgdMbwP7=B^R=P6t_{@=3$?zoncuU* znf|fMfA}U0yCaER?MiIUNx}w<$zX!PWK6ILCfI;>49(Hpv)!1wtSYK_Ecn zByt7;5+VtaMGgW)&N)a#Nu=NNjDYWb@A=(xzdtVL?9^1%Q`J2^-PP4Kvd5lt#h!D; zdxi9gz8*sSy3xsBi7o0S*R0h}5OebqX4JL|@d+Die8=qXe%6iUG-T&TO-6|yn7iK>BNox-=~sUk{hV(l6It8(46hTaD6 zUOrB)UBCOGlpoDPCzUWbafe-KpdDIQ5uz+3_!Xi`sF+f(q|i-OF1Aosu1S*`HJXS) z3PDgv;#LaN6~ZTF{;pZ`*P0zfHRS763Wca>o!GpjU`)v5SZK1334&k9BcC44;^9hqAacI57jh_hX4A%Ov zxFre}Q7r6~vwq57p9;yM=&hevx}@MpJXu;uv?PcMg-T&m;3_0~l#0-eMwOz;w8UX$ z1Vcaw8naNTP;RP==3Lc*5rvR;Ba{kJlyXae6N4B3A!0P7gzE~0vZNLFwL2503fA8O z6Cy3RE`S7gnkOn$D(RQs`ctP~Br24taH_PH1zK4OhRU*S3k@r^QYxf)+y@CdHmM30 zcY*}ByOgM`jHz-$rBtY5l?$lS991b3D^?ate>O{mbet@;s+-kmsZfoV8K=fB0XRWs zyo%7PH5G~DDhqDq@M>b>>dJy8&}z1zP*qjYU#P}#5#VYv6RxQ=06weBj8PL!=1ZBe zYRa{RO%0iGYWDEiYRZgPUH3aQ{guo_Dne`Cg}cV8MuI}29eGft5b6)EqXOO0!nvd>QaD?h0j>xxb1-pTW1&u3c@BgZsnZg# zC`V?D>Ue5$WyY$|)FJmoxf6~;1-g@}x4?r3cZ6IB4#HIoj>F?)P5cW+`l>v%?~z|m zCvpnJir|aDsDcf+77z9vR0R}Y2j^yDl?96sg$H-S)fwCmSF1v4rlaN^V60V1?T>@% zW4oM(Exph}D5o&2t(&N8Dp&)2+d?e{uI93j&GfOAKI+H=p=4|ge@a2! z&Ok^?23%u(3hGh7f2IuBUxQ`QTex|Mn>qNQIVqH38BHqHig1M?58C|y(gfbC6lPA* zkRw5Rpq8hoWf7X-FKDA^83xLq^s``Xivi#-IMPxXBL1ZAf~6ls{7Kye#U-wN#Ynh% zKXsC)M4@Q28$%TM;wT=ZH-~nKd~E^bAIgLyDn)VH z|E=`mI#d}2$-m00unefoxFU2wBB*}Fy{K~HUa7i_uBcSY;73wrLK37ZgKMxV8j=vz z4P1+;RvpF^QL8fGRHNDr<51NbD730cxYw!f!9|#=0kq+&J}@q-+Kj7SwFp;(YCDid zRTi`+Rb5ETsu);VRQ({as^;NpQ>}-@u6l&4Lp2r{r>YsOT&nuGx>axBKj2aI1LIXK z0?4P@50PKh8O9N+A0UrZDPUGiwI27Ms9r+;sp@kei>taoQbJW3*OCgUFaiW+!CHA% zzz(4Z=H8)ljlsZ5g?oooxOYf}dxuoGcLWExd8lfyBd#zSNQIk+RJeJB6mA~Saq|e~ z<{?=TI~Bp)JfyOVaa%;C6GtF0Db%Uxpg3{^ZWZb;(M@p_r?Xdmf%mZoa37_fg$EKx zQ$9~K{zw4Km?Jb0G!2M~lPv_zD>7qG(nQcSCo@jA5j09XvpD`NZA49Zwm9J;7_S)G zsJTLnXWUdMHSK6JF&IpaQWHkhq%}0rDYT?Z@zddw7*<{g5-YVzw3HWmSNoEX0L<#BJq;&znpoU?GK(>dQ1-#QWmv z$Gl(6`%>zX#4O=`S#>x&xs>-6)oMCi#``MjGe=d4l`06IhwUMF^$%#hxmt%-`c)AA z2t1>=wGntUW4PEy*a@xaU&?+LXs$5zrmMa(qcXRt-Bcm=lbH}xE;WA$;V=nR1bNx~ zpF%V^OMsg4LCU9KtXz~}{=`67Kd0yNL6>o_unmMFAkL9FWl^khYga-G1q%BQa3a(S zMb1>X9aaRwaS{%MTAX1*bA_E7olwpSNGUiJW=#jg$wuuEnJKC`8X}hp7e-Y#Tq?ZK zg@6&0f?T&SWfV=pxX$6GBW@H5PelOO9WNp*VvLXRNodM8nb8$?#vjk#eb0<895%wN z2m^aoLZ17|u`rHg3>1$9U4W;sy?o$ZXcd7B4ZRO!3FiuW z5ESac7%t>=`v6g=p80qmEXc`T5z&C>4UxC+kTMAAusBJJB4R!)-lIarszlZAjky<+ za9&2$JelaJDtP3?u69+yQty3YmkP5`?fb-zOt|)aVn@cPeV^Eov1;EZc4VB|J@drG zSyiz&zE9)oqfMPrD(%DM4%>;YBkTaE2-}AqNac913Y&cb_vLvX66Qz8r3$)R9C`X~N-snH z$9G_s6635F@x%}p_P`K!@*|fH&xK75m9p3l+oKfJA^wytd zaiO!$GCzpUigu#ekpD2dPO}jlsv(3mSIqxtH&nLEknR3H1;ph4u(M;D7urphVGll< zO_g~qu|?CfrhX|H1KVl6ReppoATJ!5t@892S}2Mh=g7#L3nC;(razqP4|3Gc%Fg(v z{$wBD{zng1um{cfz;9(xKj;b{SfS)_PLg{tJ6oklO!!jJ2g)7?w1$%7NPn;Rihsh> zGc2>w=WJAdXFn-FOpg-;{VszF$K8oAW%z-O{w_DNRc_=d`}WU&_^J(9p|5{F__7s! z!|6X(Ms1Z_TufB4<`wG?rmYh5RM*}aV$E1e752$`Pne)%rkK??}*q8qn}`94uaKn&X9(()r^l;IKSil z@?Cw)9(4bYrkc>mK%?%m5nlECNALd8=)%dway2mzWZ&~;nC{~U&Ho51&1IDSAHFw! z^qnpnef`lW{iBhSa|?#}SNI;!!KiTTvkF0Y+4br9TH@}SLU=%a#n(QnCUKNRIR0QC z84UY4dKKlfIVOv>AD^$Zbd@Ol<0dK03IJ$u@THcPfy3d%+`ms>g-}*n3`7!mV=Ud5 zllgFT%y_*ur&M{_)sGM;tX28)$_k9~{8#AvA$;2+`{A5YUSNdAiP?CImK9{+P`Z*& z&=m!CYG&fnuY?lK9um=BewM=NYF$Uk5a}U3<6mLmsfHcaAg{?Ga>rN89V2q6jJ(5s z6^+SC^wtuLJ{h?5!%(<0p!*#TJnL{1rtnrjo!GjTP(ti>J~676U<+_R4>}UG6A)=Q zAc}wF{k#u+zTeMBT`oMBbbSBB3rq;%hwzO5e{APp|F8D*|IK#Zv9{n1`_Ij}$jNhw z6KV?$KOC|7Ucxo+kJx04q3@5_WUPuJ?~mAzz^T2eJmqpcaA-#)2&w`lA&SsFWM0XP zD%42kwK5H;kWi-bsyA{Zu!e?S0P|LcIThN()^!9^V9Q0w-YI_uI!w+dr0PtfL*(;& zh;*L_WWV$mjPE`TQP&^Sk2f5c&8XA|KyF zAhrLMp{MZtK9f&VwDA1SUWi2t&+lyK+z(O+uX3)t(-UefB7*l%I6@C=N))x}@)e~w3cu*Er4Mi-QA)DL+&MKR% zqKVMl8pUQ-Q7*KwH6pWSF`(PI(Day*h3p-&-jCX!Fn8^JfB*Bnb~0F-oM^12?Gv*1 z*{>=x@5BikA(`Xi7(|z93`g!mJqhcgv2-$&TjA&?0=4TCy#nNSpyZ z@)3&ljWz@69~-UpUyat04GUyX-PmZ`|3{G)&|P3v7T(cdDRj{( z_pQ4O6Pg!3V)w$<0xc8{mNbNcg7c%z&mV0D{+G?b_dQfNDzQgn`OfFCF6`*Zj~?cK@ZjQ$od2=W#g`JJ8e%JxpJwd`ko>~d zz6W{bJz%|@A6AnGB%W{Kh}_e{{rN{sGj}n1J|Yisd%)bEusenPQ1Bjkq8*dn%$D68 zqZ<^N@h?!g*A0gsr@WzE7p`#(nkyVjh$@ChR4DpWH0vcn0zwF+i)D~oHYq03GP-dE?}*XlnM@KyD4alHP&6?p&S5LxfK zY+qRUmrMTe#&SMN!V?#we17Z|x@`7hI`d(KSxa!0HgZ_aWhrQ`2-=o-s*&JLENCix zmS}G!Xi~~H6RHa-M_UOdA+cn0!IDz!8=<6_(yO@;p-Q~bT8Iop!W0D*TsdDAiox$o z>>sM(vJjU-Txq0?g}4v((hoJy4>-OmQBe`Iz7Y4Jj9^6|Ed^aYP`-!epa^3{KiDaZ z7)w!M4o&RaMp%juGKu!KLT~3q`Um;}8c!Lxb4`*DDXmrcgF8z6_{nZR2thHGmVyK?fC5z* zr8h)!-M*PPrGyx1jKi5s87fLa-L7Dh3<;IYAMq^>}S)1B!j!saV~X zqJ0kDPsMjTNg>|ib?x^w9*PwzDXz(`M_?sOiUfpJNzp{^L_r3TJvZ{&Vji#ix)Rgp zPhxC%osweqV|ti_`oE-@D?ixLe<=yntwfTG!s4oYtA=CzTH@^mZ`H1iE5|2Cji^c3D7HS_+#OI{l+qV0Q;CGShVrHdYQc^miL|8Q@;5CC5>a*!0s{hFn=Sj8S)Gmzn1p{<(W|+k4l3K+Mb$2e8s%WN*-Oydc*>Pe>8_KJ_rK01 zez~meD>r$^LG2_HuR(H0ePxI|wVl_TRg|wd!|S_$>3MK4J=P$g)-v}Kr ziq|bG*udW1ykC8aW@Qc$lXi~x6XkdOTO=JdlAAmgLwr>^LM(YrWOsRP3tj2qGel5W zeb4)Gt9dnzBmN8Q7nuLcZ&K6>fl5*wIY33Sg_8U^l&l}g`ziAK8XIJM-{S8}G6S)ms}Q1iZ& zL{{Q`cRgKyZ{_t{hD^o!A-tZD->V;AGqCXF@Z7Hr@4uPBYu^pT6rAJZ-O|z9@cNXL z#%UEDozD9?F(T)`{ST_=)TLR?Q8aiaKiTzJl++C(be;Ta6nALcwH7hmd3s%5_nK&1^e^7m|BTwY4T(H5iP!V#@J(eQ@c-9Nfgk|B?ZRt{5jwo_8>A9muOPQ1^TU%^4S z>*M~VBruGBU!X``%7*Ks!Ye739;M{@zkGr~Pu}0XM0x50-Ur|3{arae9$3k)wE32f z&a@={X$-X!?Yt&+q5LPgTS55VEGaHMCjQF-)Xsm#`;7rPZW}yw?UWZ!$AvU&EYJ4u z)HJIqujxy2(4B-bG1iN`Svr^(p<*))+Ict5~e?d9TX zJ>h5Ew^`R2nD4tVFXnTqrmcc5Xc|+f;EIX3hGdmM3q{KOS(vy>z9aZ%Ar-gdS%ad4 zw)4^8FyRWa^`ICbu?nCg1-l#EDB&gkvIiZN0%7>N&Vt>pb-1a7mJFkJ8As*#UwOq_~!!Awi8i%BnJq?TK4jbQ-3b-$` ztr+g>XO%%`es0UnPM3%_DZlbxuaEr!?d2V4$z zlNaW`=6Lj=Dphe*3aZ*030+V%o}GfKj~j|_f?x74sDf(TOM|xNT41EF?xE%=)w;uL z?Sh-=c%3G_aIHJDH?;M_$|=!){gf#5>DvmZpG&R3>H)=%`=$ZZ^A+=sKT8+Kb?7w_ zm}p_r5U9rrKXch92q%w0I7j%JA%1~S?FEFZgjvkAQibU#D@i+rYh46I&@Msl@oqux z@*Y9%^Ik#jbYPz#cYD9U9tRy3*!{xCKa&8zrkgpq+Pv(Y<0;3}H|0CDP^^14+xJHHIkaXA6&~Tz5|ysfOjB8wBZ{l zF&{5Ls+8Ok_g@V-i~B~g3=-c}v%*<|FnA9{lZ8GySWFRgW(ZdZMe9MhQfP!479Kw2 z2Jjn&pnPzfgtx0fQiM^9AlxaeUj%~;A#eficL}Q*vJVQ|1NeX^9TI-|9?HW)TwRbe zLVJATlgK4EuI<* z-54>Ep*v1I!3Ui#KFkD}Azl;UdZzf86JVKGM$ZVbT-;&=bcJ}`3~sadZG9-y#2J|o zZV_wZPa32HV$sdeWr}M@KzC5gD~5Kn#gdCa&WV399lj>UaWUQ#%QF1sh?Tin<%;={ z&^;FyJ%g8gv3mn>Z^g{5;6^K-^g>-iN>Db>2XvZp!g6?S{i}^c zr;>$EFF`g4O_;505}wvz{+lB73WK}N!eb6tn$VT`;#Q$l5n#3npLPV7EKIxDVk0;cz>&nkf{W2y#g9G58)9az6p`s8GKu$T6V| zsT<=fU8XaB(t(w}pQ$g4`9l4g|R;SgkO4ARJ2r_fR;-?BlVJTpI>~ z9HB0^wOA)O>{TY)Yni1oUIOc4v1|4tR{+(4#_n>eI1#O%>Ko*GKF(M?12l4$|S|oPq0NrBo=@b|&6^HZ8uuKft9zwc86qkTqDe6){R*OA& z23#W!?+wFs;#bJir1j#?7Vx$~T$}^lMsY)FAXCH+F(9d8*DoPV6Qj!kvPDc|IN2r! z{Q%*1ap(7dq>F9(L%37?tqLAuw>X~@d5>5>Py(2JqSymX>=(Q7B$g?5d;)S%Y|$H- zBVzPy7#(yL6;?VWU_l!Y&Q}lTfEJ!{=9gHA?AV@-W&#( z#K?INUKZnxu)8WchNBnP#Hwoopf|)lJs`a)?mZ6Z3(>I#!k41@2Uz5bl^;P@Aok_} zy%uu?2;Yb&nW?=K2XLE?QVt1)LA3H;&ax3o@dS{gly&=n#46kEfzLSQWyaRA$`?gp zFkacw3vPlkr-1qQL}gKCc9WDLT=6@U&j*8~D>MIw{VwI}ERfyGdVj;sUgh3$5N0X2m4uhm z%AXc+|2?aG#UagBwne>Dx}faW3DAp5TP^s$qD+4SZ&#Ju@}0Doa*LaG(S$&vjgnMtJJqao~w54gYbpwA!>@8;5d~GVs~?Np-nn zj+0h$5XMUfxdX*Z57XgoqLf_?kOWD!5Zq*``f%u`N@|{P5~UEvq8U&IDdXZF}6Jm)JaBzQLDkV;U;d1HgdEiz^^|T7*&MQTKWyeWc6i5FjFz68g^)zeZTj8?bi6d9rJ_9t{B)#hce8>QZiZ>UnNx*ucf zXmtz^-D3i3<3?D-sk3=d8LM8g49aopCESX~tNX5oZh|_e6S#PFt{-HgdX*hylKKh@ z5ee#ptVvE*r*hkvqOQlSY^r(z&pV0gDm#Fjrmh?YZo2v%7xWCZo<+Nv>YWq8%~A*c zYz)KM>OehoagN%{I67BdxeU1Z>gV|L6={JwzX_ZssgLqRxKLe(g^oq)<{ZJr>bpFu zEKy$_1#e5$%eVrWXNOt=|0)glp6{16D}a zsyF0;tW)QVg~fVx-Uuj@)$b4=qz&q3HPFOH^}6yPo7CgD+)~vqQvpd+H)P!2qHf5U zvQ-_r4Z3aWMTy|HtLw6Qw?q94!(qDm)WzZyVaf7L%BzN zxdFJn>Y2A;xKEwl8JPX*-wY5QP{%RI9aP__0&+-Q?g1c2)boae995U_KzK|YjhQ4J zS3fHY;R*GsAHkhcUwIDmEOnzf5S~^mSyeitF1iB3v+AYong5(qyQV^ULtU4(iJNNg zw;;FFi>skex7B+q!s3p)3JWZE)ddK5(mi#lh9LLV*?h4N)Ch1$E7ZlA{ykDRqwcY~ zZEMSOMx$48r!JnwxHG}S{+QT~3Gj$)Fr32D)b#7(&exZ(Mw*FH63)6}`b$%-t z=BsNlVJlFtWI6qnx(ws@Yjxl?+`Lf_VF-Au-ohmPow_^sxhPHLGcXvY`KmK?!!-|@ z!7f_!G#1W_*8Ext+!W0chPw18@rx|w;~C0UE4v{ zhfd{`-x!+F5YAFV8*T=-C3I{faN9$lFf{B8{ey30cW8BHvim}F`9?BBQ;sUJ{tk!M z;1eDTopu7slc6=^F;J&N+b~JV4!y{V-Gxy5=in}f4(Cd}7J7=UF5g6LOadU&v{iXto372~#x+BGavLClMcU?!dW*FKJ-{r{mbJlR znRXxZnB`hSNm#7VUj7M?)!Mmh0a>Fh{|ts}wY#4}xL$j@G$6^^Zg;_L&}MN+QnbT$ zfTU{cpN7F^?G#p))3i?xK)6LaBofGN+92*K+XLFhocTMn6``x2$#R}Vg?LwAM4`{120?E`CFM!=aZT+z@IIP`u1jr-WE|)=$ zYA^SN@R-)j`FLF0@EsaDrFE8vE=wC|`YEKRwcoHDc1AnlE}+@kUi?CEPJ5B1!t+{R zFmxBRYgU81sNMG`xGUNa9^$TQTQmTuv28&h@+6 zrZ{a%_q8J;p?jpYyP((;+LvkwQ*|-rfZ43;dH}*Lx=Rxv z+^Va=opQVG?*fn=y4+dNrRyqqfZVBTSruG{?k8qZyL6d6neEnf{sqWAx)jF8y*hgw zg!^=HCE;wpE^7hL9|v?-m|14(1~mdXsJpitm_xd9KLT@Dw}(mKF&+QzL^`f3k__Pq z-3%t;Cw0N|z-8%-)c`rIJHl<@jBZr~xU;%*H9)d;nOi_E>el=Q-6h?kTj<4QosF5y z72VHsS%0{ydocylYq~sEGp_4S4uZuE-96@GH+A*CL`%1HpT(m2+q&^w2X}Oa{UCRB z+dhZzp6>6@fV{7}zFd_Uv96K65@C3;6@P6kZToDL=Qwo@s z;j1_=SA}QvK@)4k@3&^Z!~bAsHiSQ~1#c z&!^ABZ!_t65x#-5Ge3MT15H7AwT0kbg=_e!?sfR@KZ3jof5TYzHhew9#=Gz_TzFAM z2XO-#R&*J6?-BZTDsUt9r=med>D7Z^5UY254c!?1-vJJ3oc>4d)MNF#J_i}Ee>EOt zf_~^|c#GFRuMRRv->xNu3Hr{{z)jY#_ru#%eb5?sOVoEd2{KKeyaKuz`n&CboT-mx z?3|_VRvl!H-oY3)SD$hbka_xc?8O58!mTVnCh33M1hP<{$=bwX{oHcEEY&Yy`C^&= z)IT80_1%Ag#Y%k}&h=ILdQCu9>vP(Jtksvvhxt1Fy>lSz^(P;JY|wxD3SKtqtMDVj zCVdV3t%H=R|27P|&3f%*I7`!yD$f0HtA3~t?QYX6S$^2Ak7SyYuD|C4w^MHv0L{=> z-3#Gv{du1M_vrQH_UaFGh31PU(lCQV@``^f?U7XY}JYKzdexoG&k1AIIeXy#9N}lneTq9ih9Z9~6vs zFY6=sg1e%>#!xO$jDL@Q%IFaa+bW(n|=X#qc|tDgbNJGJHRCwHt>tjLW71?u|kX43k#+lWI-a7zUWB4|}ub=A;_0l0tHngt< zvca&Ap=G1N%B^mbA@T*d6vKqi;VsqBXgA1a!+EC0X@)LrX^Y_&53^ehqx(a+&5+4_ zY`dY?ci?syRx>S2H)z^|>@@6WVRV;a^i*)W4ROB&(8L~t;!}{lhK5TZ-Djx5xUt`` zlauX$VF)+AOv6fkA3kWP*cjX)!xzKh`>^2;p7xIzBI96w)KHc0{+K~s62jw#4cz}u z7>u1^mu2uB0C(Ep_#Jj<3;{hLXANPyxc;&YVa%(}8P+k+KW|uI0C&NV-4GTR4QsZ- z;*ueWk?FGG*d9Qx7^)rxch&IqRdCk~r#b+6-EgT3n!jOKQwGA@22BdQ+%cHf0D0Fi zZ8NxghOxb%yKgAL@0AY>Z>DnpduV8X5tv7Y&9gur8@_B0Wsae~1d?lbqJ;2?p@#+J zso{JD2%j0k&Y;2Ph7l}>y)Yc(;(BR_90u~*(2=3xjiJ_5Am1A5G2M7)7&a5gC}Z^r z&_x@=c~%->tiw$)5M%7XEoY>$1Vi{JV|ZsMV~w|%_l`EUV3A{t@f)t#IAcl1<*~+p z>cICnV;#Qq@y2Si0hwUT`wNhGV~CvN7=U z9zZu4<2l?Z#wI)`q#6hF6q;tt;cVGrJRb_O)i`D&ggcBE2cwB};{;yGhiPN?(7Wy}RG7(Kc0ebHEJIa<16 z%pMBeRb!)EkZZ;cg8;d1T+1!;rm@QqKyDe+m{#334tp2?ys!IanQB_VjW-qJ znx0@P!_T+zrZE*^FwwN^5XdA`ud8sKU>bCZ^_R&e<1JtkP1|dMOfw~ng8g(;%>-~W zOb?h)%`~+P0-0r6&2!0Y(`hced8RAlAe?Xdj%m&UQ-eQXkYu{N6T*e2u`E3-G9Bfv zwAl3TD+rgFqFEnXZt7D4WQFN6a)E%f(sbqxlxs{WtKnv?DT*7|I#c}+VAh+QGr%RA z{^hIOVA^~Z-Zq+UFri8{)hr2avuUOlkTjDy9KtQ8cZ}IvP3;+kwwbEe1!TLaPBB1s zn$G?OFBzs)`GD*)?fnHLu-mlZG@yG-%4Q&YO|SOAVxQ@=1|XTHrdJ?5X!?o~=8);@ z6|g^SYU+aF5mVF25FRxRx&h%aQ`L?To-_p*1x}fI9fvT>)Po25)245kVrH9adqB>a zly%UV^QJjn!CeTL{^9JtXezf6>?P9!COwx;__Jfo9g~waq3fn6+*WRw;(vqirm5mE zAa9wHy1~tD)9$|kxnnxO!pVKpFTX?gz%*kUbPr8;D}X#QHCPA8V^ggPAUUS**j}z_ zmI(&WOo4yWz&1j_u z-kApOgf7aw?ptut=4qTPBh24a1|-J(!%1)>&3i_J8)YubYD}!z&k-GM{(|9ZtXUs; z1a_P`iwkJHIjShg1ark47{;5w*MUql#}q*?CYiH~06E2cu?rwm&5^aCOEeez3~r{G z^Ok^{ZqDYZZiac;Y#7Wm>sT_JW1h&TpKHDz32vTwA_MAt^YQj@w!r*%cRBwwhaQ4- zA^zt!@OHp_l3y({%>ydJ;-J~cE$5KAawZ^0%|{!<+X?g6LC|HHOD%%%w7GZ@7@RSG z%8l!a*|Y-WsyRLpx@+c@U0`tCe3bQ<8|EJD?@eD zsd*kZpl9Z34C~L$9ae$7Fpt{|^3vRo?>^5Qj;%Ey<(sRrY+PVI_XDJ_%w<=@>1*?; z9)P|vXEF%AHTPy7`Ochm3S5-MSr@u!%kS$U9ASCa6p|Sq4=GX1pb_A1o$V{M>8eEym8^CR)ny zJx#Ji?1nJGvT6~y$(EkAVK~KN!D%(iS;05Zq2;UOS%1D04Om-8%P9H{x0N&O&QV5#PV?ei*E`)bWBOTLOK$0lLAm?Gm6HEr*(cY_hcDT1l}~&jBXYvM>#h&6dOgAZeB} z46Iu$tCK*sTHbyS$TrLOdg!)WeyInt!%~Y8Jl)cj!EdLfL=1dpSXOgS-DR0y8)UcT z&QXv(mTG~`!0fg7N`pOMsZMX1mhd95IB5CdI&_CDOSgeLY^lwR^@yd$NeGWx()=LD zEU&o$j$5kS0px_`lSY7?w0POlDN9kN%~_V?DnL$K_Jx5vWAUd!ch*v$GcemyJcRq- zIZI9(D9>A#a?V|_1oJp{(UPr(w@a2p#-huXhdeP}v9#R;?yAMezbm+A8UG`=>y|0Z zzHeAMeFvvEEfpO=-m;WpwsPC@%|38d^R8v+GYB78s?P&?XsJDf`R5}`kDq~g zY%wtJ&9QW5D9p8d!m{8KOHCeco?0H5!9BAiwFBh2#lr>r!m@&ghL@IH?!tMN(gQ&9 zEt8kSpuqB$Wx-dLIkSO$ZMo9{+#AdO88CQjd8PvLoh1odO+bpWuHnKPhW}#-pu?>$ z14y*h(h+V(SjWBqG{!o6xfH9#g=OYvEzSQqli0#mI6&9G0j)@QYDnziC7U}jp|^RzO{ z+Oh(mv#nRcp_^l!&u z&-$4F^ZnL~#Xt^NA0qE!5*}xdj>rbkAk9cIeXDwS9P9IvcIOiT& zZ!`z@*gE$b44zmo^V`!?>sNUYKC^z64ZG*otDN~StbdOOY&*$3!w+xpuOM%(mZupeRjg^5^<&6f;rq%D#kQ%2e5 zyoN!nt!jNVKiW3`F31?$H+-ZxTLjAsV{Pr3HH@?6=z*MQYqJbKC)svA1WB-c*Hq3w zZI_jRPO;rD3&TX)?X9qwW^2a)H{Dij1jr2AL{>Ft+Mck^Jj*ub2#|AZF`vNcTpRwk zbBN%!w@er2+e&7DEU-l$Lla51MgM|ZZ1cPWx5U=E3!E*rJv$EJ3fohJLgb&e^F6?> zvQ;Sx|dcqdT6U9l}pYuUZ*}ROQXKX{b<(#$EVAhds8!!WQ=WL_6 zIL_OyPk``(ZU21;FWSa2i@jv)%|h*ETg|qxyArVNsR!s)+xQ*m;x${saggh_MGBA` zwj6%;ylHz>0LU%daxS3Twq;!DcWev#0CLyXi}l`nwxkra^w4G=0E0)i8GXS$ws|@O znPW?0EXlRi<|KV$d;S{2r?zv<)1TP_na#jHw~ghe(HFKqPXPMTmd~0+o-Ln;w0v8g z+TaRovv|0BWvlu*$ZOl&M?k)@^*Im7TiaI)0eNTZ&)^qjZ_Lwgv|U&R$O!w@2jF7t zgPF{Zw5z#+jI!U%1{Z7J9bjl0Z7+WgWQ=|9bJ)k(KVyI!YfrC_md4pv`5+u`-&GD| zf_*fSCMn)t@CXJI?Vhi|O|p;v0wlrS9(lVo+5VD;(JA(DuKTI>x2)n!xBqktkQw&c zGeKtBzvC7+%YJ$p^UvA#HLP#XvA<&$JlAd>2X3Ant}&nO(=UK5un%Vfm}JNQzX;LR z-eCmDBK!3X&@HyNsRnOL>>KNWEVU094zkStX)Cy1Zl7oXa)tfjVIWu9#hwtZvBy+} zv$ggwjQAh*NbBrJ1W4E0)78Kv+Z(-ra)Z4z=fy_*A6&+p>}6Q_NU>kL3rMPc+f$ok&EBaG$aeddI_S&}`)#IP>GlpjAa~k*Q-I8{pU2mt zfOOElxHm9|>{*OHhwYm$L3zYJMdeR>wmFW3k07#Fx`zsyAN zlKtpUu()i0^98}{D)V1Luz?;Lcu?8QHUo4fXR ztkvJM|HQv)xo_XXl;DBAIw#9R`-pUqNA|u&;N`JBZag44_FSg&xdD6c(||s)hcp3s zYTv-w{medxq5rwP43A?k?BzN__|o2tWve{<$~bWO_CFXy3+#`VLiozQn0C?;~i&G0Eu^aIISl-Zhix9lH(69fXR+w z+>EC5-O+n^5S`s?XF}@y}nD6j%RV{FQ z%ZgZ%WBznFUFdkm1LGpca-I|yJ9@VSS?VzIc(cq=i!*S!V-8DjD;;I+;8r=}2f*iQ z$Mj|(YaN66ve!BKu7m6Kj*LjIzYUJ;Um;C#tk?pQ>QFEl-t0(cH7CuH{SMp~M-I5dLpVYkz9nkT*tN1_R2x1%G|&^?ZA4Ay%cB1dMwqeNpE z9B@2mB+hhPUdjCPkmDqy^-)Lpr?5EYSoAG0#~o)ofji-t#3oKUVp#P$<+#gAO_n3P zGz?BVdP(5UIx_gY*$(YSaOWIfR06r+m|F+!UUW=20CLGuFc;*CV5+NNmmfg^#Ht%r^l3((*rM{z5V zISzF(=yDxn_kuiel;-a6%u%g9xaW??Omkj1e%K3==Xi@0Ov-n7hd@{0xO4+t;I*Us zaag=_^x-xd<#aNn4Ra>&PpF1Fzt{%DXlKI`fR1p^3x+Vpna4`aNaw~$AhAwwF$hOH z;~8ehI9Cn@8S5{mpV-mTc<1adLE@dI{(*3!vo#aINzT;+AenUBqLMzgLy-&u|ec7b!j z96%O2XU_*&cnfGpV zHvR%lq&jah>}+wac>->`Gw~p}ole~xAa^_0G3@MfR%8~P>0EgVx+BhC&w?CxenRt8 z&iYK*&N_SWw0_<>{TPIooS*W;&Q)g|x7M4^g8?RFcbw~6fZTUl_zoU9Q>KBubY?n1 z@|^Y0gXBB2m~0g|f9Gm^iIQ?-vCZ8*VA2{882qIrg0h0arIz+ zGS^k58_egq+NN{=o9`O>7MKMtH4jorE^Qo?i(Fm!go|ChQz2a9YQr+lQkRnpXt}HC zQIM6c{>nzS?EsL|WtO!*>9oo(lpnRDUfb;9hd^cZLY^P;cdHX9rL0cu4$}ASl$mP?*;IM1-F6fT9{wWF)IOh72 zXP)CO6VH|>Toqb_JL%fR{%ZGgn+{o|Ue;TCyT`&GjS*!s{+IldPMr^nozA$V!`UmBxf{6Gt`jWqzI6o-^@7Da*Vw@zQEulGV1~J) zX9E-MZdx9g5$*w{(3u$b@fZk4y6vfe#JXE$K{wicZ~?e6?vbm(#kuth;bok=4*$4i zyt@)Vs!wn?VM#aMeT)^)1oxcLAXD8c5ysUO{qMK%w#Xge zF>tZ_I*+zX+`|XKaH;zoJG#uhiLYt7`w(~UmF}a#@UqIC9s|48?sLqt*0`;#h^=*Z zWtnW9J6r;n?6x51k~X;e7y;Sn9^~TrZORgsrMa7q2ifBOl)1!K zcW0(D+uXj%u;1<;R2O82yUq~^ce*D{0LgG~VIsE6?W+m0+nqBP4eoJQW66KNyT@-3 z9&lT^9c8-5tw4JR-ScDQ{m=cwP&9Ga-F_ydN8Lx+(lPgr=@1@wf5yG$g!>84LnqyB zOMpA&Hgn3JcHdKgoN@R34CJi)aBYxm_j4BH&bdQ57U$h7nEPCGFXW=Sb>ajcGK1h!H5@*6wchqPgpSipAhw!;OwiA#q+;90w zCh*ezh$&;9yAQv27Py~$2I(ueg4L(j?uIOmym2Q}_tvew0Np!xPd9|aJZ&5h4)=V0 z9$d61mAmN(Pp}On#&hsCbR#{rS#*o_lwzD3?YVIZx-lLjKW@i)CbHr&HsI0gLC1Mg zMnXElv!@Tb81MO!Uz8?#?&?7%d2aQDVS;DoY;co3$w&*Osh(o>K@vT+`C_MeigSrh z_e`6Mc4v4#*MZFR{8bmiIi3zIj?DE8NCG#{^CLgU&G$V06T$_aKrU1IB+oq7=N5VH zG=y}qXZSGKFY&zP)LZJ=N8K{d%Ae8Fa?dvIV=F!W8z8GZK{`NId(_;<)_AV|2)nhO zh^s)Z^JrUwBzuZw zUw}OE^x$Ye_3Zy1%|7#t%wzrKg(rq*wU?eg<$=lb%)}t!%V_3mdqVzak+SD2@H$2(!S&HEL1=yb1w(|M=2 z$5cE^Aj8{#3zWOO%UOQi?TzEnXOGvo2hhFV2_wKA@VX`FGQEcwf)9FgKZov+clADy z!`=nl8;^KX`6iEg8!rd)n70Izo|9fRvyRi=JvSk|>>aub{FTL^kAow^JcVwwuTp<_ z8RIiC=*Ib~Gun>x)#JRF;CrwNx_Dn$cley>i~I&;lJ7`>(I>&z>K@oBK1m0QsXjHs zN20GnN9d;e?y~SQ!*^pixY@oC9tGz3ergKjT;HcW1kdwTlmA}F*NN4b1wLyXkR)Go zI$P+Q$qK|G-vb^K7W@7_24_orb??G%sjufe?tjaCPbWdT+_xndWQ8x45o)!s)EVg3 z_$uxOS?e42E1a(LeU-OD zx7jynFLYadHNFD3&1W46FWY^oi@E>p@FhNkGTj%#zU}nAQGsOmwylQ!E?@s>SnT!f z_kr8zYZ(RIeqZrV(L|Ghc`Dg0BOI`=T$6xzii5#C<;0)IpJ z#@FdBgl~QG+QChfe~AvCV*D-7LO064i^y32Q|{2C{X6*% z;sX9Di=iCr-^XL~c>gojvL^VW7{cTIiJhRE=sz<8776}!9Ux5fzxWGenqR{c-*kT- zQ=pmt(OkE){LhL*H`|}V#WBbKx+ln7|5ZkT`Tk9*APfAdtfnOSUrhp8S0m^OuH|5|a z-QSQW@?Cx%2Vt*2jb)7k{^LrJL;j_ius`Y_+ztjO{F(D$kmWxvLU-EVoVCI;{#{mZ zXZ;PgfXnuu;&7ewU-$xc=l#+AZgIhXm|^mwzw0Tkzsvrz(}B6-FJMbo{aZ{R*ZmEd z=G^d){Tyy?`ipax-SThXa=7jPmNE2>|2TFK>Av4q9OQw2WO3*o`aiu2yGQ=s|AKq$ zZ`=~d9RGMad*WYo6<(hDGp51dnLiu<{XHg|KY_>m7ydn7ke7aQc_<6~hvfoUMC=t< zjEKnG1^bwYvpc}WM!aG~934@1APmMtlsJth;v)Y29o*Q6Dx;tq7xBwk2;(Cf)`c)3 zqH|+#QzKgCgG`V3at&IT6;X7H0_$&XM8C$cSP=1IC&;3R-@-#HQeMuE$XIL|WZlZakJfO!^CltupM5tI37 zFCtEHWM4*HJ_2N3L=8S@enjyvz!gONlnwGK;xjcm^EzU5Bk0~lq?Uj|RAl|r5Dtqp zGXzIR_QRZ&MnncC@thqK>1+buqarQ!!No@29RlOmrFfc?VAsbxVHMP7

1{8B(e>mpmM1Y~`r zQqA?399i!PFdHLRFoIe9y`6d;i&oQ}67|y{FBZT(oVV#v<1?a0a~O z*^YCC?6U3S@n9C%@~41VXnW;HP(`*M_R+t;zG9pE2xPHsG#Bn3c`BZTn|8l?GpOh4{VE0!oJbAe>C8s z?WlM_lkFUeh|RW#=+YkB?l}+U6WivIU_Q0=+5+l@?V~JjCno0&l*&uCKuK;}y1p>CcOy ztsBG(IRyyjdC*(p5Z;J5Xom8(QQ8mVE%e0_M|goHkcIP_)`J!hR>$qW4)%qU*K z3>d`ltOqUuV|f+SQ5@wxq}_dtH<6-z9B<=Q7#`<^{R`#^o`y=G1m56Ppb~jWN$_@( zS0@AW6mJQQ{*rl7I#8#1)l&gycvCM!^DHl(4&^ys1!cyDbrhg4_oww>4%x~~g_R>AM$=eqIDCKQ! zgMArq$2vec?<#wAl@pnKKk9QML&AUZ)`W+sJh6Q(dvo?Zxk7uFBRLlE? z;$t0el^bOBJU&&y4ZP2&c(~8oNKJbqFR~OW4|y&z^!u|W-gkGP`k1$iGS?H{nMI(U z@}`ak^^CWA5bU4x!oGk-3$NQ4P^~;o7N|DfgojXh$xEd2=oRnxJGhEBycoLrc3vHY zvbQ`now#?rsHI@O=PAT6_{cle6VxYO65j3M-~aLcx&v+}Zyoj0UA$?z5IXXu{bBFK z&l?DPXZ{1)UM~FKIFPyWx6r!o{6myEJoxz^aJ`=V-ZWqH;(I)T>;Qk^U{KzC0ky;j z`G3;I9OB#4=)jk+js(+>e|!(@tp0pUA-DnjJ_|tx@@LYa4(1Oa)e!!?8!!yz$5S&J z#!r3#*?;53R~aSyU+{z6AEWBEhZ!R{!3+W^2Z{_+?= z9Df|$?RfrbTK0sM-_su41paCo)gd|1 z?$Er(e@$uZI)D6UfE#=tsyIve`N>$RjDMec;d1@~n&npTwRADJ`L)|&Ud6v@-3?(i z|FIEphhIR^>n?vi6@d5ntLO&T@^?^sQpex89p38s188jA!0&Vc^FDt9g``IQujfEL z!=K$s-zLpaF6Mh+`v8Vh;QGjQBM+>|>=db8b=kEo-jn-}Ds|P{Y z#=lww=1YF|=g@h>@1$4e?fmO^;q5JdA~nJ9`NNk%^8>$wj@n0lIi33s{sL+dyZGU> zI~@cmbfz5zR@$;of~NieXMqb`>8TGESOF&XpRW3(pL%>1pH0!-y;MGH0_QOoYlZETClegIxzypBtWcS!w--h z70B};ixUhv0A{@4F~!H@f~i!7o)8S8cyUs2_7a>X2`136;go<&f&8?ffX>eu!R$kz z&I-m+jyWfINs9&uH$EEKY0!ROS9mk9XV zz`Q2dNX_VVt004p%niZI3h>0xj{`0Otjcnj?sp>j}Ic#K|_9TH~Isq_(g zQ0e6>oE`~PKVj$-$oz%M-Jk-5=Ndo-3BOngDp>f6o@j`0Sr8ypxFQ?oVZt1GK8J;q z=7D)cID|H0xbO>#5D~&;dT3F?-dbt6Ds5;lndmxT&yRtkijG~g-}mTm+T2}M** zUKL*d4X%rYvnhI%2yfDlfUMVq>X%sJx^V6W=-d$IeGlrU@N1d`+!FpvyR=kzk~T!S zFk~g{D}=7ALERP}r%7C;@WE0rtAuWpaI1xd6s+$EwaH-K74|3dd&2vjpz4H=!a&sv zCl!Ed5dKMnqWf0iZ=P`TK)7NpgpI-mDt{jemwygu5@sw0Gz-5m<6<5Qv*>4_PlWGh zg85Xq>l3JFLJs{T@42vE0;ey8LusziBK(ZDY^$&`9j@DiHqoG736tkT<+X4TH92pD zv$jLCU1*I^0^bU^o&fiquw^Y&-wWsK!2BS*9t!41Vf#wB=@6FtLDnfmjfPVptfM3D zAi8iFnvSApMUXj(Jbj_*ENc4|Oc&8piV&`%9GVMwh#&A;<%wF*Jbm7TuA zhA~LwO}jH#6iXpAL{w%62o-q@hvs2XZY$u3$YvBY!$pk`K}Cq<5msQNXxB7wqeO#f z2o){5{T>h_GE?&&D_T*5?{rMGB?>BWqTBRCfq2n5VjdUGr6h4e^zIEb6GS1a0g0mH z6rfIu-Y$oiB+-MFF43MT63zUM{{7z-QFkg{u8OS7p;|1mqbyz`TAl{xHPLr} z!tjPDO$^6J4j5Kjosylt3y(l>@-MEy|^*RVhmT4F-2a zFX-y;irjqw_e4JifLSA2PyL&Ll9hH4fqZGr5O$eRw=V-fFHz!T9R8b>}A75of%CR)A^US5b+9s{(9ewV>@ ztB6b0Xq)I-2)w+siuOzf_myZI)iBXdan z_AJ0hZ0$Q1PW{C(lq>?oqbP3&ig$gFC4$6}bfqEUrQ|zQTt+|r2ouluhsqK0AFl!7 zV(~FRlsGyO5G&q#3M$9MIn>m}iQf+Z6)(=90`P?R%OQXSvE9E|FHv051ldXP>;K-d zCyCF|V?HIGRtZQJCr3f&tQh}QK5R>I1wHh1altJxv&6YS!*`C@j&f_B_@EfF%i=lV zkQIqTskAN@fA%Y&M4U>+(lzmFN+;LFed+w&6z5S)xFrsNUFrU`+}+#d#nW96aPasSDiSRuA*K%B^=NoPV5f2FP`ZPcpxsP zUDhc6MhKq|#aFI^Y7&rgK#TaP2UJ?c1CPV7P3%VlxtHQ8y8y4mTQ&k-i`@&c#2fK&3f=8uz6R8L z@fFI#AH;5b03XFGXkUC1-(Ox=x28>lD`W9t`Z>*u8oIe@N}qnO4d+X*e3~piv{;fzMyi@OAMOCKVDBecPLnZz$wBJx10>EOFasqAyMYRlOxprk zu!Kv`H$+lEok*x8e-eC$Np4ZJJuER(+CC!rm!3hmYWoe+!OD2jyrAl&XaFZ@cqIcFAl85wuDN`~u zAF^yo1KpAw$-@w+T$DVfsY;f+?; zq@`oQyOO`CBC zxCn3WC4W)A{~*bu_d_2gdk#U?A^DmzY^P+%J3yD@`xw|cN;w}uIY}KQH2-v#GMhoV zN{5?a;Vu=Ag}sNghY(Cp>0(Mp`=uRc0AA8EQaLES5(&yjI#Uf5U+MNp{>w~ zk!Jr5h?OoZ!o|c%^Qc}wEC(-!p_3_9Z-OvOnm`*ON7`)`n7Ptt z5r91D(+pVTOK<%S>asM4-sTrb?=FRAq4Y~?q^?Mp4hCG6+RlWmSbB}}?ltLjigP!l zo4$nkP3hbzVBV6xO@n5c^fYBNYq``e65LAZHv>RcORvp^@Q$>uKj5x3NCv|i>D@9= zwbF$&v8j_rP%YjdwI}9%Y1s)Z@jyDe8nTDd%hV4wOU3kd?U9sw6wJrcwf`kWsbvS4 z&!iTLfvwU?VzybOEBL^d(gQS@dnNr%0pG8sDK&8VMmoX*X1nwW<)ODyhd)8RlYaaT z2JfY78?e#`sRw1HkJ2uxZ$3$j)&aVt6KQ7WV7KEN7&zMX^oD_x-J6flbhaBvb+(J0 zjt;Y{opoq1NH@DhvCwh1yVL-#hh6Enp!VCb-vhktVpjkT*sY;9-`no@zF7C5-IKoX z=4)4S36!5*F$2d+G+m?Rr|b z0i*4@=*t|ndr2ipyj_3lViW9kL_#&m?)?bJPTTp>EAJG$dy}wIs@3 z>}FFNm}eKV2XNVL5Y-7qcK(Y%72AC^4qmR?)lzP|W!Iakj@x!8mczW-ZdfE0J@@QZ zAkNy<**&-l>b~8!SFnF*w}eKAkL>cPo_lKd$1JG4uuHLln>M>?RAIliyD10t)^0k5 z&<}P?mxAiBs|*C?AlvQ=%30=;1Ik0zor3j#**XeP-ZEbrtNX|*ex&--Ultbvogmpx zD$PS>?m1ZLi0qLO7SXbHe^9Zq#e7glWmBkhJ0=_bHy}<{MvYLstTq#}EoQc3}Zj8f3E;z~H{@{6MHYkZq?7&?sx9A>%_? zGwteT*`g9?K9Ma<0`*MR_}^gJDtmGn+!wNAbW~epo0DPKD)S{_o6PGP>|e?9#=xRo zww=!AJDJl2zU_RBw;0dEK7>Sizx$?eC&o3FgK6ih#P1qB0t`9OLr z5FoF1fYU&EQaY$0`7lbDA@ZYCd>ocvvIP|`@9qZk2zl8-FeBxe%fO71i>kqlmjCz+ z5F_`oQdN0Wj==|Zl6>YWzzKObn&~FUeZB-F%5}77Ps;nzNH|Ge>IH*S@|5v_)AGoj zP)U(z(0JgyJaIZyQsuqZV!<@IEfoRj^1G+tGee%DhGwRGNHjFF=4D0kcYe=mOx5{3SiYyK>(?H2=IO_w~n0HF9$c z+|3k9=D{vB=dX8EQr*guk2(;Jq@@&q~#Pvo3>z*D*Z zJ}{rllOkZ=Dwozm^QF9&y17^KNwlk9%j=dw_D0@}Tw%3omsj_J;aj=F3V1KCpqbJq zc}oVUPI-I;pi6$U9QF>10qX&diYz;TlR}dWnX@9C2XIwb7J})aaOj2Q_bK$Z!Q8Ld zPDk5I5lxZhfMTK}WZnwD9-s~?Vm$#?ABB)^hQHz$svZIr8v2D^kRpi6jbO!&JV1yd zlp4-Zg#-O2Crq)61~x|&eiRrZ6*;5fG+J@n0?io3BsrL|ii&lBql!J0aE~c?F))Zz z{7d!camD$kfJDVFS74B2ReZ4<3!YMBQ|M1t^bG=>RxCOO<{5?SQrMqWL{bNIPVqJ# zvNXjHEub>iM__S75kcSLreZA3zHceI(Xg*n@sNJ6UZz;Xf$D8V5uMFSMR)oZ zRf-i?!K_w1q8Zp7#joE2?kZlA<~_v$Isi3_VN_4mDx80YU4vr&LO8px*h`bc2a0yO z>_$bTm5S4cij%ppXi^;S1h-jnZ#}3-ir&3JJysl`k;fB7T`V-8D#TQ1KT{M?EPAfU zSq*P56g(=|TNF)H!n7(zG(zR2BI$Riyi!C_7JaQyZvyj;VlT}F-YOg@Uc6H*kW>D9 zuka^}4~pY|f!m?T-3XmdMNkT;F2$BUP;pRBq37eQd{GL@MfuzXl&kV7`F2xA(V)mv zxpM`W`;_bcD;|{Z7%;t*cgDi?0cGweXnHH}(1i7%a_M})A!Yxa^!pbdWrPz*U**Kb zu=i8ejREDaJXr_B0Oh24UT$G4w^@lmmWeEu3ShdCqfz6 z9T2G;8vuw_F1826DBn$o<}szyNlPAdl#gF2&BP6eD*j-|%$yz8dytCfxde6u^s^^}h8 zD$h)T<~`*Hsu=5(;T3>-tFoy(gbm71_JI3J>v$MGP%e57)h4Bwaz?ZADAkIOl!K>% zda4u@0-h-&x4{0n(u-zxFO+^NK%3Ip5nf&@*NuY8E2Wik>T6{s7w}e@M#tfuvN!#l z_N!jUfbv$&`vufN)rBZr%pp}h?JghHI%*93RWWpm15^{nW1&FR z9BV$v5LF6wqoJyGRF{RRD#__#)vvU5BUHYJp%SU`p!^r5iq8c^t3G@NIHuAV0moHc z(OBYysy{vL1eFhUDT%7pESM)%r;0%(sdQ9CpHfYwJfEz3+KA;(tGZI@Je*MlCPC+{ z>crQ86xF=T5S~-@Itn2 z87dX3;hzC+t6oloW~E9^#ebFRDaG7sRnLE@{=BD(r|P{%^`{!#TGc*!jbEoa8dc(R@b*ykNCc`$bvF(w%_^3DeDp}A{RXnfs+0ks zo~ZWHTc77DnK^NW&gwr;UgZSI21m;2Ye41<>QV*u?%152Q5=>up^c#Smx^+0fU;T#$ z1_A0XuL1(q*01Rt2C28xlL=Obg}^XGJ;n^xP<72@K$tpt8dMLf=k|r>5%mJ<_QTa? zI!6)e?`>cZsa}%~l_+&6O@^b@{@;KZqy9Au5UajW0ywJf`V6vTYH==n#;MCFG{mbd zRvoy<)mP);^n_YS^TY)8=ONHZRBxkacvAf(y*f)$pPLNXX?0x~49}>?(@8z6-bb-F zMLqWpG|#D*tp@YF`g4l%scO|2P-*HD6q3@_GbmnUs8ilRGgG}W5Gq+}X*kuN*=qNb z(7B+VwHi7(>dCjDb5ZSf98|8_ZzMetzS)kr81r(~k z$%3p%9ZiYgiaMF{-&OTBs-=t7U((GhQS)ebUQvd z4)`2Yxtgtk(+ahQg28QdO+4%?)mnN;_Fn?Tj8%iADpP)|@m^S*jF!lc#afqMHl5H_mA)lhw?4w(b0NnLse zs?BP5$|sN1+i71sR*z4`mwBSzNU7?nx+k?<&(!iCVenktn`-eF>I@kSTGadssI;o} z_d&I(BfX*WQhgoi%;t?+PCaG2I-&z$eXH(4H}Rdilia*lHweA z{P9rfP;Y66N~gLvHE><(a}=H(G!y8pjibhvo~V;%&bKgk))c$L&P5Zq6qKvxzzCST zX|}aO#a+`k8Q`Ibp_h7|R?S&TVEZ%^sVd#C8T|`{UYaV}CI>WmS+Mukr0oFnpeExC zoF3A=4u;G}vx^#RU(I!D1N}6c>A?DHY$z!PX@xPlp_nH>cxTH`~3Dn=uuCmO5ydpit| zY7**Ua7XwG?n#bKo)T&uGkD5T4bzPXVN8HhIJFoaV`|ke$~&pt2!d6Y?I+3{3;Y z$4t$Biila7+j)>>Yig-$zMz@Q1>|T}(!IE-si)sly9d#~Kf0|MLZg;SO+p-W zsx*OG2=8iaSHtk0#yApGjb;SZAhjB9PiWR@>SSQnYkpgYB^orl=$72q44VO!2b$i4 zVb`cRLC^Q0=H8!>HEB|)A!*k1FaRED0;$k_toh7~^4}9pCWX7Fnq$=cKGSfhuX(O{ zbQRuSXq@JP*`j$t)0kF`<|C*!P4AG3$hUHmcId^+OZKZ57Ta>aCcZ+R1Ic?_PZKrMrtR}fGSGMUIsH-yX6wR z#Ap{(LKdsFE)@cgYWtoC9McYY2c0-A_Y&O1Yn96Z$F)!Gz&xRi`WcX*_1p?(qIN+y zxH+j!-wL}V?Q7a|r?heOe3G?W%As;v+v*B9qZRhX@@KUwszy_^>nVhu(}pa7=6P+V z^)xV5`|U}1OVfr-f&FZm#Fc})?T;@l?&R(TF7#>h4UfH z)2{givV5)XYcMZqgJ}m{)_QygW`R~q6-A+TBUNri+JQ7?zM>uSCG4(h3*+eg6>CpZ z!CRt@?gU)ZwyFWwwXZ2D-q0F$LiMILL;(9++9K-zO0~0=gDTT{Pk?5*c5DNf724-J zpm|&CL_hDS)IPoql`8F*j*wMrul)t)9qp&jU~pHvk1C3L+KpQ3|7x_YTS3-pPt zX@jVusn@b}qaJ7%9|kmPC-}q7L+xTmIBn9tm((eRXYq-j@713>rWB&rFN|g;FWf#5*Dwu zSExgNqg_TvtzA232xM=yV{d`^PJ6il@Lp?E3)u&43T>T_+VwP|`J{bFnri zJDQGKm-a>{)^*TziNSQ#B{x9DNmslcGOH{8!;T=`bY1_|db%2_5Il4(^rF*K=TZWl zeYy`r0sD0sRQq`8PQQoB0iBNeU2mQJTEIcwvq%^m(*1M=EBWaDpa<%!%b|SWr`y;a zD*n33^h5%5*1hl&sB56x6=cxC=IFwFu+l}{Njq5N>h1+VCr{TwJ1Ji`l|tbq-LIWsUe=vRfJ%X` z<$K7k=tho!?5Zw`_C>KyLes$#o!~rV*L1ZT;Pblf&2Y$W=vt`Ezo}DjV17&Il|}Vu zscsKVT*`Dmtc69nPVz6HLRU*Q^=%!09aJlI-{rtfm2Tt-P}REB^)R@jE1{0!uI?)u z_TAGB-w9@o&V~X-tuEnvK%Fj&T9cW!qppMbRHvhx_)Om4Vr%OQ&1vpg-yiaMU|z!@xq9A^2k7^2fL);e8f}3feeHCp1nVa+1BB?66xc%b zWjx5j^qK>J!}|BsWgO9WZG@L_s~%ex$4P&X{>ee4ekaA=D1915foT1HiWD*W!9yX7 z)jyaB>Ztyx0@rj*KVUMLar!g)pyKsf%Kpdor>PA*q2EYFc!K_B9#j(bZk3Ro(no6` zOV;nBs^PSL)_qWC^wz0`AkXT3X$z$2`wj=3(-&L@oY!aehheJz+%dRG)6e}4kgivr zfMJH-ngPhv4=n^UOF#2pc*)i~G{NqIUU?jLIr=^>fQ$N#G{MW&ckd6#(|fSUq+F#SNHP(O>>{33nVYQPo!6uNs?_4}J3E7sqkHn2p`ry~5C zK4}u1Ue{al!Mvq!`WsNH-!cwRrq7)MS-IXyja!9&?oq&P{XcY}m3m`0xUSOMuc!Q1 zt^bq8w|DfL219sPzq$je_w;?~+t=v*=!w?qcRz+^onAW@D)su^ln)y8%jnMD*AJsM z|ABtlpMXaFA)13c)UOJGL6hD!0nn^>rgr<0UgHnhW4*2b@I*gVPw)Sp>U+>dJ<|&( zLG`)bi`u{!dOhWg7X80;-L3k~^dkF}{w=-Yc&$H6q5qA3xgV%@{q`r2z11sejPg!j zkO6qFzp))EAM}P)sC?9K+z;xLel0aZ9r`WHu|lWb4ynp&)1{9-4xxi#iVTL1h8{GW zaWY8%0p)CXpofKvLB)lItD)r*G~Ene&j-`pFuxl#Jq(7g0G@`}*^uosY<&aG{f6&t zKzSKXVs>tGz@RAucpFNC00#}Ps0}=1Fj2~|`WUL;LDknF9tH?7^rAZ(XpnpXogl;d z-e3kB7Ev1+VmS8#zC#UzRZs~t+@hO!*l=3~l_LhHtMC?X=ot!Tgu#|Z50Qp+>O`Up zqv(M~8xlNV7h^cs4$W9Y4JE~+R)bguJZ5nH6RL5B5yJrSh8XH7jvF}Bu3%gIw_528wOhd7Yx5sTa{zzMdA6PL7WNA zT*FlAn)3`pW`N2!c*erZC4-fEkIRNQigN{qxl2G58U}WU&mu!N8pB*Mh(-gh8b(n- zE;h7TlYk|LN}BawGqkV}UN?MA3*Io;r@;QEK_3Edw+uW7K&b)c91fQuFA@gjhGCt6 z3WM84*xfe#O0S8k4H*4dA?RbEr@f2k&C*zsH@ZxM7L(kR4XgmaPHFlpv^A|VcP5NPmyKx;K;9-oXB;#ou zZ2{~vwseEj{YKk!Si;K~>jsqr#jg+H}ligt-W8{1dD%O}jA1X(U*Ca4FW=y>e%{b%YBap=#b@qVc z#x^=CCya9_DJB@THPB478duWn;G}UdEuUn3kpk6IMk{^oWTQ6?xK11Es3SjPJWMm_ zv&NypaFb%(L-U(+#+#!-oi{Ek1f&`#egS7`#?R@fr5h6_0y2ya`(c-9w4VT)dhT{51gDZ^!B^*>N4Fgo7=v(V^5_qoVu z`xKg2j4N2kt{R6@p{y1D36sJkNp9v!r1pYsM|)p7j!C(yTd_M8Fj-!RU4Dn!08=hF%1ju8mp4v z^q#R_6Q~+v6GeeqqwO*<8;qOAgL&WBAc4UHqh}jrjYc#CI8DZ1>FS$|ON~}wv$33t zk4MHSe*hjEZ7ZPj#HjTEJT*$GdVgj#IRl;>k5X!XVN9c>*kX*J^0(FaC(WDMj1BaB zUK)$2p?GCnLq*GLyfa?xM*ZJ=-JxzP4_St9Z{|{95n@Xhc;$_N3_O{v_Fg2Ed^fvKn;Cj&X=ySj!(-%B| zk13WSs;?>L8Nkn^2#3ADNxcEG0Mk(FpaM-*319}9q%>m*Hsw%24l&gy;VME+i{?TW zX0oHA<*;c(BjAYXFS>c*rjn14StCqs)CWeIzM@7q%9OPNPNPj%D#1)JB?$qErWAV7 za?&)1uIZGiZ!bWysrd7wx6;l8ec~?zisp%*-b)$(`iD?p&h0Qh74Ehz$byMhAzzx%YAHXa% z*--4PFj-ASFsw4U65KU?O#!af^yxK(^``OkR<6PHfLek3rUg`bHJZ3IOlmTXr7+uU zDiH!6ncT`@@Wd481nQY-D|JB6O+VAQePL2j>D6jlNe7_Kw5u1W*QQ~9px&6`tQm0H zZhCYN&}DkU0vybjD2h0mpVLEkGW*e-%h}wWLb!`Lhem&{=3*~UZst779PZ}SKrlVb z{pbLAnwedIeP&yVTKmmM{Q+L)9s*pRS^1_gDGJ6 zo5%eKW`KDO#f>0y&^y=%n;mHW8Djpu7R*p{^Dr2MnHLR$X1G~J0WQK^Px~UuETD2O z+WhTSP%-Aesk(|a$5Ea?YA&O)`Ivc4AnfAI`5l!1;>}+xp>y24Bmg=m%oD!_B$^YJ z08W{o(-O&M8O7JLW)<}{=go8J^?$1Q&UDDq%s;QdrKOvr{sLr}GwHx)nyWoQWtpd) z$Aa1BpWXp3n2$QbAjkX-Rc;r}p#u8%x4Gs~JwWD}y{M|pH|Nj*v%oxU8Qc__3z`8% zW&;J?E9SrIp>oxnI0VZVn=2SFOU$!gK;@cwEH%$J&6nIDyJcS16Fy7LyX^oK<{du+ zYR&Tr>dZC&?Jo1E)u5~m=7KL_c;D=!hv5UWD|I4`X5JSNJ~YR1uwavU>jhBF=DMFD zdtx5I0rlMc=m)I(!rZkBRGWDZz0rMXF1iVLW!6yz_S$@(p6eU)u*qPyoB8y@@U8g) z<=uDYPTHOCt>)iSfgj9CbZ0-BM^e@D$!vQU&|y~6X6rQX*IZF0M3>P z^hI4PZ<=B5YPpjG6*o&yXMnqGENF)i?JVbLtmk8~ zF7$-GujSo$06)u5l*RomPY**Uz=Ek00-R;`P#6YTX4CS)7AZa05X(OLsdT6%Xe(60 zEOr#G4qLXp2Six5)4GwCsYQS&%jdLNqAfe=yTn++=|06;e5j{9YRR#l0{56@XdjSq z7JDjqk6ZG$LFbI+7pgN-Ec+b*=PYh}!8~udz=LM0MNJ1b&GMHQnCX_M*hw}S7J&hf zX?Z|*Cd*F(XNEXxPn zv(yBGS!3~|g1Oc*ycSSrdHXwL^_G!)01Xxc)e`qDNpz?mSQ00|S)(On9hP`#iKv4? zlO>3PeT$_u8_ZVA2RgTHmLZF};rzX{jQIt^*OoIhGI?VeJpoj^WtInY-dlb?0Qh7X zbq0oAmRZ~3+rfT5_Majl^s%2wU5>x~uwP&wVE@ZOP(k*mxPV~$ zrtM&c*l(ks{e;?A1OmeB!|8QGxP3DP^cZ^yweYd_duS{eXTRh(Fyrm-(KtWBKD`rO z674goY(8Zl?G8w`+DB1$IO%Ri&GWySM> zkgjy7$KR{0NfZWF$5EZI_RsHO{BIeZtljEy_}tTB1b^=*Dl)BZbT%EBWjg^*%(n)B zGjrl16kHk1f^hShlBG~|XZRH7Jec9JV0tpgx&Zr_l|In)VghK-L^Dpup&7%(T7BUr zmf;+RFo~H`4B;u}W(h2knSbf+=V_)R1I#l_NG&YRGLtGmr7(?DBc5XxE@V~AW{P^%OmZJE?=V^Yu*6-4QxDC1%n*tR zHOwGSm_KK(&=mFsvtca^T9^(R*|##~c~EI%4m;EQab^$YheVGXeWc%!e zESfz>ha-kHjsP>3z19bClzpB}_2)76J`HE$*gxpu#j{J2;QIudKLC)gFW{Q zR3>|d&V4rPK%>7L_M4Bf56}4dQyEqKAS4N32W(O{pwDo{zUdI9LyQY!fNgpw5{g-Q3I>_KT|whkU%MP zVOJ{E|BJSYVZ8VkdOfgY!sihG{zWzZUOKujl$O0YfzLnsd*X9>GmV&6Od158m1~Qk zv+Cm>{JmO5v3AYOZ^2pXMz(*hp_sVt9rdm2{a?WUUxA6>Z%ADR&fhO7KW^NMU~IM7 zR2u>D=H`_UZ%L+s`qr)cA>LNI51j2|f5rm;*bN3}N9ra>ccxL6*maWj)xYyj;qTq| zra`(#@;UVPo}pITIzJSDJ2J2KgE+*zZ$~2Kf-^Xf-mA#^nebW8%>RS>{|aXKAZXPw zLuP|p&-7mmXkhGm0PZu}rvMt6N%Xd)jcJa=#k^!P4#VITbG{T*J2Pt_WKQhTgbou$lDq{8*Mw@)7n!JT$H0>|!MhBUrBhxQS#v2f-qW zowOdzXto!f_84}~3@~Ha$>(5rl--^LIL0b;kj1ezHh6GId{R?3QS#q+8iZIlv6|A%*cw_EIINEH;BG;cQk;zsA47&g>8S zi>#K8)K#{Xf@?XunVQvlw(>QY4Qws7ArIJ}>0Rk_Hp~^W7wj_{JGQd7M#IZT)^ z-|?K+RD&MpOrdXaf+M7`mcq%BfI7!nMrF)-&MbOIo66Zn0U?+3-WgOL=T|>?xx^U} z54g^mZl!#6gHuA|!)i{<98h;SF*Lb;$fIb<_G;lb?)zf+N;V#U8Q$Ow$Ke#!}wU35M zB6pbr)G4kH_0h@P8Bu`K+>P|lcFu6uQg3pWTQ(f#DcrxGf=cBU|M&ZgH16Re@RrG? zS0Of8+~XTzkuRswcNl!FzdLn6gTR*6*Np};9mO|D)+e(8X`X6PD8lD|9^n{(FNRx+yRkTw~1@~ z2f}9V)xm&ATnXL1$J_|oJ5RWMdIO$v2NLy+`_nJ%|3B7?>70*EZ3lZ?z${oyST_}% z89yh%}Z8!{_>qUqG<6ACu#(b1^6v#*2Z5EAkNl3I8IP?o5sXUcH!c^yc9J^I1QDH&gHyvV+WXItz!G zBcFltVFF|TU#5gkt3M;XNQ0C>hQ0p3Fn@FhmYxM-xRsv%vnRN z@Z8cme9o&niq8cP(&1pCnX2MN+h#&@@yx&R`MdBwq??XW?YwybrMoSYeuU1}Rq6QK zx;7J6;lOC?=-(eYGJ)O@IWdEGz{Z(TOoEXMQ$`8Im5KTl25!s}y0`AkO?m(x%+p6O z@MPlY?C)d7P#oOP#O1-M7c=uWFb^>2oWS&E`ox2IklEf3)FEaq0mK$S6xCahb_h$^8{$1MF7cJ)jY4X>?Fn2kICe<3;e z?JKD1W?q0;-w=bp4I}Qtjq%$K{B0U1$EW#$5gg0!Lin}6KnMM&?o=85932a9BhOJi z_Dk>e_TNM+mZ-E^k* zyt|Fhy@#iQ@4?K*X~9FAH6E9^kKxBb&7ZNJp{aQQ(@2LPkSPcU1TmXBU>D6ir*9C$ zY#IuPWwy)*9A!RZ0m%$I4w|Q#QKc|H!#MZ@GMUTo!OUX5p}H)anN4Gn3ryn`P&v%J zZ1^l@$|#4IFtdXoyT)W#&p}wp*xm(HF(0UOtY*%q!`mHZY6o=gF`QLk)-Yl5fLbPC z1nldWmI}z~nIXGCH87!6U)=|VB_1%HetR1Xf-qaC?!2&mIi?N znDI!q!s!#{bDFL^Wo%YM_>7s_40z7$-Ug>H7!jSJ7RGV}_N`28HJELTn+D96jGY0@ zS4_}Zn7?LDP5``NmIxqgXT&DR-ZEpa1Ku;f1Hk;iMA19kk4$2Btni6ZrqcQAU`A3m z+{rxd0sAf{XdISsU0L+p-)6#tUhVA}Af*{zhA&#*qI zifzuaL)^hkVO=_5c#gfB0NHtV9R;{lHj|P`8kt%)6}b zC{Xv1_U;~*p3`d{!{NI$_Df6oW%6FyQ7{`E_GA`=%;TAqEQ4$?WtdgF8M0lI``<7trlNAqs{ zy?ihw`wd!(Q-8m&$LGfGRLX3+NsY&5@o;$C@&jeet#$M8xeb#rT-1c)-LU_5KA;1- zYiJ=<|Lyx0g58D7VZ3M6S~%TX^Avn*z!?1Pzy!5JjKtg>JSS$WJ($i+Ya_sgDe45% zmElDJ+?biPsoa^1G)M4Y)>0Ym$(U%uxsOQ~z+gYanF^WJi+M@0`~dUqPzb%58C3Kg zWCFj1y)R>{hM_;BkOKmlb`2nyc{>IW%2aNK=3(ZyG(b3WU_F?T%=YJ?qM4aT;X9W3 zAq>(hmYIGNJS~FOMlX3e8JQax9>LQMm$&m=km+t}-`6VOPSK z8NhXB1EsN>jE^3dc8jqJ72uXK{xo4NXUQ6u`WATPT8ty;<(-^^??G1=z)qTN?Vg>)# z-z>J$7ma1taKSBNqv*k3VdLh4d6j)r04Qdkeg;_yo23PHot-rr)D5=RN@(6>w|m0r zEp~h>F0G7}P~lb1hKK*Jno6cz`ktx#8vGB;daC_CGCmZVJ~1*H$GTYAWy#QSWqaHJ z9AH^03NTWzg)oSH)*lw3?9whU!`X;cFg(GA(QG(@T}IQ2M7EKB<4EsGuSV_0ad_0&W2_oTOSCOBDUujFfU`nDI}J&)-lu^Rj_H)+SRh7r$M!jt*3sX zp3QfM&Qo?Z#iVEKz{Q}Rvqs7b@7NwxoW5s|{sPSp>^3@duADMTJ#L(|&7j;l#dL+f z9N}PqAIEYJ2L7DPyMPFefd&JSoPVq$IE~^==>al4Kp2V3^3o4!CMbSQk zGh-AqGdaHx2X&dVU?8X)oUv4LR&&z6g~0<(!f$}*ob!H=z2#I2py|kEsgiNx7Wl)N zGxy?GFmT~^4FR}vtKM0`4dwpn2Awc&7^VNi+%bOu;<*`gX~((O9iei98(a=J$K66v z^E|iY3}mU?A0C34#@#|GFP-}+5wZ;KVCvN~xsBAET;*DtK$UaNTLHD)MHc~&xH*fk z{7deL`85Cd#0{fMbhFuT0dCxF2GQWx!=^WNL!LI5X!Gy08Ki_ofQ>zsSb;WEGeHH} z%<2WrV4J5NV20RKx5GT#W++1K|5a!Xa$JGTr3y+T?Q>u;Go32DO$l@n*6dCA+ri4b zq}M%;Olb+giP^jp;LO-8fG-#3<3ebEOf@|uKW0`7%o7>w4hrlinPXI|B{93H zEIY;A^@dI|)3^;5rf zi_@9C^c%Ab#-4U#CSymyhv zqUq2*CXZC=n9@_AIvFX2y)Gs&7EA~B#s)ZbWJkn->BI^t_c^nJ0-@=`dQp6EWz~nl z+|NdZfeK^a2GIM5!|cKjAdj%WXTd(4Jxcv%1lubY%t-btI{Z=WYMRnSvqn0-F>LRi zpfJb03OLFhF9IB6S6{$Y#Idik0P*bEDrg>OC*Fdy6D*&`Gl}eVsuVA=Hs1p-v(6|x ztq4rkzW>{?PT{bfz9d4(bRq`6E=4m|S`je~Ot-8#I{- zd7uU=efh9@XEaOoR}IWz69b@K(-5tpQXp zXGcS)7TXnoRq@_XR>wt=MYb+ zB^Qg@9>T@qkQRI{8DR^~?<)KME%G^4&89_};F?!t;nQ;aF*x>L(&*`@uV_F1oH7ZY zBOAlO|E2$5a6jr@0zRkCUXIUcQ@mj{y)_h{3nE8BXW`DJ^!{Vf=+j`WiVTL*>RoF5 zz2*lA#A`#x;_vmMkpG>|j6R@8PoW9Yn5BXE9NX|WIOAGq#x`RyRbs#0r*Ab|;DHO7 zbF3cH)u)C*x`uNR`fKfJLip$ICE%~~kHg>Vt%vDt_+Ojp%xtiwzVPou&tSaqV<^O% zJpTcI^8hve-ZF#+Gh6Rbx3TTW5762ENC($Z?A9N^ie@94VI9MM^D`ip)$f4DDYnHQ zkjx6!!uB+KXe~6)u>afy^DN8Bp!t6ad)yUn&avL>VR)WRri7i!jw*mo8k&BKG@oXkKBbQr~)&?K=d_3U<~L22dwCD<%VyIH~ghr#Q#x z{a7;R109&t9DyA)GdX=6Aj{&2DFtP7VyHj6z}ZEGR1s&f9wIhW}Yt2h(ZK&P6sk%HG9&evB!)o?nOfvV;7n+K|n(}U{QHjec>z)Q{> z8VtPR+>HRd=2R!a*&9yu70B8-UwMLh%Xvfj;T=asA@DsX=mX#b=buD4`^d3=+zR0* zj=~rA9h~)(!0qIerh@9?45m`wfomBC3rFq^YPX!Y0~bTZnJZik%7yFsCuFYNU(&&J z=gwOL<{|E2+Ce_tnQI{P<&K(;75umd&w%OAZKuN*z`g7UgFtSj)r>0*;yy?KH<I8Vqyjr7@3{e-mgmWjf0M_r0GyFIyP?}>B3nLv6LEZo{?Cl-Zy} zj5~#EWVZ|8Y1p?o8u@(Qr>M2jj$c8E>f8a>#+7$~zsYwmcvhtRin7b^ySId7?;aIU z*2nRR4)%Xwn>A=dSpQ1EhO&fRz{ay13&Aph9exYqMD}nns+`U~>W;D*YzvjmWZRu4 zXYU$;xP-my4bG)(cLiX}SY0~%UB^b80$7hdDTo_bRXSAI#fs9xvYX9!)CAnaa^6MZ zURH{Za`&;0FM#c5KhhjNzz&5$;voB@DjGb*27ZmQ!>m(plpSH0E~4xxo3;ShF}A!M zz;Tu~3*ZEsMecW!H69A=SLR8X*C}?BX2xmujH3B(Oz)=izcb8#HmGM=y(R$X*z9kh z#d-EwI^-{~7q5ZcVB_il+-I+U0eHaXQGou7HS>Y^Lw0T}h;LXOa@4nM0QKb^8%BH2 z3|^k%!Z2RAFu-tLEeOOB{Q6+9Oyo0P()efc>og#mAO8r2lek|gu>Qz98~~WjOVhq! zF@Hz>UBVk)1GbdcCD&ZWXHkx@oR2&Y;tI|wD_F_B7|K@h(G%n?{_W>j}@XS2`$9aYIAfDhc=K)Ugua2Oai#(0ekl%R- zReFhs1OfcPKOylu{1GjicX{WMQ1l7kOY7KEzNHz6&v=D)sPj1=+zG@N{CA23FZtkV zz#Ommn6-ef`K|9!+Z(=|c;51{w3UCyAJXYqhR8$tM?YblhG2h@6b5X7aFbveD29Ck zFi1ocLD^u@r7^G{#CBRChKNmL0fve#xj`Hzt}X*MT7Wy^43=Smryt{Vg3+Ii zXba*1mM{cO4P>pyfH;QLt`9Jl@l0@zW9tfnWr~B19}VhMHkkGa(^$*ZD4frXDgX=E zSF{mY$O6fjzhLG8tY)1l%UHu&=LXoyZV6Pgjipn@y`5dm1USe>=K<#-)@mED!>k}B zDwr!C5ID-b(g2RJ?D{i*zh-jcubv^g6pl8`v8xf=)pmFrxy9 zf3Xf_QQJcnK=JSqYqk-@$Lv%Rh#7ozSAc%JVt)|(^8>#^ZUDbeQFS0Mxfoy&-%1Bu z<9I}Sh>zzl95g#8@HpC3PUMwNfSSqQJOP-+y97dhHc#7&O6PFj{lJ#;O$waL_%ahL z%lY;^04sPQTAx?)l5~`|iWlDxfnRt%nn$boh{^zK_}S$E79TwiU>y%T2)Uhnb&vzi z@8S)*gSwmNZVqsi?_C3Mj2GJgaGal_V04a$e+ka>d_fO@3p{@n5O4CiwE4cpAAJLz zZu6n{03PxaQ&IMa526={9`oTNfW77I$m!nkS`=?G#3)Y?`-$~;>HW`v!oz?zgG3t& zu!F@?TDN`>en(LE5Rs4u!J%SPSCmZj7)ic4 zS+rUV@t=eTb##g-wE);uv4GAa7l;?Vvlp5`2OT&qVCDaS&_>qr1k~HgJc$U|m{XJU!%5IAmz0kxTqD3SG?uh-HfZY|zx54?Rm~{r=g_t}E0xv}YaumlaQK|r_ zgJfp~>R{Olivlvad@X^Em2bKN8z+CHSw3E-QN}z~{zwLzCUa5(FW!0^a zKOmn+f_PANJ^RX_YYO5>BjpZi(?+v4 z0F#XJBT&na#_S8gW*bK-)1G6Lc@DXsja=h_Ei=l}K4H1>9a(gRA>nckwZTvqQQJnN z`~VbgGGkeN(r-)QqYca)7WUs4boYc5#;;yCjN<$~kQ)cXJv%vv5G zPBfc50+wm6-w2@10zZQ|&wTR$V7_@U7{mn*Gok>{g=WAO)V9d%MLSN*97r3YwPv@Q z=*2p-`!ulZFmKXH%1$$^7Kppd8>awvn>~JlGJDJ~`h#V!dGbD3_L=+0T>H&xe*!yT zici3D(9GNbaL8;H(*1-e3K^?~@t_5`pe>W1; zsk{K~%ct?f^fYxo-xvqt0^Wos(?Wi*BEV|?O-XxSRc8Q`U9tu$c-=7`yMav`E7_!Z1Hn||M zv26FZC>zht(NZ^&#ask7i47@*)~2x~a9}lqt<48)7SrSsiy5cTzl8mD24zdxqq)w_ zOeCUmy*?3Ocv!DYTd+T_H=hmm6jwo|vLilq{`^d^CXWN|~z2 z*>|Wys?xt4lo$(X<9FTP*UNC~b@OYMt(VN!D~D4r{|@X!y>fn_mshr4xtx0S?!cUS zrBvdd>FXU>vg04hmHJybsOeJ9sn#qck$;=3fKtA6lq&+HNvE&kqA<*dbkoul?rti_ z2R3vkU17|^In2CRXr)=rdEG=;q5GESz8~1u^MgL*%a(GkAyd5dPmggLgO& z;s3oec!%>4{@*);cOYKiIn%#(22bhtEAj80!DIQsA^d0X?|OCx|KJRs&%(0wkBCh#bNrWx?Izsa|F?+!_v%ti4=K-ceGs1ihb5+7C0BUH67$dS z9D#&Ms=xx5Fj`gjU&OWABf3`Kavs-OEr?#b^aWh&BoZaKDcy(ECVJ?N-*FAQMwIZX zbYDL=cwFJ^|NM%IgSK)R|K2Mqlm#wk|K2Mqm>zgXr8tc6@4TYoaK590bnIVxMaAKK zM}_k8f9Dkyhw~kk|Gc8Y*Wuja?`bKxa@%xY+C&baY7Kq}XBHk(<&4XrY$BIZZo?RE zyo{`@>H0Csm%L5I^IU<9#6RU`1|0|0-0ZwQa$ZNDKqltxZvG7DkRo>u<+1G*1ae9# zyxh%IC#jlT9x89o+n^ToRK~SuxG{5@^?pT>6uw^OFuF6(<0_^zck`7Kt#gWqU(r?M z$)(D!xdyS1-KBXQ$-WeMy%nG5>_mPa^Wj-)y05s^G!Pn7d_WzQnas zep8d#VHGe;pmpg*u@bfi6msa(=#{KQJpvGWwRP-Lw4xq_0yNeG~ia7Qklqnp)Vx1XZ<_;b@Vb=kz1Zw>#J=;@QED6WGZ{z~%7pG=dDZ zn++js59>-@+RHYO*85m>;@r<-NTcKI3XEvnV>?Nm;XDtqjNnsAi;?^qagO3INtx07 z9+i#ZQ{aJkedsRraU6HtA~>FxAl3=I9rb4-UqE)t$fzKGcmd>dNkXu;mR8}9qQypDoNj9-FdFJ(<10o%%ER1h}f6zk+BTm#J; zlQ($;QpY?)Xq0j;qZ#XUpA3;}54p1U1)})0CZ60W3fDZ5)aks<$&mRDlU4IOzCuMd zxR23iJrTyRl89Xfemb=n?N4Jz;PKAl|JHFl{3v)6+&6hREcIW9AWA=K~`SR zy3@FBVsjpo|8HgGpudyB=LWe*zM-UF#2@4}?Q2s{I@KYcS;+#a(`)%H>hC&!jl6n2 zZ+#bF13yR@p7X#1@SNu;}RDIUuTq{J|XYC*2PUbT1* zuvb~s(mqx9DZqY}lV;2TwTq_8LHhX)9c7P$$Kxn_I1!JkN#wD|)E5*rkE?}Lc0!G( zc2BCORLifbAjO4Ksyk_TTIHrO_)Qfi4bLdYJ}Nwm4LIsPr+U#CoLAFmie6ClDcoFC z9bqT+yShhaxr7J^;$;;|&0kRsN$#pbfACaQ33Ax$stAeSP*2F(H`Q(osJf$$QO_Q! z05b6_g$ELFf1|&H;3y-Obemv&@kiE3RBV7d6*!3ILC~kvRF##~`|8t4-5T!XWuHzV zLw@E-_N!$lU#mToTCDd6&6nU})LzJH;%xMgx)r^arh3yJWUwz+ll5C4eMwK}l1h-( zI!&bhr4%QVcU?h)pSqcP)%^(>?3>c0N*}z#f+p_+u z&8CryjAPHJ#fi*|oFbD=BKOhkV`BM{-K9n+I~XJC6qZ1yo5o^@bs5`8MqbW3k+D{= zJT!$?vZd6-Dt3yxw3>O4Rn{;;*0oq&D!b3VAz4((qBU?S@@g(t*S0K&|(SYpHPn0Bq zA>uYolcAypagGs1i8E7_B}*?9#c4PWh##EZFP_n$oe;B0nUms}gU0z+@g2#Z7qzLs z7eq1QxhXo4i@p)R(kQ$WRmoocl?e>suV9w@icXpNBr2uzcVMsT(aBCF4rBdHUM zWM3M-WwHtxVTF87Eu55ViSswv>=ljwdHFRB>m_-R3a`l#WVT!K3=Pa(xssyj1L;i) z_rrrFVEvUpH9tX>qA{4HLP^7)6rRB0WLI@3lgw1J$Uw8z17ev6^8s6^rjjidD;xEC zxytxMwxefNRcQ==Q5gi*DmP-?pbAnz*r<-eh|b{T)&K+}=OHqqcWEf)q}IqFooh{? zF4yirqhGfQO^DEa)Zf|63nYt$@Q;*i9vc&D1vlWOxIS{%Q2KQT<)s7W7{1nsNM42M zS)R(zy3&x>GOPwoxR+#=GnYz#J!Q0TxB4{YtC=1>N-F)!_q?#K)SO4^3%8AeIqJtn zh1x@!$H!LDK5?R`-kK&%{abUMsh3Svrk=LZFs-3q@OI34+JY}<)}dBBS?OGtWvume z0`F^PCh-aSKuPJLXIRqE`0ry1S`(7ElKNJDl}pdd*G)fu zXjHH+^yJ5xo^(cdnocDm0<0t7^E^Vgv6Q<`S)y|5O=r2Ox8yZ^^!;I6SvULg6HMPf zqzp5@XKG?t;@8&I3_ihKf8U&!x4s?5yDE0xQF$6HjhH1Cq*e8(-DJ$zpvtDefcxLZtBFFMj5@u$H<{S z-U*vN8N~gp)Fl`xYu{4dG>85w4TKi!cr|A2SkKc8y;Q4`y6QHb<{l9j9+#ew-lMy< ze;W@pJR8JBM%!bOQtZLW$@+4H%Bz1I3f)sqi=0;7y}XswPgW@J%+aEx-h7a!=}YaP z%G&C(sNNjG-L23=d@s|>8*`;UKf<-XbA*@BUGAt7R<5IbwP_tb%_n>4daY5O9LWQ8 zuAeag_PNsC%Dl?^FstcxzDMYsc|<9z@NK?4hko~nAJu+Gcp3dPQ+nwKJD`mB6YiV2 z24+nPm!7)r6W&#Couf+WF)4+B>GDtcN$vfN=hqcuMM0~z?y4 zo%02+uKO+*r92|zqwMjavG%a$@w&+kj8BIb+}%x&V&cAP8W$WJuebc7e09tr<)L3S zlsWYpF5VfT!Hw2GZp;*H{VyRKJ6`s%S~RDkusT})>B@KEldXZ2F~bR$nO zTQ~5=7@Zkp_^in(N=ZFtm?8Da$Gn)8;)8vWew}I*u`1^gp+fsDk*1z_Lez7$Q&R_f zNk8rFr<654znIN*gM#7*eRrxTs{?(Nv^;&qH6vGWOh`~{Tzss}sa#F%6D0hs#U+HV zp-AqEjX)UiSzBR1M^@V2oMFUix)ItU7Q4hIcZH*A0 z89Cy@;EQqb!S+y{uo$7_QduFbm}qf<>j8B!4We)H+*XTN@i>PrJxi3=y;_S%9eYgt zs8`h!KKey#Q4N0x%Fs1Egom#27LL&RE76)6k#Y98m`L624_;6YaTrytEq2jc=%Xu? z;z5C$c^Q6Ia67Ty(<+-PntJMla zPMI$Y>LTMr$%$8FZax06^tD39i5E=IsEZg^r6c#&<%KM-SKX4it*8m&qMPnDRiv3T z^5KnRb>3;>W1Y${>@m~CX<^0A5>urvzEkAZ*EUH%>;7CZz|HEtOmODeB_S!raFU-ZV9|Ymb!l z#5DcZ5=VkJe@}i(7-X zi8AhbZYy5eI^{xI4)9HmfeE%v9ZEm2M$8? zNO~u8>Dwp7Q@!z~^49~38M*Zz9b{pv@~@&avpSv=a|y7Nw4V|v;( zS&&uIm%CwNzl&9Fy0j&{^aUng=r;WkVCojfsJ`K{kS_j-QOs&4WL2R*ia^gA#i~5I z?`Tzo*ALXe)eL`YgCUzKJ*ueTuAiSW@;C#VZnIEGeY60U(oj!XnCsLUsIb!jRZKr! zsB&AAz2phQ>axIC$n=^2?E8O(9u^>qYUhl*WGzUrf(V#w0YF zz_nB-xZ$VGjU3j9!g3+ETKLN^g^oE1d$;-q!Ku5O%xB#xCciN)goRQb8mq3C(Ig}? zJ|M_CR#jeMI;IayKe!V7<4kp_T`_514Y`=<2VN`;i?uh< z4+g6I8p)(#EovwSGwahvGKpK;8cVE`xnty29Z(Qv%XQgMR+%Pp02bxRoTg6Kaj?*_ zc)5V-%#SdgqdTdB&VK5V&E#4uvAHb5^`CuIdA(q^%xV47Lbg@9q>spB#o1*Z!y29_ zU*)jy2VAg9mu&=B>^e~>>qHOvNb0^P5RV?*GK#xLRtmM(Zxr0XP9Y|rrM{PUr1oD5 zjp`LKeD#E2;k_n97G?PPPOKH$PnP0V?EukLTB8QYwpi(^%3{{bfwBnxvgt4x<*qLc z#2S<+On+yTw3d&T0jdy9`?!Y9?UmEJ1(Ye%BPk`lhCRJ(;CF$QtizM!j9i&b3~3FU zFD-7_7fHm&fZkkMgO|t~$}Kwx>bExyf1SKaPPP1gk?ob&CRtr?$q%CiPCzI4X8E)JIZBmxEhv@rj4PP%&o|4qLeJVRXXr~7+W&lq zyef5$K8V4zQd*Vw%EpFQYI3r@OONFAq}1f3#58NsVOhr1Ka5AOPy8x(BYnAM6t^~? zkxFPspixxEoPz20o|kQO_ZVJ4&%J;g=GzO1XO}n1f-0tKclgrM3o=1i372JUkvk+T zG}aa$8D$R*jjiIm=!CpRLF>0`a=oGN-bLJ+dPhbx0Uq7t48m{OKjn9N%vW6M!fvXh zGf?Rjf65ISd&~UR{CjeyEEMv2j6E#2a*Zr&2UT;KJ1{uZ>ikHaReDT4Lz*FRLG~Ky z-8Az@U`l-<`*NM}65%WVYl$VM|2o-D`@h2!+Eok*;>-x)YX!fPJDJYG)Jj^V+grPs z@)XX1l$k2Zy3shthD8MFnKw|4hf+C3=5Y8!rBg;}tG*ll5`|7JPvM~hf__U66~XkQ zx3Yq@)l&saeRimkQ9hdr*FQU#1e+0YKVk&^^z+0H3i%+P+ zy3Jt>_{`t%x^x_4HR*`TcR; zXGK@SyHR?XhnQ;R`c#$jaElF(3y*CU9%fYuSLL}G9TWd~c#By5RRgtKzj@3}eLD;h zWBAtyX(b|5Q)L~E!Yd@&yC)W#J*`DiJ?4Rw*3lTHm>!%e^Ju$|aMSgCL4Iw>n)Q+4*g~%a^H@{g|Du49V>g$L{*v+ zBxN;E#NSZT;d^*)-M@wm&>h?nyL)$14|TiOXl>aP3|2~Kh2f_ijNUvMQT@g}<)@*N zv>ta+9@46qrg|Fs)NpJx)@+u3ZWQ0(vek9JmfY96{T&X#^pPCOVQuQAqPU*9o)^<4 zy^R9;;ua(yU-nUBb*6y{S=ugrd04PsF&o2Bt*BLc35SF)6h4hKp>Q6m#lqhH2n4==xb&x~~ z7?ptJn$iHzOzCEQx)gt6ik2ip2dz>|tvjn!Yo?c8#h}hS$ctDJt5qFl1V@BW0y1cg zilA(y5)kX)8Zya@2Sy3~P+T3S7_}@!@a4#(R&H#1gs4C6fo$-(&w)Sxs0owh9nyv$45p?Er^f-ANhJVzz zm}8qeayRSL3AH7cF47H#uh;}WvEr&~l&g93um<*+w8ZZ15|R_s?3%sf`LNyujW_b? zV%Jo4tM4_O(&_p))i*k6CBoaeoA}ECx^OCY(^1W_kj9jUQ)S*!`#h~~&s8q&<_b5J zf;20OwQg%mq^YxCs;1oXc%zCMR#RbA^{^It8B>wyRuiSHuHHtxu>Qzn?B*U6`{Lv5 zk@2yW^j`~jUh8Cj195fmc*9%Q7Knt?3K>(m4)_Mk*z9#OzxEwx6x0DLu}S@^h~bk% zcPoWWT4|GZMvA|HSx|TQdUjj6vVO zk8RCYO+*fBLnXs6w{x~=uW%#H8X9g)Hmt^tjN{0Q-(s=+eJwn(+Bjaw3WzZpVXs;a zGa)11Xs?48Ax1xlH?VJS*UV7NpxpA4c2-nhR#u=tp z?iWR^wj+)Df}&4e>*yFGTIiHLXsX;!j6}-`#!jIFY9Ru48z771bZ*F_!(#3D2I@oFTV}NzH>toQ2r<5BFO5GK3M?|(F#Y&XqonoiV&kFGBUT#YtuIy?>zS@HRn*shtBqoM z^C4`#Z&+9WJFhkxaBHJwRLNmI-DZr#qH-Qf$;6##LjQIRo6G(OkX2dhP8!{repyv| z>-&!lZ;yaL+uw;yo4PnHcv~22)8JFaOsn!~Lx}8A+&TeamzuLCpEW!Uox3qo-h-En z5<>s70eS9&tHwQxT{qfueXS$5gj*XT&Zc(6UiwV3%BeTsG`gs`&=7lwP6^?~b@^My z7Mg7Tq;)x>9T%g1PTKqd&KHJ}?5g zPTz(eblNU!=zvGY1|9GY=01JQ$Z7dJHins$x_eniUKwLdeK}ec(7DgR(YIEUPzO7G zJyDotjj)ggQK3z>55v|vWiB_YKZUtWS@YaYFC2p0lclT^UgkK2N(zN13!62unF|hS z6mD~ld~9O(#PpD)^zOEFiv9L>u5Fh+rR%r04yj39?MbxhuI6Wkx1lXNMct6n5u^LXT;!+b6+uK6oVqGIyA6_MaDUM;asvBB&O>=0cMb+QRwHf z?==`4+1Q2AnwBluC_Ky_AKjoqqi}mvTtJzsfq`XhNYg9X!(;7{u}xBJba5U|*su=7 zMYxDqkb~@@9TQSg5|gWD@l^^9vWGWwAtCjHV{MMO_{dmWT(i&wq_xhv!V=r|=n&Nv z&38?6QL?j{Dz?VWB3*|dw)ZQq=3;a9D=snJhNFZa7YU{dqg*z~9uoVxi{z{VTrp`$ zUDJ}%9X)MvJ-f#zwd-t;NJwdq<;TU}G{(hFIb9XkZ6tCkTeJA+*nc#h)e;iTAbac= zSy)Jq?)4Lpqq_t{gBwML*qnz~m9we}4vDn|N5+Q6I&2t~SX-B*Sa+Su$hXyAnq;<(^&T00nu?mfk zZDgZvIav)1UR+G9?%Gfmh$DY>j)7Cxtg0x!Hj1%lbuiGy;Bx(M5|Vo)+CuBc#X2j@ z(jtOzn)2j6`lwWS9Z^v<*3M<#S%0?4iNh%xRMj4oRdx1g2imglD*b&|`R}``m=5ha zcelj{N5y1&VRo&dT~oeJ>fo%mrky;`0T+GWVX_C*>B3pw==75K*ar5{=qOho-a=)VXt)b&+%+XCp?l|qw#kW+DOrx?9EDHX=}YsByzw!KDedF_)0r%d<07bySl4zS zh@8kCku{j{U$|-x4#T9(V#h$a#?mzyR!$!iheK=fn9Co!F~h`B+ZE@CF?3_r7)-s)$z&=vj7MtX`rXg&ev7iLV?wDe{P$$CkE z*-lr=!zz$`Wovv84M(n{Sg@Bj|JF<9 zbrsoq>)&KTzO*QV1{7{6k?EzvDkW&eG^_g@}QM<`Ta2*@!PjaUkPO zcH)ime^mCqGHqbo_i>W_KjJi|^!bqC!`}Q)coo#)R8|K?n;#XuM0MixVW0jss_qhP z`g1p-M@5_Y9rS7ieW*CwcLH!v^xo(WehLY?_dq#F4CX&D{`sEWyT}HlRkmz)Q`va7 ziL!CL`t%R}_sTH{_`J_hFdVbyJ%Pd>;KYx2`u|bk`}|b?p+eav&Q>UUeBA_<;FFFo z%`ZNPeM8+Nd%{ASW6VNisg!85kZu!YN}qHz6#$L!YYnH#(zSaNv$#%eVz&Q!J0|KC ze3>nVz%A!(Jb}k9DuLH7D#V?iLNIY4zJ|@S9)7MiJ)0f?S{Zz~t?majYvC8HimX7c z))8McMj#nqX?w(Crqf-ztAGHFp$=@%3hJY+Spj|2&V2ROwk*g09ksw*i97m2crd=) z7C4>Y7<`#6mOx$@f!Xkio9jcIlkp|a8Gv)}HEd}xY@z9NzZqXoTQ}PTp5xuSLTR9G z1!edDM!g2gEqtA_4zLlz(bhkO|68-v{9$~VE$$S+SrUXc1amu;jr(s>(@;DgUv!JW zFV5R|0=vT;HawE=ZmU#c`W4`Jokg((o;z>j3FJW4SxoO&pNS%BouEspW~7u$5&X8> z^?BcFi&0GWV7BHD0H|Kek-)sf*adjf+r%s{98KYTJ+l@SZez~KkqUouRmN--33|VW zlXKHlaDBXO{jnHjf28fNcf?OCkBjE2Z|!?HmDB2zRc<;Pw1w$jP0d1%A*gI1CIihq z`~b-|1Nac&)CS?yIN<>JxbS!vf~&V}4KZeYvX${f$6X|sGI`&_Nf}z?vdSIK25S6= z;OsrEcJEiv=slb&h6R@`Ki((I>ebTpV!4OH zBS^K}&>#ixgZPH)$*s)3Y>f8((p<)igzNoZnklT0uG!kO^PFLNa%*!G-xR9LePv$c zMZAOkh2v$Zn>15`yMszacStVTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32H103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Crossworks/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_GCC/Prog/bin/demoprog_olimex_stm32p103.elf b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_GCC/Prog/bin/demoprog_olimex_stm32p103.elf index 4d5ca3a8d700a67f0d082379e5825688f95a9995..cb9f15304c855edbbe425fb96efa7f332853f3b4 100644 GIT binary patch delta 92827 zcmce<1$0zb_cngdoqJ~{W0{O41WSS!3-%T%E`{RK(n4FDQc8=~C}nW>0D}Z~Egp(H z6nA&G;%@n#=iE$Q`jfTZ|N4LHW37Al*?RWzea<}-7GBRf;6~OFaq;CISQJH7^rA|f zVp4J|e#N5*Md>i&2SveS9WkiXtMWttuq zLz1GJ6~w!Ivs~iaq;H$$au$3Sr{qzT2N_=~5By1!nnjB{Nh_P>72}dFHH%lTzesX7 zZ<-X>+>z9wx#qkByP|qb(+(di8^MT1&Y5;ecm$F zwB)N7+G}N_qNKi1+-f-pm$R-+eg0dj^5EMy%7copl?Q*nd37Tx`MYwO^5DxCNf*Cc zy?jVpw^%-|U5qGfUFoPku7d55h_C%X>5%&Tt5hW|^?9mwJ*hpKrarGHsZ7;OT|WJr z+p7EB4P|5E%HB&vygM%S`HHtm$*p5sr#?UMHcyqfDyh#iyfZCHTo1S0qMRGj7RUFkb{!;NzkzlJH+oAT89(5zCral+%EEkmoa~eel<=I?EVqQ}NJV(l5;$RBegMPh8m_`PY7;B&I&E{Z_>5@TBzHm~2B|L?>!F7QOJ*F(DmD z^wrSk(Wx)qf>Xzu4V*-SQs-@wuSM>1sn3hP5%Clm{l-GSt)g7w%E2&h1xddmTc?+LReSYp$(yEqO#GgsWTILU~Nkt31GNXc978zGTJ*6ZFRH7Bt zb{kbT7tc&Qw^)6sF(p0ow~;c2PwckaX(%8Tz_MsX?)HTGJ*=m)Hxh5F4XXlH)`-&HGDp z#cJB42%l)|lRRj{glv%;4)vZDei-_#%(N>|~i-`3WubK16 z=PBj$iyI>4`A1^0sy=8Hnp0LR^$uwb_3NsLR#l@!BU*>PD<|5kqg#j0mJ^jy+T{?x zXaVat5PPMF>}4B$*C?D%xzMXT;xkbvRQCh%p{NuZ_<=YfT81!n6cg5zP+l=Zq{NjM z?}VDYZ78my$Y>d<(UY%2@WdBFWo!-A9&O3EDzoTl%9pz>vM4ADRj*#UbffC3Zn)Eu z)Gdn0S@5?qW!jecQni6TAZ1gOXsel5khw6F7(ihi--SL4h&c0*??BrZ>J|_Mg9F+j zeL;xCZ)+5aS51b+g(Mag35CLh)g&BKgr=IVIz%f|zix4=#gIKp)&wDPm2KR%Mwz-v zjcb-wR85#aF_$g&tLij_+Ir6OGWw3bn0hC>1Jx)MN_()4&E{;L2bZc7}eTV(ooP_9Zm48 zc)S)=Oj^Obpleqmu+nIcc4>-PtM-wmShTX+gksee%u|&Jt;bG9v1$EoDvDkEU>)4l zifvUDr`Bbo9Q zTCMRyiPIL%GAZ#|#ekwDXs$7el1;1GO(@y5Sf8fk&{jKDC8u`NB$Qm*c+^;K%{5vm zd9>&+h4O)-3v=*&vd zkD%O^wwY8#E5DRtn&ssLFsypk8<+#Emip6G)Rg}14_(M}%uyA~Js8zvf{?bfgQA`| z8_5XGrS=(zX0WNpF%J5zP?5i4YnKhJ(f?1-<7~4}AwA$Pq%T5SI z9kd^5Mc99$!eFT&?9;uF8ghgh!rp_Np;ATI-I<^>>?Czmd!@d34?hPaPIXkPqo^a$ z{S~ibvM;61NDHtBCVRFomC(jUBD0#cLFjxV(XK?-LC{OO4ez76s1w~7zqY??!|o&| z6EgEa$3m}R&*j?+*~MQV?>e@56+$0=DLQA5+XS5@ zD23v4tKW;wVEQ-CgOBYoi^56&kY!3}|Cb^I0d4QfWR!GSiEGAfb~hqUZ#WvS@hm zU)Fu#4FS&zM4e&Ia-AL{o#iwVw1F0$3Vs3<;zb>ih=DR*gJ%twvO992GQ5c$y$aS_ zaG`QTNhD`mSqbrD9@)iBjOwzVDT9jGZ~hymB6qL`)}lT!UGZ&9S91;;(-m@&|9*j} zbt^nGMw*F(OU+ygJXKhO99^n0x>RFysmACsadfHWn^VphU8*skv<{mLOveUUNpN4TsFFiod`z0<+a zNA*QP_1lA?@9T>g_n8A=4wuY0#c}sQXiR;P^{YBm`B0N8wu;s2DOz!fSnZ|BDIpPu zT_aLbB5r$EBBdnav-c$Okwjwb@kB~XB$K`6!BA8Kks;_L@e{2YYT0@5Hkzy2UNnpb zR3sNs6~$Em0-`#T>c}pxSMW28b%^|wyc*3>BJ?lCy}E^B?GHisIwVFRq3GmA<)K(X ztPILQFu3T5v`%#4C>A0vdR1YS|0>uNWyLo3 z89877vlqSBiA+D9#B`9i0aX6S{`5T=|51O+mfoR$hDlTQYS2vUTg?q4m=HI15%J|^ zXNss_xq%`S+t$lyHH-QeL~P&QNl#mh?iZo@QK#1au&7u|A4cUXw&5p3@jr^JwK?f) z$Fzww?x=(wbzEDGwC0?39A-{PhS^b}9yli@$Li#?ubq+{o1hX&o| z!b52A;W0!RlCMPWM4vF1U^QrwKS0m?5!2?#s>sM(mT7C`78o~IVcHh?De2Xib||J^ z@XTDJ6l}Vz=6VO4(fAP>`IG`mF5iHEMTyhm7W0#bhkuJA{Dmy@oYL7g`5^^2^ z4fLStWvr7dsER;!RDpp$Bf1*3AeNK+A^Zp|q3{Z#-xGa8?n{Vx@6 z+$|Mr1csDX)B(yUipYUh~)inC4=-TgP>bjePZ^bQbYkMlpuw?n7=c~ z5`!v*V)HP!0)iaGd`iY1Cxaj)3i@*u1Y~%S8UBun7d53VV}U6{TT;8G)Mkc@S(GMX zA$-mxGwhh2VUx5BGqhudO$5h|sH?;S^$~2; z_P`A*eGDu0GDfjB`bnQhfSd6M>)*itAfA_*82EnRnPipsm-2MGIMp{vAF$Sj99^-P zNe4hPYUvL{g4w@F&wf){_DalXvd>BbMr*V)hbtOX!$bs2a8_{rDh!GelMO>hJbq7U zTDsC>Q8P_zJ4^Cdmb<>xIz@t+4TH6S4SzIU3sjkvQ8{KgJ+X=_9S&XWDttzfvZV>d zo@eLCrm{#~q-6^$>0j?z{s;$DMsET2JwKv*kRzG(d#y+X8@|qK#6%uFM3KL z4+KT>vveU)rBH0_aV$a*V)dioFujb`KjuBHyl})QE~ti9CU*KUQt5?M8qTb^aE5FD z>vSbhrIJzmbJOc+1dFg4Dow&VBhz(2eotp}x{j6ko_Q~uZRskYzNc~~T_y2E=wP>S zBft8G(5rNzShl$z9XRaD2NB^igZiFbzbQOEM^L4(R57}ClXMkOrAq8fx?9-9t`y9G z(W}Iyu!$?bm(i$nEl}UfsB$=?%v)KtZE>iA_yxVf1t^lwK+WhCZKYaC&L^!o+SUGhTlE{1?XPAjHb5t9wxDjv|EeopQva~T#+DM|unR^`yW$KPaR*rk@ zfg!en6Oo*F)=5DNMq0+hEZGLrZ0O`BE~gd)|CqR(iVVCXap~Sh(|yRF1+K&tp#d|@ zbN!@u7t_516_YPUi;=5YF59nZMdoUicqVjiBUb}21zgF(=*_a6L#ULa&`h0)AM zU#Dh0kE-RPuUE6KL*?<&5%q0F^=Qg#WSJJK?Z1rt8LAbR(-PLXCI8syVLo5`bzWle8LoGq63*VvZST|fjGby%v zXF}cEiL7d!gP|$y&^^+21PtaJ&a@o?5w|mKM?l2qOxqC!ejH*mC z&840(Z*QP+x%4*|Yzr*AQAf{1sO!ItC!0|5o#xv>I7FZ4%S#^`_D>AE* zv@-I&ta8(e%xYwMR+lMM6h6RNJR-RXguIJsyr?=G6eos)Vf$YaFTk0@zmj!SUC#eN zF<#5as{0-L0@3t6YYS|VOsScyksnpC2`U?JB@H6t{rO|^zJwoaCz1jIb;WYw%w zWh>N$7VBFcBc&~|j0QZvot0Ep4V!8*U4HkTM+;~=o+W-KJ?IS6M*S&rZX7K=dT}ZA zpuO;YrQ-BLls2)y&{~^|K)*(vg;({b%u@RGwJF>?s!@osUU;6&gz4Cumsz%#S!|G5 zL|zRw>wrp?+P|l3bBYr~Oc$||tb+xSJR91c=WNd*CZfx0Rj1Mq?1HVO((!a9N9%O0R)<3)I-**n z@o7@djge@0G%a0>tAg019ZfgBGTrzlsq{&@(x!B!mFyc>#qiG<+^3;rSUzS5p^eY8 ztXxjgrBc(SE~iViWQF1MJY6U|#UG>w18)Ke0J*BF&u zrRPi19qT3~Z_}};EF8>p->aD>Q1i&aJU3i3S++p3J?L4NBB4hraa+%aR&*A5)Dc%g z7dqqIiD7|xzj-rcWLTj5j+CZcMpL9M`NwV=D}FgSxRG&;qVzfNe`&?9`X8On{3k2^ zv7m^HeE+B=0!J-c5Am^S!woG|tA~jGVhc*5Jv7NRSBc0-?2+jnD#jM4y)ey33p;Az zs8D+)MHI(YBCjQ)IWFO#ReNJv11YORp5DBb6GMz6_tnsb9wJ`tbUk#bhX@1{VOUu6 zLs_+Yp=DA`wLsF#?tyNkD8-hjS{Suy-3hW{-9yXP8JJsgTbJTp)!GwppLG=8ZtJaU z_!?q;iFb@*Dh;E0ou{zl*7U}0Ik{wqw*D0(Yb9zq*)5y$v|f#BLq-ec0|$ztbLy>1 z14(n>`(bn-8x$045RyVM^`>_FA3^ubK~l7%&AoU;vJYb3?G$UrWP~f_bWhP#s2#6{ zKI$#X1tZ8>^Z=8@1St7p)}$T4#G`{;xo8eVG_MfbYMES zX(Zz74(&UqamND9AR~z-)O|wq7CjA0JPXHEw8mP=xQr%ksE6>{z~lN%(g~Z8Uer-C zCsQbc+;CIS*ibZrU>50m20`De!fGZ~G9+2cqHb0>M`Vt&fQLQtB0w zdebDQ1oWeyFcT1JH4O2+amL~FtC zxbPNjl@CKVlN4($#Y7#${nlx=m5g2K)K6kWx=NFDm7o5h@>9Bs%4!}Ylu-Y^!euxy z=pUkk(nK>2H6+=+L_HS|U|~ z;UXeH?x;!0=Gg<`y&qcg6{s5=B^T-wk~k(kn2K1c)Msp{1}S`NK=k- zr7%7N^}`mMyFw#M#Kt#^qP#uw|7DE`{{KC}{}0v(d>mqHq<!o2u8_~$tZLiyy9^p_fmcsR~g|2{-^t672c5Uy+dGOsik%#KyXJze>l%4SB`=fel3yK; zskP~o>MHdByb~%nR){6sp`y)1)8G&E^o)86_3Clx#W;s~^CENoL%F1RKLKAa$q}l0 z+o0fj8KzC%T`;CsVp{VaWV$NTx_1m^YO&hncE+zd_rmf!Aa8mz*>4>1W zJZeGziipkIkA|8tZC6ZRGv}7S!CQ|@Z##gFrI6pwaI6!JcVJre=AdX7rZw*_I{z!v zX76OmcW2t_t zq$GA?#akF1<3MwfUv+E^y=yKqCTwI$<|G;Ni@wAnW5~;u)sQdMLgdfM^HzNm?b&@* zYF1P9t;`%(0dms7j#M!GE$@VewZL+d0+RSv4x8$jE~0~|#xz{ijX8pf6yNDrpx&30 zNrq1--j$byiUSqNB{12?Zbbn_S0-mdC}S+RQJ6sPaYYt&Oyw$P&m`)KLQ2N$X&fs= z4Nin)B`O&cLj_u50WKM;+EQc+o~6YJ^tv2WctjzRlhU)Az^u+AtGH*JR40%l>c-Ea zZ^=|DgfKh)5vp2DnhmrLqwXvkyI#U^QnQOXZ4~PhQ1R6S%F&-vHM?LMq0FMvXa$i| zE))F>UG|QIeyp1wqSCjDHBRcjq^|U#hmcOxQ4+j=hvHgcy6r&Wg>osHMH>lQX>==! z68>IOAB#w|th=p(B@%U_?^>aANcEahy*1d; zI*U+KilcKB&WI~qwdKTchsp_)S>)bw!TLbDTOSLEQNrHR2ukRriQZ`@l!TmgXo}hr zRXS6uj80dXqUKAhmFd)(lBN^gg?(d+NVr7N_?E3>!?S@qAh%@eJsc1-|pu5;RZb`juJOR&UzZWX~O}Ku{Cb}6F z4Yh(4G#iH;bz|gy(JHZB;Kuw)u3hdaZU9%ZWn{TNmjXZ)EUD;^^V9wLTpF&A-B`?R zwo@Z1ITW~(?I|lEbo3kHFCu;KBxM&;wiD(a(M4u+o%mRa{wQ@V8K`^mZYWcmu=f+B zVooq(x=}G%3VtjFtFykArTd3cg8v=PL5%uZCRM+q>gGzgSh7M&4w0(a*%fxAtD>!- zIy+r;2UNdOlt0pScS+HM)J@pIY;jQx|2S|&@-65Y-RO?Y5(hU+SeJKXA)iCZ7&v!K za^TccvUR;5a(^2xqE}-B6+&|dRJ!Gr#~MX4!fzSq`!&W1tAQ&Ctyx(=V+`O<5+0HK zGmch_ynmKv(1oRupQRCWj+3mlUnO>eCbE@et1j0#XNKl{D+hYc2a*kF-|4Hg_W1eK~5dD>u+ zrwtZ)+F<#|X~UOLcH*?*5TMIAZRm^q6_-41Xi6_smvPv@e9{jaW&(-i^&nA@S9?E# zib$@CmUU<5%20^*)XXE>MHL1266n!*Jh-t|&LhKtsCsQf1saN&HjTi4Y4Fr8kf^Kv z2wRc7SR^X&esCRWnwJpVxoEnQtRK@h|b zF|L2EMpCmF*FTxIT5$byYo+w-pQL5C7}r0U&TTQSe=?oVVqE`ZI?-ZW|75zT#kl^- zba4x=f0nA8e*NG@n!M*PO_;wMg#QATRf8dfI-?!~L3`wqNsbzov)xYmsul zRwcY&tCF@~)6@2A9=TupIBmbC|D`s=ViM=$eof!4b_CKx__$xw_o%o!i~X8U?$2{hE*aH9fpv^KrkXhxcnf?$`A2e$B`InjYS-`M6)x!}~QK_iK81zvkn9O+Thi zqfe@j`!)T9x)d1pYqn6)s-me0`?XN0s;DAQRWDW*(QeLDdiYc|GULsVrJBh4Ew`h3 z+WodD+;7v5YYAuz&BOa`dfNTAC{B3#Ny)K#WQo%5w?*-On|@ky93EMoGulM-1s6Dl z75%K_xILBnhK5(eN-jN5yWi&F{Wkrgl!)>0ew!Y?-xkUHZTe-6SCh;RbFndIbYgBd z&Bk`q!tJKn*lt?5-8389O$)c1W@Ec);daw(Y&R|3ZklU04x(sg3-_Dmy6kcm3-_Dm zPnot_xZgB?!E}U$+fDOVOxrBnZkn4hownUHx8&Bx>@v6YBLuf_yJ{nvLzIh1*T@Ksq3| zo1xpEi16=gJ)?O?A{YTV<}9UBkao&t z$tjm5=X=YNr7XYxrb$*!rKDVzoN`%m%4NwZ&%m8>RdUKz$$2Y?i8Pb*y=BQ#haY>* znx*lF2p%n5mMq30xP{A-r768oEnJo?EtpT*vSevxgS^>oEu2I>-bAz-HxVtoiD)%$ zB3gJ8(Q4d8wD2Zk&}v*owD2mT)wqgi;Z;QI`&SXIH7dYp^dn5}s(8V>6P8u@CMjN( zLR~4Abxglz8i(z}xD36S44D`c=;}tzl;I+2d1W>7mo1-DGWjC}{IS7ggVg|}(Q(*B z*QTw!f=t|Sk-6j(6^PZ#DDz3f>StUMlx$8WVuB%KRWP}nI1qz zvLB&zR(VSPGwW3y*)FTRG3fe*)rISYaj}rS5UN{K_=5>Z-a$CI0NL`Ud9ir8ZpW1Y z{W<8{4La6rFkniFtCPmz$5d#%$LR)+39Dr4`yA^?tS)tA&Fr!+!)T4Tama{8o`ib(ie)a8R_3W`jFn)JUObJy8g$mh0fYWtiI1jn zxO+)2m8_-n;A9MKt|bDMZX$UB>RC`M2=S~W&F}+oa-l*B8ytp2anC?20$PoDhpo!ba~k*O_hYM;8ahm+K~vQa^z<@H{y@t(#s3@%d8w67`aIu$^voTQKxT@%4vm~;G_@x1 zw_D>t6Ul!&aJ4XNdk!I{5ed$P`&ok|Okz28(=8HqkTBUG+$P~24Ndu8O3VZy?lNtn zxVvBl?O_;Faz6vPJ@U^Tz|XtL&s_iUe5btTq~c3z~f?66KU^t!aIT;LpcU z?eMKDS~|NtzZfQl%I?`R{}&V*F8gElc)0Kjq`M-kT9Bm&4h6q*WS>Y>;`)Hs54;Zl zjTavj_0*9qQP~ioUrH`s#ut_Odo-dVc{-GGZvo0W&bEYTFc&w zH5DQL0$n%pm#z_1FTjrm_`S$!l6yh9Ag?Cyi3qMz3x)&eh?Q!=Z~$#-A`0?0H6QB_ zq9E@F^Radk1^HQpkENX`$b&^b`1L_Sp0n{W_g4$@B#@7}uUe32cYMtK)PlS#$j91G z6y$Bv5BReFCKAIDx-CaRp7-!Ek5&uvpox!plp1O?K;%o=Jrvh^LNf-7*C~$CVvk74 zF-kbpls99FMu=A;G(RN14P6~6%BM^oBJzpQl#sBeOc@}4Rg0-O#VJr! zF}X_GOX=0TWixz)qlkh96efOf8Ds>#{~*{y{&6B(keek{3E_?4EA1a!tjKTO(n*yhRc^H$g;) z?oJRz6Oa|GAZg~Qgu2N4uQr%(T9$PFtJ zmJ9E0!&}j?;Fn0K%7TA|<-%)vc)1O)T4}TFw@P?o3{P(187w?Qga>Ol1O7xT8IM_U zl*=HLDPcN)9yS_}s+9e2G?NmM8rr-Va5WYHIA17a+XhZ;vdX zO3E8h-ryXAK>?+yP*&#;qeaZi@O+y7Hi-=IUxgta8!AfBbm0Kbl zDfL%~4Z^z%Mt4iYbqEgP3B^wqfpqD3s>IhIkmc zH5de3K#UHUbqRU~bInKESwn>2A|AsyQ#TC;!2>)}HwC(?O69`AMZPs7bdNf$_z^Z~};F{6&VD6vzRE{w;abX1JVrxU0}K`Hs)sGo!KB_6380Wl&! zwGKtC!7;}BnJ}{oc+eF-0jPzCc@y?C$p4dT9SLJd`IqiAN|62yUj4-xCd5C#Mk!r{Y2T5X?*?6EYu=-&3>BO=AkuWQ43Bzsg3e1a%>qM>4oAn|lw0i~T#TlYFr){Gu zgVYR27wQn?#AA#Irt%pK0)|Kb9EYS-!nbck0t8j?7y?XHH5de+q%)YRVK4}4;R*hC zn`E(m!y>RBE)uhBfQM|tHh55X*`bj;O^fg&JnvO$J)n)!*o3+9g*ePksWC+y5J7&! zb>Kl>1RgwwV@$;u41z4_45s1?20=nPBkU_py7ACgLRx}(f=p>-LfR2NrB2u$#SMcY ztZmWhkyqb;w;9(rYs&cPqN6p)PZEP@La9SALM9s!BpXzMnFclN8Dq;A2AAL!9;2`_ zg>wNI(*PKI{jL39lsI!X8@3NQ5kcK~Lo*dR0%UI*u5lM6Zl1J!?8>45#>WIVJ& zI1^8}J>VmD3-aOqg?kr1+`I7M-h~hMDBuaF4-WS=Gq)1>kcW?t*h}lmv7GqRG|CMJzQQQeTJb%uJN^!h zk_Y>1T{(1&?{WP}ABm#sO7sYP7*X;^QD)x{r2o=@l;4jBNqVr3=1#4nXchcgMOWtB zrqGs7OpmTh(dFg&K5e4>^d^)%a+vSu_)9ao66($OUX)o^+FB?Rk6&8rN<kdlSjGTkjhS6C(xA* z()6~Qq`3K~dX#|rYzGr+fnjKZc4h`%(%u+e4Aud->6L8JyXdY*M{=7i<1(xKP8Ap-_w=$ zJ!qnTEmC4%GQCeQ{j>@VxwkVt>^HvK;75TtBz#FN+fb%MjY;pjn-pybGx%l@Ewo(8 zcOTgU>MmirjO-b4St!{hxRc7~r&D3_?@Z@gL_-rw@qM=*g<2ILcSu%pE6UP;Eo&&l zX__lBg!F`pls_C9t zg)09@M)OE2*PlkwX0n0$?4rzI{Cxp53jVAEGWIiFa|Y#WNp1<5Ww$tTFU9h0mX6LT zD6MVeyY_O@qt5XCO?Qlc{Ak%u)BX78ZIt3=neO{N)6e_y-FOKz_+dNK@h7QPW$&HmjR|YghI;hmfw1|owNX8x<_UTI1Kd4-G2`N)c(Db1OOkb-=Zi{GA zx|}BE$RA8sSWfw~v-lpZGn-=TDOqYQ)7e`x{p&fVQ$p0*`2)4i^du!%PWIS=tEt>d z4v%Fu$&Rf`c7yAD=W0Z?+nq^IE=9?hdwehYou)JQC;i96l>9S9`VKeKxA14BFj;-g zcgJMDSID`qd27lf;^qjZzMyQavwLWwr|jFwqnK_rg{FsBr%>%Kr0gug^uhp5kITgO z$K`1#vMb*YWV?R4l=SRPsXO~KrpHX=yT}U4j5|a6UN^1XuS`nHblLwejU(diPthx~ z|DTe>X;wcf{4GnCcQUyS3nksMX=dZkzQ9mf%B+xGuh(*#{?{(jJ9$ZeR)KGGEOmeV zh3T6G$t_)tk}Jl_`Tx>PBA5Qf_v7JY|2T)~VxKXyZgR-wk>esiE|_9=lNJ7X2TBfe z^6f81`KfX?JSW|q*_xD#vQ{3xV8+^3QpR^<`i~Y&htLG*|FIcqqHQmdT0JAX9xleA zCh!wg47`J+jOoFQ$A820>^kF_Fw zgmi1xJ#u?C1SP19=t6cSTzkPHffY$td|CM}E(g!Zxl~&sdwW?I)hfy{-9=8iM`g#H zC>QIuvcrxmNSUtR^8I8Jbwgj!+E$&p44+R*Z~Un+^nBS@f^$2OR8dYck#bhwCfm|l zl4>z^C|Wv_=9Q_`ZV@%itvQI+m_r!H*$VX!-Y$E7GnF z(#3Mu#k*S52H;j-#?`jw+5>F=+6`H{x@!>~>-8U(gRxInBB0cpc3>+t&v_Z?md}dd z-Fg^j@HSt~0=!M*6eM z_{F^*{M@oHEn}_mA~4M>kArNPH4bmV@ym-KU9j*6NLQ|0 z2+lM){v*=eGv5JyoOpQ&rWT759DSQa&iuGza$FR_5-DxihRudicW@cUS8Pf=)Z@QL z(apt&*fC?2n6en@lDs);E_Lm9e0ltce}U0ldeuf0sf-DiN%gXe@U2xZw}9{RYi}U8 z3Lmz^yJDFRuvTe%A!JawW?#5e>-b=#Kec=Z`d4O#l5OgC!@KkPU_O9uk1P=CEh=^g ze~2(~9!U}vj)FK@4CI4k4Z1 zDWuoC1RXZ-6ZGCFehjWt>i(aCPXID(rF$7yus-9@e2N@$?8RSg&~p`G-RA$5gQZX= zbWpuW71nc!{@L*^-J89;>VH;$A#U{vBk}Z%Y7aBk>wzMwN zWvk#1QR>z5V$KQb^)to7Sdxg_0orJ>&I6S(;sICL1)_T;5EqI=_}L{2-=LYzQS#P#_gZWgZ@Gi(u=&%ypyah7Yq9?^np>|Qaa5qSGV-^u_d#C+~BPKr;N z(W#*5niCo~MDKWjn_{*J8Qv1t?GSw_9&xIDCH7PV@3olH3Sgkxw+DEG)Q`9p3|61s z264PPau2`+^^qC2C#s{^0p_VSJs{3kU&lalftnfs_NQ9)WAN6f^Y((cRt@%Iknor4 z`4i0D>KM)n~m(>CsjJMSw=hFx3S+0H$)h;=~d#dJt1}iVs zrd5HxQ_pMwHqdmQoiWMu_zfh-nd;4lu_>mNiy$)9w1W}v0@JeKSx^_6ax?f#F_mi# zjn$?LwSa9iE%+HS+fBE*KRIg3ngP6HCOuc$AncfxD@x})xT33fnbsFhEf@Yb04qcd zhCi!Bn+jm1h$?Pa`%{c&eXS8&xk{}QpF}`pz1SXX33QWa{~KgBiw^|AR$-eD<~C7b zA+R0dYE_8r6w4og_?Ni;C34*@?u-W5D{O4Med0ncNFETwN&p-b5kDcP!{R6-pCdvu zL*$sqRs{;j#hy(do)nX!08WYD-9c!a5e>O4o)x){0lOeNYXBET_iIqREFLnVyCT}o zg2r|6;b;(Vi20WRZi(N11h_5Ya9LcxD-Nvz_P3}z9@u?Rz6ul`h?-n3ABjWdfjt(p z8L2)Mb_S`>#L=$!8?BfMW4U2|DTda7%o}0q0`OMUGD9X+xHahaP`}O(&`X_p7rfr; zUyMfjsSUmdhNy`%|3LM7?iL2A$qX5Xs3jRs4^{hf=^3tm%2{ZHdX{1ID7D7|c$lP~ zZ^ig~jJkyZ^;oqC&5T#qvPvhYwFbjXvidjuo}@;-12#qd{VgP?s_z)cO;ALLtdbSJfupfOt*acm$Hq)U6~wSHJii3NO{*Z}-7`rS4%3y-^=xaOrQ=j!i&J zRgZC>4Bwn4Fw-51P*K#O1K5Gne!uruIt1!8>a@)D)8EOj-q)zG$kR%JFx}RP{B$RnrAdjn_<@_Ce#O>3$=CTc*OCX6~52 z83gREX>1MX-!mQKUhlq1{SCa{S|P-KdLQl05LoH2H9P`)my~UTaM&L*tFslf(F(mW_L(RIU3w zfS&psR(>zNAOplcdeT~8ef30EWqBE137lZW;Trh{~wK)|J(_?)A zBlHrRdHyp}|C*yYNnbMqwnyuS`hhuC-^Wd5NRQxLG(lg$4ar2kJj0?%`VvOJllApU z;7!vnCIU>?t8a(iOua{IV6*hi`2gnV3m=0xSARGRdh_+^vw$tolRN;6bQ_0naIt== zE6^qSw+M3drF!1X0L%642cf@0uXr2SD*f9JfTie}*h&A?W4Su5(Xa1^{yJT7;H=j* zD~KEQ@!246(iaiq*dZxZn!p4g|j2H?hMvOYAff;`8Q%L%ltB87X!0b5ee+tZz7KL z0eBmMsS*tz(aHkDy=;GT5cIZ9Vi)OS>(&Xpezt52px58FW;L(@wxXO|2ik_#gu)VN$2hf?e#2k>BWn07=oNcRuvv7Tm?dKt| zHrH01i_koq_7jZGw?+R7;sRUeHoz9znkPbGk*(bYh%C1K))T}(Z1xNwF0l=J3$WCd zX%rNe+0HS>S#A@vAh{xFt6Caath9Z@4c01KMh>^tw(aX7v&OcR6Zcx%^0nZtv$fm; z-g;Y3o^fojUD*cSM%xKahnsAjM}oN7_KMNP7F%!z)VJEUaZ|g^mSY)s+ilHxn6blF z@)q=V+8(up$SzxOeGI67*)skC7x&nHEe^2PcJOb=?6+0!18~6h0r$fPZ7vlWhipBH zfq2-qqY1F1w%#wHe#~}d3W&#TJ-C*fuyx?Z?4<3drU0jHftirJYHJt;FRs~|*8}mo zZEs0nH*EcbMWJ!iR+A?-w``g3fO^|Do_p6jw%mS*-nHFf5C7XXDL=4#wsqv)x9!ab z?13#i$Js;MFrKA8vURHs-eX&{hTuK1{m}^EsqM#7;61ZtWw8F-mfs9xFKi!R3#Y%d zJ$W4jd}V7r0@T;GwTwL8*lh1$=B=#~r+|01uUUzyw&`5wdf1PifI?6EId<7zc56N8 z^|p^40IZLF0y}A6JASo^QDN`>7@)uX=kK6Cz+Simuz~h>oDK)sKW_?bu)Wnyo<9z; z$8%FK)L!CSfMNEEoX>{ayKRNy2>U(qM%u?+gyJassI34=_DWoYM%(X|05-;6o>Sgf zdn2lc?Eh*Dy>a#hJOdtYkLLN9I@d@ga?Kf3uOtIhN z?rf_4yIT;QWCUa_y`A>CE`Ku%ZJ z>{VH7*X_md#Yn$le`ZAvH|@nVfLr!_?Er4u7ajz-8?@JN2Kd1KnkSGC?IJs{NA_u~ zsK@pU3}@ch`*4h;+NW}i^>RGsc~xJ>meIflI4*J;9_)BI8^mFbENh`R((wy7E~6cz z7{rAfEw6$&!BOfvNKSGz<=i{fF|Z&+W;lXxxx&nL>`w(c&+(Yi@Y7(6>V^InF93HO zC!fH~J;%f<5P9fWn+YOM9L+i4pF4(R1@_8u<0P=xj=l`B-Z(aJOZ?VRk(J-WxseBj zJ)I85pnaUlj6wT4AN(4GVn63gEL3`b=hErG1~?mVyE)Rim(lVl=Ll}*lAMPZ02}Qb z$S`+|Q)Rq2*4dr=t&sBxKiiCRI=EjO?`+=}k`tWuHb7*S^T;Q_W;^xl5Siorj1%%a zXG4ZD^PMB|^89Oob5RS(EOwq=2AMyc>z_h#iF3en5SKZtFcw_ywEqokg)^CJZ;Eqf zBt%v_o1cWjpU#6H1FUgQ*bU-Z=l$G}T<`RMf-E*TkFn=(bS7j4ag+0QZ-{JmF2$dL z(ziG_qCW=pt#E!x&XWA9LOW-OU?~d04_U+WC8E0^JxIQYtEjBVdlE?8P~WQ z&Km6Nx19Ic=k7TBW(V({^ITT&?mM?~2m8Po$OGO(=Z7z#{>Zt>4&rLp)4~w>GwABP z2h_E$?}mfA&h?Njy215nD!@ipiOJw?a_xMG0K^)^?xHjv!z zT6zv(hbzYj5O=y_^TOCJm(Hm1FW1wM$)cML)btH0n?dqQkyf?1F z*+G2kdd;QoovUjr@Onm`n+2PFBD1s6`bOSw4)uPKgT{0G4UcTkuUNApo3avTN5+o= zm=hV`GCDVMM-uesMP}h#v@o&)jz9E2B72SoSQ44(OITYTd1?rFDT zZ^#Fch3>`dmW$l2IwFT9?)cB?wwr%7B&e@&_pS_UDeh0%u&douM?vvVcV{2K8uxFU zFgCa!?SRG&_tL45yy-q#5?S1G&lUi8+*vq5-F0U_0Fl4l)!k5d;NBAr@X(#_6u={Q zMGd^i?pxevJ$27z%=64WvNwpqm+lsvXkNL$n+x={+u{fFjr+it0B_w%oXg(1i}ryt zsqQa0@OnfQ=L*s@stP~Y^zpp40PE-ZwJ$(_Put(1Fu>#g1iV3>&7T1b_H^b#J;YP3 z0KhQMnVhE#x_H9AKQM;sWp{ zc*<-7nCQ{@VLsXO=p%s1p2nQRrg*Mi25+io4ZWD|S;?B2;hDD@V5X-Xk0xe&nihh{ zT+fg%kl#E{@H2iKo$tBBqnw2v3;X&a&yeZ>i#?kg11#|rdF6F@YZvO_IdKofaC#> z{Wf?9J^k4s4tefz7#;D19z*h|C&zVUf6UWy48RFbr4=Ba^o(NLo$?Hw32?@98^B3K|;ptug#G9Uz z+;raZ9Aj{K$MaoRi2Uu@-3Zt{&wifI-uJX;Wbn|_nI~6|JUKdp_t>FsHSLN9M@ z5TLiWJE#7>-a0((?dM&}hUxF!&V!19-hrIh26-nD8|=-D?L|-@=6(7ZtPS^$yANw4 zyyLlf80pR105YSzlehpSc?)s@8|~eb3B)np)rVkatoJ^@O^3X{u7Jom?_b|QZ@l-w zEAS?G`JkXimr5#{q zmbXfGn3?VU;R|4MyrDZ#oa^n>6(aMzeR=de-#eDm)dH`b<7c6_XH|$S@}A~Iv)DUf z8$|x_UL6Lo%sYZ3X1O<0F@P1`DV$kWdiQdrTNU*F@f>K1_gw*4TkXyG7r>w1-;0B| z#v8$$)_QY=0M>c0G8kU(oy2%-gZFnv(Hp&GpMtl^o7@;+v$tkBc(~PjVj{3@-dSw4 z?Or_>zz*;1g&^+qo-PLUUEaRzYkzrzN4fCr_TH!n#Xa6fj4Jnf#c6a^F* zF#nAAax}2B-pG%ke$HDUxE?a+y?Hn_UGU!H+I-P#V&HnoyZtJ#%ii3a3a@zGt>N%h zZ@r=*-tbo8;JE2MwHT7Oyk{A9-}V+}+uiZ*x(Dp8H^W3I{Oyf750QJ`lF0z~y&bt* zc;J1P@N!@XTA8JA&ulHJpjxcnfo)c?tQ5Y(so zjva;389wVEfSJC|9|Fwsy}JlAvwdf|>z?at*bN%YF%-?wKTutmOF5wN}3w`>N$ zAHLrhqAvCAS`WQtzJVj5x7=5VGwCW{wFnSXeD$+JWVLV6=fKwZzG@FFxYqaD3AoO; zJq6T_zRJHKi%q^bF6NtkpA`kz;#bD_7# zw}vgU&*!p3?|`os7sZ3VTRTBKZ4scn2aOf30o<5O^4Grx#i{<@?2ps@ z&lu)R_kYz98Z-R;w}3d)@8*g(%YV8mh_n3>Z$X^r_v8n0zW)uu0>9%5cnkf_Q^EVg zA7Ci4#NUuV87-~!KV{gk+8_G?us{7hdB0$de-3MJ zt$!FN?REZloP^fxb`ppO{26)Fa?oFJ0R0@wenW;={r~C=>NWq!9uU3mzxfT!-0)ZV4H`H7Wd=axj=#qb;NA7N z-U8m={x3L_-}6T-g~)yX_YBY<_&YPhhyJq}pzy@Mod>l~{X4n6f9CJRApE)inGQvLIBM6dSNr?0f z@Ypp??5m>Sp#F>F^*EO?g#Ra$^}B@k5) z8D0%srN7q#OK9wRAj23)-Uy`Thse!9`~48P6{z(Qc(((m*@Slj?SeZ2?*<-~gUsK7 zlRrb_USPftqW1&lo)CQyD9XLs!$2Iz(W5~B%Mf`Sh#Cj*B+!-f`qRLhr2x+YjW+;1 z4=iQdzX%Lp2Zfh`k(@SO1tJ@P_&TtOCt_~`$&7;E2KLTo%=RvjpK)Yr;L&klJ)#4Z z!R#H~hLe4t=t}H}eWSOw1g~H8FFZKyAC3RR60IFwxdy<%=+*qVG$^`JAxI96Ud$PM zNc7|Yu%XefIZ+IY);hwO;nDNC)QpH;#@TLU^x-BPf1{!sZUZ$b+98j4qPuedjftN7 z0c6HTKfeI|Q1qilAdZV3eFiy=kJj=+VM26Qw(rE~AGo_pjy}RQeNyx)hSrm#e|rRx zDbc$bTTYFB$Vqcr^gN!1PLHm@uPZa6i(q;V>a(LOZ3J^pw6+7iIm&vog)B zY#2AVJMOs29k=(HIkM8!Y+BjRtZXkcZCZycnKBi@fuP7xwu%CZfC9==1Z65i_7IS* z$nX8hf8MXp{66=~Q+}|&;1ET@ zFW=!f^@arwZIo0C9U7McE;`)22Ds#4I}4Q}hcqpy%MQ0bfwL`1vA)6%O<10aZHW2mn_dGH7UU&4JSml`4ljYoK!7;eA@E+M$+;h#H4$k3;2# zL-KQ=ZaRELFCA+gyl4m3ISkE(UA=?&Bgh&Yw$Pqyba1D3tjR&T0M2eX*aZ}fZab{s z0kYX4;54Wf2l;k5ZFNxm4^*4OnO?vhhp2bqwB4bEMrj=mVbqs&I{ZLsu*+dJbqn1N zn(M~Tm|N^L!8|Qs`nf&vq6qHv11Osbx<952>k}K35VVEx@Xcsm;{3D=>i~_v6CW12qTW(sf05Aq2IEH@f6*l zy^IeTFpppe+yVO-kJLbAKjX+7fCG$C6P>?|6#fX^ey>KqjNc z1I&|*z!s>SVpOccdZ!t84%7KN%Xlb&Fq<)%hb3|tJE+IYWw=nYlgIe|E!baR{Fw~M zXOuL7S-|*(#y^FO4?6)xjJaK47Bl3tU|zzwB?4S#EIJ6;6$Xkd>~+Rv>XphEtLUzk zGj3B(tzeXC0QO48Fxgiz*soyS>x`GF486e!T?NCN42cSEY8gMXz^rHNiiKta<8kUq z8yQg(U^X!#-ho{+!$NVng|X>7*tIeWsm*U={6LNB9R|-6(9Sq-1avT%m5_BZeh|QQ zx1I6p5|BNN+eSF;XDqA-HNbe{ZBTa^Wu34XX0YD|^B&{MB$y+NxJDR`GVpf;ut^y; zflwL86U9|bF$NT1-e+v`0X$$hjsT_^>s|-AF)vbAwUhZ`88qFQA5v@S!L+OCvo=qr z*CBAdm>n6QyqV7QGG!N2_7E06%xx>Myf1S}FTjuKNWaOS`QgW)0+_XOXa+J%Xj_Lc zPo=M+x30jL;e71f`y%nR4y`v_C=FQ{Y8f=A&cp6UGsAb}Z4 z)!A{Tav7)-%^f);!BvH@NqGhnU0jxuP}W#0!o=5(Jm=t zrqa1BXW|P_>^Y`D38-Yc(?h$;6oov7^LLGj|EL=~ka>bSitEhnM*!8#O%y|KGKc7; ze=TzhRULKAVXANHnNQH+Xkcy&0ke@AGzexBvyrOUTg(Wm18+08QP6E>YF9wBg_%py zy_NYWy)15HX3qxPVI~FB`@eSPpAVqZ!Azn9)X9velitOAV=HvJnayHA5A)Vptklme zq|w6w^V45I-DQ4CX>gF)OTlD_`Oi8qhnbZ$8oI~)mg@8oX31Kpj56nlU^m8mZ9ebM_!qr{mF6)IC0lutvi1K44(P+q@b(q?s09N+v zSSZlWO5uaMo8_qi1hM9xgMBdTUN(dwEXFIKLRoT}ZiKO3b^tS+^}+$b9+ra6{a#k> zH0&Z-%R8YG#VVl2Z$GPoa@+w{+i|RWkX1qB?L(|Ks)D0g%R)gNX2CPIGs|wGnR_hj z?att&@-)V@=rLEuNM78X$qS!5fgs`r|$LPGU9FtI6Z6kP2v? zVEyn7n5itUm0+f^oTvgzXZ{iuoP*48?2Sf0XJE<=rPr@N}hp19V==pH0xQ)B0vLcejUskS-0tkH?fXU z9e9iN<3fH|ecGmI+Sah(mXd`#C z+C3oaVSPnkwe+$+p^~7Fl}#0PKkKo4zyNFalkjqv^>!#!23g8KU^m3t`#fNnRYYm* z9?Mw;*$C?e3jL$3M{ZF58)L2d9Ncl%0Bz(6)>lEGCRq%Mp;N3|NnqY*iKq;Hz&cq3 zm}X@?2YAR@%E!7htdI|3;KsHc#PU1Y>TkhxXCJ)}6%Y0~iUOW&Zz?5ru>8G4DGN5Q&?Eu=eB!q%*Y z!DaUQG_kzGj-|JsW$Zgth*h%3#$a%joxct$*Vw<&udZS*x6=~W*=K);PBnXeAE1W4 zR|&%#?10&zYT4oRd+XS9Pl2juPv`-S?0QOWP3%LZfLrXo7(g>SjM7mHduKCzx3aT8 zgytP~MYoGphnrdyI?TJo-PJVuw&G4Hp%{n%I+z)XBVgkY(o_cX4p$8 zi@G^hWde3Oe)1buaCdwokG?^a96zH@KGpHnIgn*IZlt>Rlw*Yr zE1Yrcpr~WdaWsv9Jnv{L1DWp_bP%c+9hb<#EOtzxD*B3}z6P=i$GLTYYmP}|U+wrA zm29<+pHa8o;22s3<}FA3lfBpij%z5lwmZ6{!o16Ij(`cf zV_O4o(y>s2b*CJkrjg@)N9pIF9y)HM?J?t6_&g{#&LCxnot)?1fp2%tv!#%Ea1Pgk z>BX5Y2Y7Q1QCGK%)9(ZoAI`=<0lu8SLSgR58Kleh=PWIRW&r0m`t`dxb`g~gL7Z1C z&(80^(yq^!1lbjZ+va&e-lt9jK zY&W5DmUEcyQ#Pj~63%ittLSauInG~;;p{v|A)@(b9%nWtfwS{DTd1%p;P5E=6mp)W zM(84E(*ekeIE|&SDCTt1aVp`s-+|_3&SnLuQqJ2HU&}ZPXi{3vkzInUf>THZ?^RB^ zKj0eY=TN9raXjA#b)BQ8+O&qV`3vg*Zg4y`FuciGMLVIE!}%S;dX5)`%Lb0}1}>(N zbN@Xsn>ewwX_`5si($~h38f6*%6VZ9m~9*vsL);Da{otP=$z!{GNE#c+ecCBG^7c|dsbLg6~xpxBrIb8d1R7>P? zTd0&d#|@^D<#}$#azGw;UL~0MTwV~MfV-3mu|h6uG2kNi`VlO6iF;WA%@S_)k6>Qr zN+=y&;c|{+!BVcX7no(-yKY#aoLfsT$tt;PsdBr@?K%YJHSXJX$~9Ho)w?0Q&aHL< zRn7IMJ$r*2_&DGucU%Ri<<6yNU&np-cNo@lzonMCf!jj0PZL)}r|K5hpK|(b?$6Id zvzZ(FCNx{P7ApB#xr-yfyu7YU9c);*^RC?j z<-yxX+0>J_p9ApX6;Pev&6Cj3&4)KZEwwLieJx~uye8`1{dq^;g|h%&4~6bP-T^-_ zgLvsb;R=I!U9&)i@Csg}{1?jm{zn*w@wQRu59hr~nRYKPfvU6!UJ5)jM#XFJ(=4oCrO?t9;Auj{Y@KSaGvUxw#gUR8Yr*oUj zyF*1lo}DM6pH{$IMw9Q z*YTcAf~=kw`6V*J1(5adS|efK%UhEOWH{K`Hk>o51={58~y=a#(9%Qs7&zAQyQD(v8c_S;{9oNg75(^m{Q#g z?~5-1Zcb0`0Mo;1Gu71IPU%#i_&U8R2Q$EFU_PiIC;z>mLY-FK!4i9%)(F7d>%^db zHPR_N4a_K~v_z;JbXqtL*&(MRTtJMInTm&_PFcS}Gv3KPlI~Z6(=uOJq&c;3fknDg z4;{)3rwvYkOs5?xz-gy2Dr~ZxQYjdmae6EpaMo$r7ckFu+RK66Ij8wl>s@gA`v(~0 zJ9$Lmw-h)v=Rj8Ibn;QaMW+?i`do5);}SHBoZ`Ns`t!1r!xIo*ar%csaH&&l{T5_S)q zgp^vRoz~EOdg$~X9rPKet2C{)yYV@+p*;BgnGkyN+dqee7e8n|z?=Uu$$a=NG^F?C zul^No{P=HD(DmnMP_zx?U#DLf#NUw&Sup=Yik2aKGZkW?{9`Xb7RJBV3+5hvFV!b| z`BI7=5&Ua(-Xrb&BpSR#@xO8hd654P1?EHiuhv2}nqNK$>M;MY?Vw`#A7f@RJC^UY z3~+>RTma@#{vMh@#PPqD0FLojU4yfDes>@268HsifJFWps(q69HB_b?=lfHDO5umr zLzc?7e|{O5#%F&Ar|JA~D)%$^b1AuH^4lpno#dzH0#5N4Q|)t_FCKzs7QbjUe$yHL zqZE73@{bommdlqe1$B;}LZ!rc{yWE@naAHa4A}*~ObuB+f12Kx6z~VrU|z`o*uD$g zBK~?Y$YOpf?XnX7OHvqK;YU-FDdk7J3Ml8lPZ_g<|H^AHsO0CL09@t2Z-zk?{~J0# z)%;58=xg|sNq`&tq&zTd`8Pe`td9Q~1-1tMa(e62$j{gbs)@hp9v!t?{Igs@Gryb$ zTzB~0^b6bhBQFCw_=m<}(Z%qi`)Q2-;(!1C&?G-L7~~ZH)Ti)vpRcBxc$(ig z1Li~i@=xK$O>p^HP&)+`YS_CAw6se+1o*3o*sB8PI>>ejz9LN@frT=(uVB4DD1X7( zU*If2;A4R6-GXmvlocd6L=ik#VEByc&k(_CZ&-v09{B{^2*E~5^!o&ER69iq3aA9y zFZhBE?g7D7DP#u)>2x>_38H@hL<`y|3d9JeC?v%SJTHKGM9{Jq5GN=fhWRmpjIvq0 zz>_M91VQw_@RBHa%LcHY5PV66YqFrv3So+1Q9r0ufh!5q1iOEOVY=XzQ9y>^RyAaq zf&z*VCk5Yq43$#?PqI5L=%?qCC3uGhK4%163c+Uu4*LMvg1KRkofG&{2Dl)2sS0+5 z0tMZKBD;V~V~xuKjJFZ>1Y0X%Un%(fV^~xP%3@(rBS1TZ4JGjU7}QNcHv?3y;JtI8 z>I94EcGV01wZN`H5VsC?je=lGicNx>G*Y@PNZtpPX2C{Us6}vPA)rl=OI6Mtft{^| zn|8r`+GQPrC^`>piB$qlwb?xMi1vx_I6l!I!}~<^m683 z0OjNSGDSUK=N1ZLe$KDeVhMlef9P+d1UOgFz6x|stOqmLc_}sDVa^v;f!gc*-V`9x zd4|5;IpF+!4xB|ht7!BW>ntn=#5sRW)pLsT*0%xa&Yyls@82_=&(PF5)471k=abGy z=-oz^^UoAMvYq>K;U>pfNfpgS=PVVNmz>w=v2Ky`O1j;}&NJ^qR^q(iXV_hK-b0hP zE6#Rmi%Ok;N0T+X-1!mwnSIrHEe(jTInSY8Qsw-nBjvyA&S}{otDUdYhfOuktslei zhO_!>Slo1eoKj_-^JjO#tamPK1J&R>yb=aY&f~M;yV*JECs5tadg{@7oEK51?Q@n= zVbSmW`Ft=3oLAh1>p|yQZ%{+dt5h%;b}spo&fkc$hKBs3&MY-7#++Yl05$F$NAG|p zoRf7>opkP|_bpS-59$E-oxPvM#XN8hc@wf}=hx}?x(UzF*mtLJ7KLCB;dj@;^c2pb zKHE#UnToqz!Zojg@(~{Khl;Q8F{*^@{z9G&!T{l-HGn{2+LM6Y!e)A+LBc;zfC?5q zR|1O=;bocxgbJUbA$^#T9SLT*Fli1nBZRSLXzmlvQ0o&VR8cP9FFbw@)B)k66axg(K_1JSQ|V0Oy4_=o#b*eMUfC5dNgY68XaL zb#PN4l%s~3eMzW!19nBiH&%mLEX)#uDiN-u-u<%hSU0FrVbzl`FB1+?bT1dS=Yv@x zOt(|UH58bbc@Q32vK!9 zV4q0Y3&Tj!_s3xvB}$;QzhBf9f+Y@$PF;iSkf`cMFr!5qsCGFl>Rte5jHrQDIwJb? zGT^9aJv9_@qW!eFkBQV2h+>fYPlLj-%)?iC~|)ZhPOmJDf8SGbi=3r_oxE9BZ{mB)h_am19XT6>Y>>!S`YwPkI3T_P`#qY*Fp7(R@#}c z?-!*#2i3cxDN1L9q80Q3=8$NBHqEezPvy})(R4koVpJ4Fmp>+&rdT#EVo>`zA*$L0 z=A`Jl5(f80K~IBvAo?X4D@}{W=rBJN{Y|a(jA&jVWIM$xUx2y0_$Y&-frt1Vs%AaK z<4-{7C1%o{-6c+;)Zrsu`v7iy#piZFB|xmC%05v1G8@!x@s>nDkl2SVCRnVff_bRe zaum!kvHTy{g^Qa@z}zElrh;a#IGxV)KJjCu87byorSGq!#EsOA?iaguLU=%YViIsj zT>K#{qQ$}6;O($j?Fonxf6xqBthm?>vZG?tcd&~ShrS3nCT1Q1#ETgXkR^zpx{M`~ z#D6RT^SF2oO=?bv>nNwDigjxsOB2Vx^a##hy7*WR$PDpcl<_jfv6-+xC5{z=IxX&_ z?>e%?e|m#?MqEJ^+*$ESPe6|N2E8WA6}KF~HJuZ0T?05Tt}KEqPs}(6DqmcY3Y7x! zGxP?mP&~W^a8aE11elk^xs*Qb#o`po@Fn7$3czLY>hEEAMJ%BwQYt=5>z0d0DUVf% zzj*>sDb`cPStWj$ft9X{-6$tlizNxrtPy+A#oQ1F{RQ({v3Un%bz*-DpkCZc!K*?1 zHx+=5;&pU?Z`s9D)SuiIulgO{n#KP*0b0av#B3ESC?wqx&p!pKU7Xhd-yPyBuK_y6 z|NYY$UE-rJL#0Rj2BooH@v?Y8pZJNT@X{~dNxN)7{Pf#ccTl|05waoiNC}w3;;Lt% zGAg!vQ>i&7_HV=zo1GE? zWq)^xm;vyRh%H#jOLCYAO>ap-6=0X7o4`lnm2Y;k3N7<$;S0qB241571SQdQ>$UISK?p+6(Lzh;Vx403pw2{DWuBafW+Z0 z3=T@(p`Ua}vU3X{S~B|xWHFMB2H3?)9BZL+MDofiz)?w?kn&%gh^f&@EX@lk6Ue-VK`eUP&7ZWS=C3&dPw~LmFG%m6%@yb5Igp2%RCxf|W2FmMo&r z9qviqc86?4a`^?wMkSlwU^ga-`V7>BL_|H$q~yI{08^4E3pDRb7NEq#!M zOYNC|gWMyHYy%k~jo%DvpVW2$RHU?OA0SGaTLAn0(h-UR2c&Kvf_YH-Ep5a@(xEA= z6fNb^G&Dx)5CUebbhH_oN2I@Bg62_a<90xt)N2sTW71A84C1Bj`Or*|w%L2YO_XM( zgL_;$iw8F+r2Q13lBFNS0aB#gCa9!J-=%UTO?pTSNS7Yi1ZIX*!UJSVoqb?;TIxq} zIZL{K48G4u+0?U~m4592m29ctA*dYbS}`D3+G>TtIcfMR>i^D5!av{$d~?2 zv)KY^crn}*NCt}3ilrOrO=F4lCn_heNN3)K?^5Z+E~u1A z$0$&gOLOjFg$gNWD^x0_ZwokazoCR%CtXQZN4@kCZKwum!*^gdN^>8B=4~nCFsNp!y$G@vX>SxXTctgL zkhMwY{0P|{sV9}c?NX2VfDY-0|D*n|Q+g2RaCWy;>=A<-=4)c_>=Mb3o`_f;&gPUpT@;%@_lny-#H#5>j9soDlS4FVjDU(ti=q|ew z0Zk8?Wfhp7vJEsD_L80d72qRFrF`!zYm@{0WHU}M_m>sZj|hKG$rZ`1DFC(Eya(@5Fp zT0oR6SOCofvemA=UzZcG7=$QI3p?5NCM z*NoqEOxAfAI`J~b2cQyUE-ipW*;kY$l4Ks^P(3cweGNDvyF>vhSti{KHz~3g2ceQK zd-5V6LuRArn<<+`+w!Dr$(LZBl1);%epksOZ>=IE$GFuun zi)Hg@SY9I2a{-rSbE$T@B4d65%~Dx0CGj%Z<}+ZH%Z`3W=dV(>loHrgnJORDHQAT+ ze5+(nP)@!s+gkyuTE?IZQX>t%DkfUH6G*&aZnER>>Ulk76} zZ?|M8zk&H}S(_V}%`)o+n77DW$*xs4H<9YkJF+u0P;ZxAyaecw&7lp|DeI!KRhR4= zI$Yf{^&9ZkBfCu((<{q+1<)s}^@p1Q+1C_i@5&z4L32>Xr)qRa)_oA>!?K6#z`Q48 zPvYQAz($ z_JIK~Bjbeu++4O$fb(#fq~_b(<;kz08RYUF4RM2Ak~0AzF8{26&v2JdC|B%p@u0N5 z*X0F1R)}ypM;B_3bXh{aqg;NB2JClwcDPJA zfa-J!I|naaE_;rH+3gZYzrM#M%n5eAE|;h%?Q_{m*`eRXO#|70%RlxHfOlPXQkWie z38XYSO8m;^eXKK{HkUkXpTTxtY>fhMY}# zC{sRu2C`Ff)>%-e<#n#0vgBWHp@0A7tbCN-S!c_iqH~lZKX(zrbMh*>C3$k!5il>v z&Cg+_e0k58U>3?h+6n5Syy+@5i{-m!0WQm($?l3gn+!_j$_`NF@*{3=T_Jx!2d7fL ziz>fsa@%iUR>^&~LDPO+ek%ps8o4jcEN;pdd=9Es{{6RrI=T0GEZ87lUb0K^W$}g7!hU5?F$lR0vMT5@~xjP>^m72p2^!xTmSQmCXVKBPF8u85}eo1qA$L13oBgPOZjif=r@ z%u;Mw2bD7lCc^vdvx<`+K_y4=G~I+;g~Lv0<|&q~2Qy#sS{&8+1&Y3GSQNr3s7neN zowy>!pPQgstT;f8)Mdp82XIC4zjq)jRcxWWTdue*1y!jCdIsiK711<7zouACkLkMN z56WiMij-(DZz^Wdx9D|>EuTPEuTU}p4T=wybpDzYD{q3lrD)m#)!T~CsTOZh9H%a{ zRq+Jf**1lw9~+nhXc^&JGasMrf%?%s}I$Iv93GXLB+YgjO%vxvoD5A$;tQORjlEP$_Xu z-UzDHbK~6QvmGEyQKnGnPg54(1~Xl$q<3u@ z%KAz`rn0^aK2Iv!oMCrL8M+ZFS;~x`0olqc6by2eM;f7WPWkEwbpFmO$5ntl<*q+r zaY30B0G)g#pWPBGG{8@_lH!HG zDuU8NpsKD1%wW~8ufmx{K2-G3fPhPN(R=v0f%)_dWJ_9pGRa^&Vtg2@aa71OKqB2f}goTZy!hiCK=&qWg z1aw^W`vSlTyQ=0cR!Ua=yc&?A+D^|tRrTO?K)UMff1q9<8&w6}0CP-raslkdRWH-yom9OR3E2acDF@7lDp|B0IHUTIBC4Aj|05p) zy80hifV+A;6*3QXfEeJV_Wu}6A9cgCSl&-P_a>PB>KEy32dFg^S_0M0UXblp|2iL3 zkeW%&S%`Wg-HUMbW-1=`s-IqntB6pqr)p!L`a}Wczesf}HJnlE8Lv6mYSnW~!K_oiR06|#brXG`)PP$8 zW~2J~pFlOKQ>mc3rQSgmXN!94|KP1vU9=y}HuX{Jqwc8L^vy@Rx}gYEhg$y#s7|%} zFQB^Ail<@MZC6*m53)zSfLSw6Yy{8VN95e@t&0 zX4Liqdb!}HNutQ+q3Ns!<*8Za3Cc^;Prki1j8v@as|ou8Oh3)9|5Xnf58569n*XhW z??BCiWzgKM$?^a*NONcdAXu~I4=fR)@$v>0s!93;=3$yTDk{S@f;QOg(RkU{gS%I= z%>pt~v#%T$QJP0m;cdU>m7k${Q1e|kWQR1LP|Atcq|E^w)?`FxB*f%OAU~vX+D0H&R@Fb3_a}(jcN@b zQ?rX2ztfr%4UlDNk|_kA(Zuq=%+XAfn_P`Y9;kDgkB);nuc_Y#DqrJU2C6`_dnc~G zP~%By;i9J40w~s`Q_EDM*+bj;vIfmB(x(Oo73Z&1b80cT6`IZa0F|0yy2Ps*uP(rK z&AVFw)tYoR+|+3BUl3rgYR0KHt)l?Qk*r)kx8|?cvYuW(=8Xk2b zLz-{B;AU7;y9_G#Gz%!Hj%cpV28?S~(okkXqh-O_q~_=nFsC$%aZuBmNA`evsLAsM zHKQ4#W9Y6;AH{lh4{Z|_fS%fQ0RS)U@&9U6?FTDh;j2AGumAnDvp2xPU%NID)NXCq zQcywKJ8`&}U~Lg?uMq7~Y7D})r|1;#(f<1yR@$q5SO_XoyPcZRC~XauW&5@N^Z^cN z%T@xS?b;V8L>$(3Q2vY2zCrJYW3{>S0LQdHHbR!DRmEe8B<+nPP{*~OQXP0gD|j5t zWNpP&P$}B0G~P?qN-5E&Y2`gwK3!W*rBjA>G!rVB+DRJSozw4QqXw)P!R1=>ew zf>)^hfO7gp?XfRma7oLilvAW#M$f)j>rA1$L>smT2BlgZRl#N2XnPFYlxsU*16iRR zpvP6IJ#-AzRqgU{xVff15e|zg?Vt2k;kx!y>d&jS6EsV%(e^w6xS?J5CNytq3ux?A ztNr01n04Chzp!qj)>#Z_(k|44c}pv8zzVmu&wGPv);@5f^w6UHijHHewwM~4Hau7? zaYy@Q7QD4<{gt3PwBN@=rBnM$HK;D_c1Os%wU5y)?a}h7=jqp$QCl>i{prV zHgHh;2fZ>I(*BtS=CJlj8p_<$+9}hGXrE}I?_Wl>f74KQOdCuAV_bVE0~Qn7zv-K+ zN$u~C0;aTY9Eah3t(tP{18rIzU|Q=&o7S>H(dWw%0-3Yt-!@(ygI$6s>z}9xM*)jOU>eql^3% z5Ucx*TJa;gn0&xdUDGu{oUU*#WXE*;zhM`z+f1P$L3hgpW}@zF5_~4<+-aP6T<5b7 z>z>e^qDPo)*Zp$>Iw?Bi+YqMfHtmODhAy3sYNoD=V(&@a?~PDBrE8>515WGqpMZUq z?gHiXGrC|3NoRHEsYK1zEgFYrj;?wSRC0BRH26HHlh6Y_uj~H`DtWpU*P(Jjw;~Z# zzRs@s5^f4~bxWaIsKW>h2T1ojm9dv}O&q8e=~y(YEY>k|AuG`tonUZTXQTXgMOXX= zpj6jQMP8XMoOWlqu9vb|g>Iz_WR*`Gb-JDV0QI_ldiD*vhWk)y)XfTpn+^%%IwJ*WU-#q1$i^UOIJ(|Nj5WE?qzbgx$J@6t8-8 zPq;(at9zYVu0EaG85aGzrcdB>K(~@gio3eVTBr=_*mpn;>81jqGOTN(CTUdn3%$b` z(=D3?YFxLK?%{-PhP+Jbx@eb7=|X6C-Pb+WO#R;j-Dj^uXIi(OzP5O%JCF>R(V3~T zaMSyJ4A`mnp(pCDH#@@KL%(Jh>^=1tJ_qHcca*@~TmRW8RCehPQ$ymTmo5R*SHF$& zm!H1758$t_SOQsqeiQAIK>hA~n!oJUJN*G}kp7>FQx`NRKJDVz%YF_ zo!D^w?O!2_&~K+av`@b>3U-lt6SW{w`Xyh0xnJ-4510q^MBN`O7C~+3RdfRfyQuV1TK&9#Pt^?Bbx_+2v=vO=f z%}jma8mOGK>tEar@{~SdErh4_k5H~Ts~6H{%hrF;0%nf>S!&>N^}k(&?3{iYZS(B& z`dv=~^7I$!UR=;mzknt3_4p62aGLa;?*Iz*!Y5&HQNNH{y-WIP`VmF?FR6el);rPp zE799uY=!W$-ix}1EBYttUX<#KsKqSP56*&4x&B-bphCZyDuYV>b{a5W)q5wx;F_L) z7*v)1m>ve#^*?UGg;wj^--khsexoCpH}vPMVBXZvO9oY|Utxr-LBIGb*fr|4b_$S9 z`Ut8)Zs|+rLG`x&OG=f^`XxVLi57jtO+c%D)B8|q(`%V9yrVCOgl4 zP(%9flOP<{S3C~tp8nYiP$PP+KVVe<1I=s4^h=IFHm+Zy1T~=_q7PRl^{i*$drH5K zTKH+bj0R&5^-UBXXY?*!pxg{Y|6-w?hPS=})7@Z7rt{}vXj%f&)8J22dN0G42CU?5 z*hZm$mqB_BOdmsa3&7Vf@ICDP43`2S^EdoMg=2t0eh(06h&+sScN;WYp&4X&>p3uk z4bG`xh8X;)>JK&aDkcLsUJO(S~}uYljUlor5gKkaP*mSVPQZcsXL2xCz-&!y+jl&hXS} zz%j$INvOmdj$VS71jFZF0PKl|UzFe`8KS67Ovf=8lFibHt)1FH; zXs4l)W(cO23+aY0cL6dC4%A;{8q8FUo-|k~gq|||=m6Pi!@ub;$TIwy0cU3n<5VS` zH7uk2m2HrH49y(7VI^I6uHl8}pmWYpUIv}>27gMN`G)k5AS*D8t$?i1z@Wb#bJ37Y zTj-MEZTeiH$nX}G6vc-3CIBUdBa5JN+3@nSu)AV7O=qOku$~IuGQ)~TL6sZA%%Ca^ ze^64aG}!l0)p6CZT>|@ShJ5P(stjSF(7A3{y#`QikhOtXV^~F+Hw+>lz)iz0y1})E zOlnB#3|8tb>kW$eFlaCwql%)@z@wwqWXO$#$}PjIIcC2fX4`(fZ6 zL)ISXv>USB;IzY_e;>?F!!kRVU4~?OPThv0cL6l|DlPTJqWbhEFJ# z4H$~Q1l%?B&`}vQT%e>lWLQA~b=dHh58$3brG>$WVIfWFMhzE|X#P27FmoUrH}qTs zcf#;(D`3*_7X`m5gXSl|eZxpKyge}ZZvi!JxRDNnhlUP%4KZV=o58wn#vKYUcN$&W zq2g{d{{Wen@iuKFZ{xKFP`iw)smJm$ew6}EU!$U&&Yz$0Q67Z;M%#WsfbqR)=mZ)~ zSy*tlas4-dAmi{+SOgo_lwze2<6L^8p~hL18^er#6j8&CJvRV*jI;iQm%T>Td(ez9 zwmb#cXS^B#W~8yq6<(r@;nWfAH@V-G#_ zWTSi`s1)Pe522E3%%qvOJz?$UkjBp#l%!0H{i6<=u2fvlkvR;(7a`I5&~`; zKlm21X5(HlWG%*CCa6~97(MMaquUfz?ik;^3|YHTZ3c80owtJ7X&Y9 z0tNIvrU{B{drdjC1tLt1Z$V|B>BJ^Lr0MZLpb}-u7elt+bd&P#0aMu5fPl!p$P z+8=>tv}yY;XdX5>QtXW}Nhk`$n&u)<*k>OxHF6<5YKnOa+&GiQfZue?o31R}WdL=?gt%X(oTF8q!T}ouD#IV>HakG->)_ zaMBbl0-Q2^RSY<7n#Y8lJIkoHOyd zV0hjXoC3o0o)tH`+1KcoG(}mtNU7Q8qwI&&bhC0(jE)42Tf3hHJFfDx)RHNxr2B67g z51}V|%f#-5>TOdjjh&iJ8z~>On2PDnwwhAXpwedg`&+;r(|a@rX*YRNo6uo8LxG~x zw5AdUT_*QP$huAMl>&N9&zfM+YxAD9^vuJX1 z&*VM{)e+N43jL#|JE5S)Obh!U8#kR0VTlP-XdYnF)Uh2ZQzm;BRPLLS{6IZ0O^Bg6 zZF=z=Eb-8EokkBcCM`WsH*>BEc00|!H0^RXH&IgbFn_&>Qmm)>^CzL|Wp=&MCB>Y%gVe3x$G z0ds^Fh6l|qrSNvhyfhljX!8ylJsdXIRsmwnj`T!h&0hwYnl}u9nPu(^g~1u~Eb@8Qe1R&cY_k_l0&>iZ zHDKnN^C*j+Gap(4u%9>26aw?i(X?AGn8)b(=9|w@+gxBa(O|pK+?5D77tL|hdt5RX zQk*L?XHiltHs78DrzK|IHK<%RXT1)%V$P?aS!zC+0w^;_(X7ARJTnKf3iByiuhM*v z3aP7h^ScM(?V9;1Pe7G?*xE$hv$$6(N4 z{)Kw*M)Pzu?3&DpA)s!V!)`+Jw)vM&K{cBX)?mRF^A)OOTFomoFmE#tQEI(owlAU~ zN4vS4YU&O%=NIU7n%|@8WS4on1q3A&d(X0MHq^_sV~!M@MDiQ;m zTnJ{6rTk;~4z^@%1%z1q>D5=LrT%Bg!Yl_VnTK1{v%%bB`J8&%y_W6NCPY|%o(F25 zd$D4R1clQmQ*WrVl0#Iz-g={(;N0jEbp*D z9kq9 z=)=M@mLy7NXDv@sM9sE1QxTqHxxX4tb1gF3Y5YJthF2$!J^LcE)|LOmKW%#HCUcXhfbqqtOHb&WknKHZdu-^qNm03sTEYK zWh0gJZ5Gxjs5=(SC~%rAKhV{8SQ0IOPD?fwA6*vvWBSeCs9=BTB$6EJ4^ zg!0$8B@ve~d%|*s63C?Gl`)u4SsZ=Ayl**86~zO~c?vMomXme|;6uxY)XvRV_B;jO zZq|T*!St|xS_km7j&Fs%mv!?xP~O&;--Mf8)}QDLA0KO^9d^Ff#Z>$FSwE$&&fmI0 z11|wq3C%GAt@kQG?Y6#l8xUljrsg@=dVun6i1iB74#QCEcl1cYtm*XPBHYUR8Nxl* z52)eXYu$ew%m^!oW-R-xv)=oyt zts8#;9JVf_J~zhNzZpJbtx?p$9Jhwa==`0qI){UsY;C8DO0_Y_ER4AdoS-;ZHp z+Zn&G)XJfWSebQ@djE238clO5tQ;!KDy>H-l2%#Yqu5zv-CP2@T5BpngVm2FCbz87 z6Oc7q=XQXyw^$wMY`0pAsr0&IEgc5cVUcCdigURYS1N;0#%ajeiT~p|)qghAhlBM-67UEr&*pdu;O-K{LW;7fiu^pDpqe z2qSF)ZQw@PeshM!e%oRiU>>s7_(3JwHcI2Y7+Y2XRAOx-RD&F`Ia7Ic)b<@E`Z(M3 z^z4t>PVa|ZyzQ%5pb~61)KE#Z-KGmovc1CwoUpCj2uQV6+G&Y2+voHQGHs!o0H@u5Y`;(se%AKtFMw=Y7#-LgTUa2dT$|q+EOE}ZcoJ~lX7h$Yp3O*=+Xb7C z6q@hh34ae-mWIw&PuPV2SM^`Mzx1+zOp5wkkH3FSTu=H!Nkg zvv;9VZtJ4Jc-1DStaQzm`wW~`*?3w&jcs^2;Fj$=!EKw{f7{D;jzVyY?F79NZMD5a z)m59#NS(+X+qUN+YqzbML;YWet*j7ar|rs82zzY8xuE)OgEWX3uy zjD|tewk#!`zlS!Z1xw7>zM<)p`=YOZ0(dM+^@1DEMd97B_gXZUDlqRw(YpY<7VUW* z;Ik;J65zM!uMGhIMP2{?pSZ7p%W7Hoc4DnXi6Ryzb}M$hsEDYjAOgl#x37iW?KWOY zu@!@{3lmW>mxA3bcDLK^F7*39Ap72PzH`ra@80|S-LrpZp7qqstcfRP){6j>EOj$L zZ;B-gEz)R9@&u?)w{$E3FvBvEocK&jxA_p6Wyvc5Y%!K&1)!)b`KkHYmIsp{GsjYI zD!^RJIy(QHXZd~!y!n<4UjY_bW>LF~Exk_yEU|Q>#j@1$123b+T5i%fEwfxD3$xr3 zz8=IC7U2SHrDY$v^wpNDgCMfe59&3$O#0at$DP*ivN=h(|28XS6GiTJqC3qQ@-JV?aG&iL?heX?dLtaLUrA3KWwq zO=uyWvH1E!@~mZUS9JTFB^P<6^Oksu(=S-QlhM6sku(UGEF*dYyKFf?_WX*)iN3_R zYUx6Qcg-@DQrhd5&$juX-mrA00lsOuc@yB4<=_Zt+_qc{gUlVvrXB!yE#GKU-?KRQ zf_L9Ck^I5$mbSN{@W9fY+I?v`w-dxy77Oj$*One_fW5J_rt__L7Ed}cd2jJ-1nh(5 z@g#_Rw)C7%tNWWp+X&GgmNG+8cc`Zi`M)sFRUN?#_qJr`h}=P=uqOf_rXW=M-1kVVvZ<{>V(qZ3b&(^daP0y~wLEPq<0GDCY zk~|~!g1N)& zWZDkU<_u+7MgfGg9n}FMSc$#R9K)JWN5-<0_7IF>i^p(NyeY?TJgC&lG{&S|1zD# z$0}EY&rp>#D>_40{zTp&jO#+DFUFrN}Dep_0BbL`F2;wsSG!wvb?n7Q>1Y-E=CT0C_=v@jJkEn?VovZ%yP3u67K!*g3f+v?X5&B1Ng%?T9ink#s2KRp{{I@p2}06MOojIvW1 zI)dyRloLWB8Bai{%cxO!?wZ^M+-}2ifzrM3Rg^vMkxlH`jq=uB73qV~-bLwFtzKfLp9XOAv3f(pG>w>@_)qyKHn*@>loSoCRp%HS4w*oq5CfLTJ2Y;pc#TVER<> z!ugj~z#{nZiBOorSGz-GDt|Qt{g}qrQhpfC-_h*N;0133Ea3guLUJK@tpMI4eswNt zSx~Ya4&K1R~q{%-sNqd^cI~B;N5dupPYIR)C$n z$41Kkckv}Tp}&V$p)GZceRu&s{Q8tA>t#71>Hb9zosCCS!L z0CvbegP^ceE+fadOHQ?rIlvwv@E%lV;v zCo7=eB+^303&CCX-ixMj$jAuJi|`*?v0Q z3dCcEC*TCF|5}jfUzuUABa(GFm1j`?DBg^PG-%s159CuK7lutou$1njaeOl zMYF;c0j9HX+VnHonmqt9Y$ujqntjSR3{5w6D2WQ1dFpr$v^|;!{or;K#k831fU;$S zdnj8~U4yc9DN3N*?4+o;Z4w=Q{Mu|FO6&69!R?=&R>Xi|&h>;*huxftLiDW~*0vp5PZ4IG-e-whoHj3q^ylfo1@faG2Y-sOK zV42AdPGk)ZpteaYAPB_C%rP9qDeU`d5T`Q#EWoC*SaLGa?A9tYF`XT!=wk-!!+_0X z4K71u7CRpgg&4LY9K_kI*e3G7+n8S)pxfC83P2OtSgQK~dqFY>nb#p;hgj#TkW6M1 z3IRLAW|D(D%WjYxKF9oPpxv9S`xS`XV%-`;?=~w^9oQ3g8AF~n_}4x`s*2lwaI30V zJXc$Dlk9KxcMO%)Fv@{cvsEoTTk4fSy`D8`qt}YFf>L|g3e;97iWZjFr(Srj>vt70 z-qjX^ThFc#bbZDx1f_oGttcDBjfPCa%+#cB=5r_;Rd+(!cyTq9O)`_w@GI?|0ruDb zG6hXdBPlg)wgKxdt+$`vL&SQOPNe&FrEsjjdp%GFe0Ra~$ObhbJ*pbL@aVaIppTg$6fRDLPiU$ubv){w=oWBAB+b;?0rFxwo;KVPy)^7*e=nH!+KW`)SLykQ&Yd$PBz$|mT) zW7{Zkeb44tp#OnQs|DUiRw)_aGaHc|3SZbm3ZTBS!FCY&#(L4&Lke@DWcWKX?4kaH z<)ZV`P(INUAdIiK(KZj~@0UU*f?uWJDw419fZ`aweh7$Txj%(0QM~mCfN^|UCGf`c z-4t$3;MZvXP2`!$&rRZ|D+5g7Cuo`wm9zmbfm><8Z{PvsmpAgq`_SGdeu2Wx%{)^E zihs87o@>C|%2UX|nLL@C$u{1nJ-~LJo3>LTj~@$O5}zK3CU)>-N(pvyM_SFh_yk%k zyZIQhH+y(q>iu5ci}KHXyyaT(_VbH5K|H`CDK$RGcauXs#69-X{yWU`mxs&|-fb}| zJ<1~~{yE0uC`LZcuegACk|$tSAkv;qhf1gUv{evE=3x|mo#BHTptiI8f0|ELR@>--mYFmLdk z-Jp1rU#G=(i~CFPZu5AGMDOy;6jI*j6E_2k6#Iv!@7a%Wkg66*1Mbs6K&Go-4Lo<7 zM-gxLavaY+D$>U6S>M2OuMbJ|_jh_9>H^U|Jtr3@bu+dc#wOfiglVJdavPvH$32!_$r5^*O*B^qv9f zhUJDr&exx2q>-)TGF0Du(rvI?9Lk8YW%gUBphFcW&^wNzBalvWXMx@)j_g$5KKD`f z8%eQD|Cf|_3@B9q)eW?e#~hTG4Ef;S7%0Oo(~cg#g|dL(EGHm2;=or>Mtvg@EPnuali0e}P@l{q3xGF;U3mvEmF>s{_37+z>c4+Elbx#w zjTknkI>2m}nbyHvwqYPd=d;;~01H{g{@^WU*{MCE z>|(YV0DD+(y3O0i!k44A1FXw3fJ1C*B)}0?nLO(;W=AgQ1iP98wVYyIJOGkeHZomj z*zro>on_A`6+X|Vl1IJBUeeL`WtL1P&vuoKrEu*&t5g->ch-z@iU+J_4}e$f10~k4 zStok?H|%i?G`_KJ6zit2yY(RQo&C}r*do5v8DKGYb^&n--ZG5uuK4Ph1s(#%F$?2I&ibLLuQ85l{k>V@39%z@kKN>fv=Uc$G+r%)k_K70O3!+KlMG;^J#gOd4 zPK${Ya$XTl@vt4jp|-~( zef8Av2D(JPVoe%z+~732Zr8&WUUs12%~bru=;}t3%P~6t+?U zLkv!%9L;k7g34yFYpx6qT)KdB`Uwu&;xlVB5f)YoeNstll6{ zH?csi-+nCD|RC9pUED7pC)*uAn5Swrw;4qs` zp6Uo|wH^%~XFb}Xnv-l3MP8@aFAX7bnoXyiA(;)L82b!sO-uY7o4x}g=h-6iF&Eh0 z!w|X1&e6bLV$uQNGTYh+;3~6C9|(AjZKLC%>nxsRZn57s1N+X-_JiUNR%{uFp**QC zDh=ad@gRot2ITu9_~|R)Me;6WAI9*WO8_SFeDlzmc{~%HpwH)(e*jy+`|X1ILM|!Y zT*O=K1#vOYX`{`*geTB3%~Jjg?cP{kl0wC0y#H~4<^0?cfEE0~F0{0g57-H?iod-I z$<=)OdDIri-=BdqxF)JS$inoCz%a02F7k;b}SF6f#XQtW9q46iZwVh1o0%x!^g>R+4thT-J*+xp{2( zdSLTe?}ESI!gyt@VM(P3CMX19*#dq@lUZT*vy?>;`JEXT!F#}-lc9RZrjwU{#7v5HA2Xk3AU}cJnrIz^?JZOVRvwp7jLUy~(@MA;J${`Y1#q#4i*^ zjS)vFmsusIG=jovv4UKGyl9dYdTRwg3tocgMiI|xF{=fzGa?&>kQc;iO0O=8ocYN9 zT^5xna=9+P-+e(BvaKRl@oVk3DBBe(42pG$1@~WRd!q?O%{6{a z0=Z_$4wROW&q48AGzpc}%0=t3_P53;>*S$5?zOcas;@gc0i}Nw-G((?IT>03z2~BA zUA6&a+FY^Xx$QYhLc8psd&aIcE8@A^ewvH!$@TEu%Qkdj`u4n>2?X!!70_V4GYX~8 zr#_(6A46ws%?eFN1;H!mt(vcnLPuJh`yJe_g$se(ZP#ANcVAl`+#c6|1-++;$8)bl z2R!#4KwGBI_Y^$$z3?2m{c=#W-v7`rx_=)KSqaF%;_(m~)Upts2QM!Gp&`H4MAb|9 z6GtFRd6`yFkL9to0G9EYLqS~6`^^MM;Opu7X9KU;8_FBG*BKBu@iVlUHuKsOP|Fq` z+7o(Pd5b5&O#Xxpb++*Z7*yc6Bmp|ipXeWt8Jsqrk? zhE5A&WM|rzN@iaN;%sTqarPYfoX$$-%5r3;=Go*EU+B-5Ue%znKvt$aZJ~TZp~52h zv<--hrF|&C5_z8@-KDbTBJg5mZdVYO$$%yxE|(iAo?9W~?m&H|TtRzlmF(&XEKVk8 z0k&CI_y({=8n+?2Rlds#o+)ix$%1c_2k!w*l9_4P&dH6lft{BXmw^N=nE37HqXkBG(g8;6vmxIxZ>nz(~sKft7eh2!1O{@j*lI`9M@QO7;kdL%?kPGzR zu-1D)Ou@-2u{MCVlaz%Dw0xz5wU?TtM0pcV+Vj@Il@zUQwjN#*GJ{13y z8@$=vsThcJc%~BovHY}+U_4Kt_g%|h+y}OfhtS$w&+~XgF@ZO_0pbSUjG}^#e1imU z6VFBI&t|^y5wI=XmBPTSJkLOgm^_g>x{dqOCk!}(YzHin4}AlLBwp(Xz*$}<5yW%+ z#dWf{=ege*RCAMG9SQ6fzeAPY=BFwHJmFKwojm1{)tHifT!1js*w1AI`A73f|KOrW3fapmPhv*Gk0p|)nGr=G1 zkOSrLY+)!vS(ph%7^~O`uMy5lb_Fql<(`D5BH4>*5T~(W^#P(;msyaU&Q{g}Z$2wb zyajA2r2-3Cb2<-S#SYWxt!4!_QTuW1bp@cCS%m=rTi7OwGPbhHt^j*jP8x;1Yzsx) z`&jAu04G?yDG-_k#G2jqC$rD9=3zAdF`l3t~8* ze-U~SJeJIAB;P_Y^BCT#FL=}W@Xk=5!8g(7oXM{Qfj5gcy#QhiAM+7lDKAtW>ajK+ zeG-)}<9m+*UB_=~5M9svJ3=ObbFwBIct`Tj8+mVkfK9wE-W5x~EN!GMylN$Yt$aZO zfXPd&1lZ1lPC@SgFH#ZBALNGyfOv?1YXOkVQ?>$};Zu?T&T`vuvPRcddvgf0=(g6aQvjb<+g^9e8=pH6y?acH%3TU)iVT5S_%^M53C-d>T#h z68>uvcuRTnX=pQ+e_jKyjJNOtaXDY$2lW+vMYaq6olQK8nWZ20!^68aH_-I$^lQe;WzO z+uVf$l&AbyM@T;7ZWOgV=LISAdcn^;0PiKf3WCBbouwZa{Wufvia*v{0TZ1#FSr zOQ)2p&WdYi(yQFtXU`J)L z0p2nB?EtDiE(JNL6LLZ_#lI)znb8ovB4g>O{HiR!8_a9cht3YK%UwsHctcL6$mOOS z8HAQ@$=Q^O+?JndW89HF&j8$$ZO9P3mCOCXdnaF#je9S19|rg!uQdkoqrBM-BA?_p z+Mb_f_0|BkFS7X{RQgpmxd!x`Y;qo0iY(I#qTl682Jl1nZ-8n-wb>^i5~i)8GoNtn z0yQ6@RV5P}sTE%e;uvjH48T~;wHF#(q8$nYZ>ct;Bd}Pl=v62z(-LTYmTPOt(yg#* zJE)5*wHFW3?ka5-9pS8oC4*?3HjVBv*Jy+DK{Q^AoCo4stujTm>$I=iL0qquEdpYK zc7!(92JN&bh#R%V6l-kK&XH|9skNYwe@takbl zM9*mrAER&QwTQfE_omi@jvj7lg&ZMrTN_I;{T;1sKVWyYtF!`NXx^8Bz0}T61@D!% z?*bHFYfIyy{zfZw4kB;0OHm-c)9PD*y~m#{hr$Q#{&XmO*V@~j0-d1mZwYjwZn+4V zN&3=Y5GU)=&!DLEcUFMe`tMUw=^VZNO<=M5K#H`N>Bql9Z@E5tCb0GTZX2)!y*7>L z20bgSxg@;;9Utt__eKHRsb3)Lze{gj2O`JxxIPsB9M`jPP*3QMF9Mv@CoG2ODLo%0 zrKk0hg;961-nXo zrC4x=k)5KjnMSirAkH$feFPR`WZ4Ix47Zgat~AEH0a#_Ut`FjBV;tpUaYlFARBMbm z$T&6ASegacHlxKOia)j+85culzri|#dcYWN!K)oKObW&h8PRl5aM(zRfXESJ?mLJa zHO|p+9Wzp113PXECCyoAe9&^gr*bXh7Ho6ys-VNgz-A_L-%Jv0#Y0RdW z=#vq$2qL!6M)WtpFNQazgr<1nh?~kB$OD?NVqZh1uPU z1Qu@hlpH~X-L|ffjI`rBfQ_*W@dGy2E)yApD7yjs0LIx>d<-z&F43L+bdRGall|+N zOo3bfkZULh&*$jHC|}CxN6)3)E)-wGfH8sPqR4q7+Y$zB5_4#P9!+MoLQ&-uc89j) zTy|*?MCP%#wu+VQhKg6S4g~??SgBW#+{o6hqJxA@tS`;(W;U5} zwk>SsLV&Hf>IB%wGE<X9^F~Di|u>n9bn|TbiTxENyzt`A+CeXXi zp3}QOU{3T+>qF)m0?9|L=5-L?uw{P0-m;_h>HF_@%$a8QJ-fdF%nxi+2~_iuwIRFq ziM=2v_?gAh2K~Z@jfUh`){COcZ_JH0Lkiot7+4t3;Runjd~+9I(|DQF(2M4Mvw=9B zciIW!eEtiakuBh6C~8^Ad*d?IhO_L%Ku}lnF|?WD_~dc`Tlml-07z+?K-A=iR|4D1 z&r^f@c<;Nw_Vf7n00+2#D2NC7C7vk+O_ zTBrO$scWS^dpjT-O4Etlj@AcWnvZf&6?(hDjp;bl_N6Y$P&S&3Ci05IbrED54iFnqZO#fQ; z+YRv6vG=QfCNr<wvKL;78v#^cbb4|UpmgZQaVU)z4bFLesiTYsxl9LXi# zfDeOjGUD+zA_Eid_FCS_k68v$(7~wY0KOi)8zQCrpYOF zn*Lw2l<}Wt$vJ(NGX3APlsSEtTrf-UznG>h>C@!;$24V4pQdayO%N)SJ$;sPq|Z{$ z^jUICpQT*svy?l1mhz;}Qr`4g($Z%sA7&}F{WAH}r^!8inhK;(la2{0m_9*;{>20t z&vD8mwQ@7hU<-MCzJ#)Z-#L_(N}oqrxeq;8$#n%~)max%R{N2RvPKn>uW1V=lIQ1( zC~I{m<=XWwqx3pR?7HzpskezL@@YWN4VF@M4I2}s(V?>_8$Tg=zf)9$|3jiQ^`Ym0 zmQ+Kti9`v$OieZ)N^P~uK{foc0(AU`_y1pYfVNfW%>SYTr1$^dbb#=`|EmtrhWz^9 zIY91$GtmFAEt=*=*Hr(>w&*`?iy`do{>iooJNtCg;_$Cbiz7@+>i+xp9>D3JY)i&; z+v5Cx+m=k}wk31A2XIL@E$|mVJ%DSvX~}97wWZmXZ0WWod%A7Ok#1XZrrQ>`blZ|E z-L~XTw=H@8uq{%Q&YN!h^QBvr{OMN3J>9AlNHzX2Ew+DeTUH%UwJpW3VwY5Mpt5qn zb(B@!-b7jb%{`PgwiCU<&O0a@-nfm@Z_-_q0U;#WZ1*jct$R?}W*~9f9wEB5Imx%T zMH4Ax_YIU?bW-a&n4X8vCWYTTNNB`aDo388#zxJjh9lT#5*o__h!(~25*WvNklc7y zi9{x_U#|g7WErV}NvtR}I+;zPzD{AKNqs5{yGHTvG}eVQqS;Y0lGE82Qk=z(5r}02 zNMi+?Np-Jgxv7istR8{&Y!WJ^|Ni{FsckRfpFeYP`ZwL1()rJyx;Ta2-*ImW`}5~6 zPU+a|Z@4$L{n?AtzvKYn;`DF2H%mb+mGa30GMY$T%kbmQZjPwBazu}2&9)Gx^ zfA5J}o*_@z9QL{RjK=S3jZrOJ7iu|M`obQ2wPaDDnP(^%Kg!^aZ8uuYW@Mm%gC1{q;{M zmtzY5^cR%2|G_7eym-EUvOx5EY)s`$+);@{~{QCf1mLg`hTp1ohcMCtRKBpZ0YKE-;MuWKO3j~*2$H9f*nqB4$S_a`DG|LsP!Rk5UsCjcP8)%s{&F-lS9-Q@`#}qNRNtYtCq47a) zVBsbH)9j49L^b+eqjFhlSqIlCBD1~G0#J%94w_?DC)ATs;=I(}=zN2ynH;sOCqAQ= zoKBiv)edYUqw$(pQn)$WjG8y8zR4)XB4%&Ir=l-Y%S^YZ0@qAhi5%}yK^c2##M~xQ z*326JlKK|eU5r>Y;2Dx!f?u3}9oJ zlPA`~SXL(=uqf6n7T7rUG_%a0&tv8csLf}?&*ReJ4QpQ<6@Fr$`a&d>H}eIsjp1YC zL7m2z)`jRy{^B99x%~5CfH?j+KNJ&r-)TQ@R<5xR=%jv!EkytD4b#Sq0Pk3Dj5;dL zk`v$udyc`vr$}Y+BB`&C!`Bt`(k<|JBegO`iCMNbQ7Syoq2c{2#?ydQav(q2k8m)#}eK2`#Y3>Sq(2pnLy2pnXqsh5Y?HNp*6rVB*a*lb>rDx1R3#ju~lq!s%_(@^{_HB#~|J&$KisQn3S6RquutOc#%NvteQ;AD1%M5eF{ z1g5f^^cK@t!_*G5m*|GRgjL7T=(e;M{&($bOT;yr_Z6ZMYD=A+)C(>x(?a@KwZJC& z7^Yl>l6dy8T5;+qP<9~$;Q`Hza69L z#hhl*$YkU+1kQD7O=q&Fm&@!y6qj8jljU?al&%wro;8jJJ6ky#*6h1c4|Pr-m7DNs zY%KP_KAkP1kFOUp53Kl9_Rkmp`QEm)fyb9rtv^EiAzy)^`j^x2a~M+J-(=Zom=oC{ zTDv>hM+vZpjY9WR`7RA;g=D)<-D~Jci>9-UwpWj6nt_chn%;REula@U-?wugTEK}s zf$B`+WeD5B^U)sM$$h^A?Ber?cZ`3bdXIB^s`v!2OVjdB+Ncu~v_x9!iCR3ZwIdn~ zJ=VB3ofmIi7MKkm#yq12lkqtfsAsh8GN7`HGvRbi)Q(@c87?zJK8d8{;sx$6z^%RNanuQnO5xY+Gx^$pf#jkJk*L&yHB;k)X}$E z812xnS}S_PiTVWsQ}j(FGE-mk<>yS4n~NvS;{ol1^7E*rO0Lvy)i?Ai)!L?Rw;Cz5 zrfT-40kmu+hv9ksE7)~BX}Y`yQ(4!J#;!>ajZRZHT8Yh%P(Rw*(Rlw_h*oI#qgX>) zk4H2+eTL9@^p(`DelBFh2395Rka5(NQLU)X(JNixe>GcMB1NzZ)ba$joq9Hf9iexg z%3jl8O=qpB#aXNat%ex(nbwbDp(HYg`OzTFWgUq)pY5ZOTgYNbay`pShCYEEr?J|= z22m{=8FnXKI+aMB|1GQ+4a-*6nf#^6rc?7T*(Iv<8+$_Ikis0Ohu_&bdXFE>nJAgb zsKet#DOyzH@r5&p(?ll{jS(Yhq~pX8GSv8K2@y|-RV3Fo8$iv^)S_q(X5%L#z~*UPXcaHe4$+V-(FDzCtX6?uZKc+n`V@x`mI30m zAR5^O?HqxPS`3ZFX6+7vZCZC)=t){n8ucAoAyl1eob2X;pl5WaSIlf1hkm4ztKFe7 zv%IJ3J&(}r*PcZi!aEQ3FqZWN34+`OLCha<8MdEav}ozyO7Nfe znHhz>bNYRzNdKZGQ~G^o=6`jc>5~4bg#33Ov}E~*>rC6@IGzp#>E}4P(yudfr(b90Nx#m_n|_^Xq)r;nU;f<}E%xcN zRv>-W3Z`Fb7D}JA!s(M{_a`PTXZjZ{4u8yA*CjM-Dr_~M7W02#s zo@<7x>!;^aLGGHX`ECs_Ce){rqOg*h%&LOd^T(<~0`IKmHxfn6*9m;Fqw27W=U1Nh zxV`dREA7hhEG>FyJ>}0fs?$sdhnZG+#GU}FXOqI`}zl0tYG!?YUN(sYW)w)YBh5v z^Lf&teiN^thH0I-e4Y<*P#bsX#gzRk?x-rgl5VQsJFb~wxA-t-7P-Tx=&H_BjF`+Q z3Mp%TO;dLt@+Rt5Xiu1rN$q>cbDP!|yc$zEPU#uV126eOuHKi^ zU6g$Pq^JXJ<8^Kw~H zm8m^P2NS z-!8a&?~nmOA%oQTDq@LQrK(t~sb8FBVI}$r7c;k~$jH=pZ_Qn0Ehb!4uUf**J}AJq zeA@6u))Fl=^NY7AEKUE0;yhDT8i_8s|8Xh0dkpLH^MB2os6CBDDfPLL7^Frt7IoCg zg0i5xeUnS`Kx46ntF89Biy7-LIx@{S$m;E*mYm`_RQUkW+T0Kz3_Y*a>KoM5>TPY| z8{}KJiH~~)kMiX+&=#v-A+Wr1dByXX*IS5zn#w#(6jPaAb3=LL)HOAwmvC0KR|_|- zNmH+S8C1We*u5+2V#pJo@{H@AT(8eu#w-4>mYtHROU}yDn}0EBD#xQs(5#CK}|j? z4Aslf^Q(*Zu@5Tt5I>w!d)7Q4tz#{E3SZ3#s^{C(>Km*w7Q-}=vA`CYb_rJN#(Ml_ zHffsXsBZKVEwlKv3ihp(s+cxlpHSL4UWp1#E8iu+1f$S?)&*rkAnI%eG5PIs&RZ)9~Vue4PAiAk`6JdK+ zOcYl$TdjV+LH{w)<&|xc=&G_!76txcMW-!ARlqQ^n!c08VwRIkg*C8M8YA^peS@t& zEgLB2DsrkSQ^j!g`4DXA`Kcl^Q?VoT9O}9SFTdR)J<>Zw1EcJtMFF*VHcU#JXwfrc z-N6IvcNpAjP}jon)6+EGbhgwL%50_Eee?~F`_BgyuF(E)-|oO zg^#ArX4A8qM;C~X4vx)(yaIzOrd70il{hNZ=XEjd18}LTm^sC@|zJ`#T+ATANlMOj$B<#)QTu^RdZ2a_J}Id z*Q;)zS#Gb0Vvb(*ysbga0xP0WE*E(=)$ao=dyW0#4pXHP^`c7OrDri?4~i^2?cE0s zHVP{DUvz1nI4tms)mxrexq%zC+{(uf<~8D&IA^Cq&WYM8skc_vTy{ZyoK(zGY?667?y zem{4&y6SE;P<5C*?A$!4Zp#imLz?$XoiA0G%f<$1R-b^X$~sWXYtH2|A6Iv!j8*|H zG^xgGvXi=N5ye%@rkH^gP3};GN*GzpYr0&=)U;nPyUmXCysD4Vb#tnnJRp=cO3R`8 zPJ*@1^pP9pR3~|qnWLO#HLiGZxH~5o30LcvMS81EZMD*B{3YE{t<54|*ux^E?P_zb zt1Q9Ph(4N=`cO`|nftR!JB|2hD^0y{lTDS|dR|I3&m}!o?VWJ`t8&S@Ot~N8&MLfz z%ql!Qs+t+|$j)4c{l!{Q-z#bb&9(XDerAqvm;Rj0e}%M>z-f%D$qLC>u0k6cy1IT} z%c$aNVIM3jA_MI0vChufIVE5o@upTo$aUcATp z?e_|9YTh8j!E8Q2W@V|nOT`Y9UMgXR(6FfC-sXwCYV2v<*>oQy?@Bdg1RQm)`jhU({rlh)^{tL{QccS0c~$8+J(KEp zKzC4ArfZp0ayRUs5w|sa)jm{a&11DTsUPU&9Ske%Z?(D?FXK_Ra+AQc(o~`HH?H>V z$6~kEgbQ(rkb{Lv#y_gA-XF#G8rfJDS1FgIow;%>R*pJ$UC*MXa3im3`n#r^YMi_P zm$y-B=E7<6xSctAj+9K{_@}sfu`HpU*F( zci#cS{W|pN&^4rYNS`!Qo&ilDstB~I-8Pv=^-IJ!v|A_Zr+M9MA2m01WE2+C&2{k3 z{j8X!wrzAfRd~I;Y!~S5owhu`u9tD?-9U>=MPc=FgPf&mU6Q&g&_&Ow=9Lxps!9Zm z>!OXaBun!FKX=lnP4d2~vJugUb135e>zm~vp|;o%XCB@rUkPrts&SE`Fl%gH-664R zLyqu)Cf|o8WiB}+>)5NzxGc>^wTn6FhRnp$olTt>kzWNA*X`A<`4tzA(fkG3Fl zlK|__xWXfC!sq4D>M+&hm?&&s%ZnSCwB2y`GyDNkr<~keQV@Urz;O`8*VZ8&dJPUS zClt}92$kY0?NzDa(p4?qDBa8!CA4l_jcaE#GmEwc9N;Z> z;qQ)^H_B+cT~u!Tbv-k_wiYN=)FfmdrR!-^RKe;-VU<`nH~cvk z6>c+J&Bwj9a-2-JuKcUYjAny=T2|fcIYetN%u~bh3v1VwE$cN%osQH!?Cs};K8FUw z*F=rdnsT+WGVJ4zZWzEapAkx5aMW^{-)veho*K2QOPOKjhlgu99Mw&KVJB1b^7WIo zJyH!QgS8VoT{~juP`A3$*$LDwfRM)I3k&p!{!hJG106?Utjem7r}<_dfEhYQY<@ zUnXchRmTn5Q`LK;R?3XqsFk);*K=#d%&&=>JyW+9YML3aLwl^79rokz4X7W(WFfQL zA#J!+wHy)XH`xOlQYs$n>gh2pCqob&)WWykAK6lQlb_IBxLNR&)|r{pPHRsLmCZ?H zQwf)}i!sYFY35~ZDE=PVRjsPC>g$hKeE$`!rG1a^_pdHp)C;MWk8uuhx;vKex}Caf zOm*0sTaV#J)w|YOkpgv_HVw9VHw~~hY}&MOkk!ZEi*mH$9wjoQ1xyvxb}TZ~U2z(WO-1-W@T(SX*7~MBf^pglV^@^x zeeF||W?v-pW<1x23-w@9)fL~b`rttGH3m*ota60!PS{8dN%W57Coa-u4`cdRvJcL^HDZ^lu+&3 z8U@S=Zh8l)4MI9OP(8`3Z*dIv@(W0hffnY|+cDGKUEj}B51q&#dd!81%vwnIR=euM z1y3%dThxZSMxNAE*gRTD&m~obo{%Z|3}-?Cd31a8V=>)VcTUe*z5Dj*(!HzdTvi{i z`u4)eA4}lH%;#nGaZK%ya8UC+^l(GD_dsYgwxQvyLZ@NzRj8(y5KgrEt6S5~eaulc z^<1#>lVLli)W-6ed=}>y7i;MokgBhhjw<^iJ(qc+p5B+K`vYZJwa!g8SJXJ~yeO3Q5!c~=Qs#jH0Um!h+-of3?4o&qWZja-*pC?K=1NGlj zrjZB`wgl?irJ85`gT2M0F53ieAg@{XPL%v>Gqj1;SS7hUJ7 zNjH6H`Tu;X^)u)T@(!}r^$Mc3KFr6%!-M``B17HA0oHnf6)UUgtnf5Y7(fHR6@$@@teMt+@`&% z)dsG-x;vsso1>A-EIvYiC)CF5hMT&b39V$c>1Q-G`6|x)4&^i2sHc&7r0_;EA2SB# z`j`6f>q%quL5A6Ovfj;3ZcPaD( zp=S6xn!v5kVRGlK*P98`*rYREeLunTn|9mvVoVjR1e1Zo8fVj*s5>zgouuDZSG|RM znis0LNXw&g)WGp1OjR-S$_}dUXcuHrZoBk*OnL_dW>BT8;7xY!)!U>+h(C|oD(}-f zvrNqgB5?grhY+*(>m7vZK2R1@3;P&pOQphLJwcjpkLwkLs(D&3Vn+)i^*q{oTK~k& zj%W3zLQQxsOPd=m=tY@2@LDfoez~ZZGU#;4>eB$r%ezv8n8WbXJ~wf+IQA0GBd6cg zOGHTyr%!xlM-p{!k#sc!zUq6ptAF1)q`7yPcb zmg=I9^f2SNF@-6<8fo>f(kRTbTCHAzIQRAO3T__gV{KN~H`u+rTCN$BRDTb>q}f0> z{8(xhrj8m|hL38&&V4hCI3qQ>E8EFjZf7J&O6;XtybJ+m^NdCz*!curSS6Ro#+sGc zh>`zrY*jVwZA)e|eq-j>-Fh4|k7qY<=CUqP)6Lg8jT)Mo9tek9DxWb!<$J7EHw)x9 z5}9K_NT1F@KP{Sj0i#fwRqkF!&!{ek!SwbhU^L*A^ru-SGog@C27hL(pU@0HSPh@x z`YJ3$W;bsXF$#0jp}0{{SLdo2MOEet*fM316Pk6(8l2k&;uNoiPrdS{mxnQ3S0f&4 zMa|Du3)!R=JuYDSQnU(JY8BQC+P*RO8WG{;sqa7y(1Yps|X_*i6Dc`U{t zbG5~AX6kJMV(qJ*#%-rm4_YszJA7ycHF^T9V{$EHAyZZJ>Dkq_&9G=UTgi;B6g4#b z8F>e{N*gj;9is=gD{uYze!tf>4CEY0KUD3q2(BoXmDOQ=)l(m%Pio?$b~tD`Q@5qU zw$xO%qZp^S`i7r0^EWo~33a)K%&1D1!>Ba!H`YkC!4+pW7&1H4Ey(Z}=EN3;2U8bX z8Us|%BwSZCXl1+-T57PPS{A|%soK^kX4={s_FR3w%}c8%Z*X#Tqn**mPJIv7os=AE z?N|)qG73+s2@KipSQ+&$F>swh*OR@mz3dD zUC4Xc14TL6BgNbh5>tLW?i9r9_}D}9o|YTa^QPt(%?OFSVE%agrKK;WW{s;0SI6#& z;IxP9o8<*{QGv_hc9oroRxhfK+6j*_H=c-2w_{b#d^+ADcXbUFxpVD)TC_<<>33^z z#qq=@nbVDp3HKC9%~`mXob|kvz4=2oTMgQY>iYR1yTNu~s&&}&yi6Aj^534S>^D*D zvg}LF3$l4^nG>(iuXY-=XSMOjf-)!37;%&dT<9v8KCL{EuopJkvB(ngZI-LLh3tr3 zy~r*MJ7H?xEdQM4b}K*J7!8FTTa-Okc4MnaTV%H6PZ5Q7CC%9)XBMz_kKmcc9fZ7S zG+{S12;J=*kA-tZ$X+P8dk>>a>|;Y9(Yk+&oMDX$!qoUq56zCS5w|nq;aR~DWsw{? zeullc%waFf%lV!}&i&<%aO&z4c9keBF5`2#2sFkbPIWvMu2qusFv(H=l6cgn8j^MW zr{2&x&tNdj_dY1^yOe&J9;wqj9Va=W_3~=@4lacRu#c3@#my^xk~_0T_OhtkI{6u; ze<%C2o?3?)*gEOga<$d^`Fc6nYE9iBdssAbqnt?-hFh6bx>=5-KW&r?^=Rj~qphNX z(WcQYGD16B=0?mSW$_OB1~*hZ+t6zcI|MFUf3od zrxn}%z3K8cIX&A@HeTyeUg&u>al5R%y;Dl?hjrSyT|QQ*hS&PFQ0TnSXyI=EBGN>x+$lDw+s<(HPwrR6eyi;^jhPLD69Su5o1p`8tt zo`yhiptK}hU7H_o5{tvtPI)-8fS&vTmwVn>A$!~%j={Z#Dy6iv-cE#_>KN|YMqP`c z!1NNuD#XzON$|=F*}H#;yB9+D@HQ(X_&^YEbVQ&eCq%cclzXzhBqEBMoZ_fz?gfB1 za;T?QSIPnE%Iyr~Ogg+$E*cmJ8mUdC^jQV2=LO+d#IDs*SE6}yXO~dhDtQ;h=BDVv%C>y>l{G3^k(XS3Cb5YJ!;87ZMe#ibrqVE z>w(pBdv=3M57|}K4VVRnZMW|-rSqpM}lKFY?UfuJFy9N3sE`;B0xXQIt}@{ns5*UTi~*n z>@yP&LsA95mKFTs(o`YRxZYDCAyxt7YgQsJJ#D3LgViDTx+kaG?FY_awLM1S7rkOW zUTq-x@fH8PbpHk`FFnJzINi@`nb#f>X+0^YU1s_A3fj;nd#CON`Fw=_*e3gR=Q@J7w>(uPlPo?doX`kUK)N={6{AJ(LCZW89{VDh|+(JA5;TMkG1x%43|g0?(rk%mN%s=AT8Z_oJ>t>6@uyY4+Z9=0)3`<(j`Q2}4cC zylBJkzr+{+L%{W})47}Bd!bZ2%LW+h)jTKNf5T9j9aU?tGt?Br+Vx%c(`%o|-p%+< zuqw>gStT2FreA^6+Z8=ym49{0v%WQ!Z`z@Q2RJnBtZu7~X{=|g#;K4s>@gO(%=mcX;PZcu2-Ge6lOJ#^Y3c7`K)-4D)Y7;pg4`&GWx)*|kn%cRqv%6=Rv zM9f`EljgCjD6D})#yG7?q)kL!hmUzop8|S1GA>(Z9HhS-mi_a&T39X8vO(6OsEXVq ztn&iPbNLls*?7%Mr9nsJkY-J1-%UNEfZ2cMM`33->h7ElcFbwr*0hmUBhvaM>H2LF z#_!LxEqCO`bP`ZQERrz$ZaSCD=NOv&L=xt>>Nuk+uH)s#nI?ZMQ@iSpysY-nJElPY zQ1k;UPBml9C5;vylK7U@LFrFz0&PSMoj4@>sd}`A{&h$Wa+x23`Bn{WKL{q*Z(Ts| z>H7KwbOQBermw9PX+xn{O&V;(>?70ng3kRh=K|CBfzIW4YQokrq^R zwM%DLU|s7r`@w^5n$Ru>cR36&f_s(K<$PBxRa)9EM|a7MVc*}ht1lgBm$zFjt;gEs z8OvJV`u1mlRtp{YT#jyLKbGM%fIldf$|HQR(3ttV4SX*!A23Y*J_9qK4;&`{a1use zvt7P_h**h5!318mc<7#5AiQMVk|~HbeJ*>H@QKAGSz=FUnP*^jGiqRV^OYq0V#i?kMLGh{STBp=z%K*y80uxh7!XA*n{=_( z@F~~z=`F(s9ziPJ27VMR^twq~(Ah2a@m2%RhWu^7%MAIo2A}2E8yJtg70&_xyHlPT zzLW!-9Wd5;Nm3hr1sHND?lj_LX<|;28gsDP49tc(X=?r|=syOGJkrszCy*08ezVGI zoK1Cu-=jetFlSfo&_09D1~|iNd3Ip85$!{0&sdKR^ItLer@?2O2RfcP>lZ(OfTtm6 zo5RN#d=$Ts_<8F0NqokN?GCSqBA>h168M?6!b3r@@Q)p6_qaSGE!fk=Pd^=#GLtt6 zm*k3nOZEvlHuFjnc?|6V*~+D3_%m?2VUvUMsDYoOU0=!`nL&fZB2Q4!SMs6T)*9sb zbo3}B*BhiC(Sh~!_E+-L?lZA^ucKji^y3pm^!*C@%}F`g+DgYx%B&$p^?S&fVWgq?^E&~-;pQ^QAzhJcCVI`bfL!7=V>LT~->s#}(=yh5Bo-MG=oN-NjH3qocZo&n=lNWF$^3r*Expmt`xuz@57=y3i zOu~_Fp`t6Y%!<>(E3yaey(01duTc1^yt4~GX?-%SrhpU4NieK?4LQy*P>Zm9v)?TA4=gr>_2#c=pPf$QYT;f5u_Cn?MvcW4F zt4&*{RA_QU^hk+nS`639O$&;~DPK1&=*<5rUMZv~>7Ab`>GqUKO{2x_lvPcOh<+)T zn&wu^KTB~pYmyS*%#qTmnWo)_-ISGW>sL>L@x)aFmx|mU{jDS_>F>P|x%GG@z4(im zyu+VFCu;c@J@F-(P!A;fYU}gjt19VxUx1Tj%?nPVLD~8uC8=FPf|6bo>J%CA!m>1R zgJKnx5?2j{aVuz#o&&=4gXbwz+xes<0(BB#==@XjTqXVKvy?~e>T77u)07X}ClplD-+gAM(@ly)R8m?fmE5be zs`0ta)s^&^7a~DFY(gzEWm5Zcaq+ccdrVxLt9r)cqZuOMt;0EhD@95iQqn(qn)0Z9 zPVsAszr&ls^%+Wffv4tLv29wE99Km>t>h84vUjq=#d-8!D#|#N-%%8Fp_1DP2dU3S zEfe#V+&0wTe^%*1>*iv@geum*^@FJKYXR6=YEp$_?wJp*ApQoa=X!? zpFaEO@8nMwJ<;TIGAFvxkCsHewvat}?^&U$d?e+bn~dIZ3-pYVUinE%+qUr~Veq-- zqLRmqJA;|JI@%q0E^5U!apOKO;@+;TpipZxPY8I+rMZ}wvnuE44)U%2xmQcF|>gR<>{JM7W z+^R_tLebSuB$g6+iiZikT7(W)5!pT*N5%vp3RY}br*?(9DGlpXR8&ovYnm&T{$6z& z+HbUk&`^^o(eU$zVQvZ4Y%trCxkHh*(}o2^M@{X~GV~(5_#juWR$vtqc@n8qikC#` zf3(Iq2gmsx57Oln83xxYl6oRfI3xsD##`4+A1kTzxW5D#9OTG$G+KC9P@Yi-{k! zKqpe3DI#CRFWP;fS`ANgL;FjLPsN&0zEYx$SQu(lN}Lq?LZwQJ(xOvZ+tOl&P+yqS zDi;&CMOq-AIBZgfwGG`YD609Zw}ZXktRj%7QP^6GcA*av@VJuOh1w;E4QZdpihi1! z+AeeMN^B{%wB4chF-c3Cq=|!RUOwpwDV1| z%v-7hoLo~yw5l2nF54kAq^S5tE#D#Zyr_6T%~?jg66(?pA!j)e8>;c12nB;KMbQ)= zMw%u9c}cTpP3YWHtPB)T+Ch7iA%70Sc=t#(MhZ-mK6mwMV-6` zRn1cD1YA#H9UgN)W-99r>&Nfa3q9y5a@S1g2jQ6{=ChpZg9grGJ;CzHX4Gf1{)Xk! zJCK>fdZNX381%WUm$Gd5494fNUe?m&ROrheL{ZnC9gto81Pw{D+^G;6|AY8eb!-W_ zdWqQJvUH4d*T)~!R|F<)_M;x5Hbj3rqs-tqbNt4|Fj4>kqcRw7w?0Xog-TTY8ub)? zib`jSXH*xcPL+t}dGkXc(qP9zP1r?xJT^_Bw6@|SA@a6YHwgmh3`dvQ)uIlB3p1`EodAtTA=#O1%Mq?`ADs* zZ*?@M~%JRK*th^e558GX_F_YbWC0w}Y? zT>2Nx43=G`fs|pp6w8}$sfzh+z9FjRn8l=+E3j^|c=u_F`F++kODyZvS=TKYk04X0 zVGuWBwk+I@Yh8{@izVVKFg{`3Y8eZ*`E%AIEL+x!BA7y?TYC;i~w^B zD;i{WncMmi3|e|`0ja&wuu|Ee*GYDsWz~Jy?#jAmnK~5;-+w^FY;mPP;V0IumepUN z-kYt2qY40r3u1-bq8<*(8sq2xs=Oc;}tfYY9ZO zrqgva#ZqzHza*;~4w^_<9 zM!g2>4#gCRFe%pBRbVvQ-5JxRc)X1c?s?D;Pv3!zVtL5=GuF``y%AcA=aV55V;>0l zO`0j2>uW^xg=IJPKy1>0lBwk*6eWAmWs@wf6#R>AoEz~-VL_&-8!NpO5mkuQibEIMt}cTQ+J#s>u`U=0f5~_bWLudMm?7`}&XFvhw4PjVU^1fXT?WipnpuLc79cRbVqy3Jy zekd{M;SY{u6{-OH1~96D3ataNYrj9XvWoQSzVOhi*I15y6-@pX}cIP%Drz5*>J+ znGbIns8)3i``1q$I2cxiS1FoXF%SXo%kPKq$eA3RquailE__pxKw9Qc20{ zs)A+?72E|>0!5^@#q-X$1TVT6E{4ld+9JLzxNTv&0(kW3;Kq+*EK!MnAG~hhH3`)o zh=8C8Q2JB~zW5uKKj036s7U=8$_ZV7vi}mgp~7}3w&|d=$QDH@5uBv*J?st@t5^%V zZsJZqg6eNxe;d!_fO!weeV|Qq9-tPz z*HtJi)&Zhum9nbBV*Nn`ixsnI3X3g&(aRlFg~dEZEn2L;s<4=UR+V2>ylu)UUX5UV zz)?*G>lpa*uK)&+Ya2$=Yr(quAAiMug6=+?0qAn2Iti z=UQr(6Om?7w*av$OAigHiN&}L8mkR5p-(Vnv^*MGS5p)VHX?DjB*xf2s!~tUMo1*i ze&z@`BP9~AI4%$yXQIJ~1g(^IOkf1O2l2Nw8dU7>(NGzQXtwtdC~IXUVznodQ%)id z`(xU9TOw|I_oD@Yy(2N7{U^$mmq?7=N90|J#MwVP8X8#(V^krrriMsEah8KyQKJV& zqXtx@mQ>O8xgj8Gv8s;l>be78VXRYRb@FOAV`G&##oYqi)`1Xow?PHZ76X&Ks5puh z!zfm`QT73f)NYjP(<-P~FT$6502q@<8iES8Op1F1Dl1S?JRIoO0~n03M!`Wu*$!5Om^$GXN|T0 zup3m1)PUT-kG22BP~#5Jln?tE?f{GY=dqRut`=d8HMxhfU=J1grMsvs<9h9$97FC1 z#`RjdM0_^J_1b-j#3=UnwXr=!ASfd}i;VOvuSI%##S-Xt2PQ3aYW4A`TV$kXk&&K7 zMtT+*=~-l?XOWSfr9J~a%WHw2p414sPEBuk7|_K)PyZ4jJ?aef^hQnUg08w4>FLes zCo|I1Th0U$$;T*)F$= zQpAMkLrebX;UKZ-tuS|DyQ3*Jc{S$1A}5VGFd0qYU;ju&X}=TQQR)O**B%`cG|eF} zMMJWSY2I;7>1wj!`km<x|<62nJjg`dghMwt^D1;8SFa@OL7=kXq=0lI@1q-e9}Dw7TIFR}ThUK4 z@uM4wA025JZdQ!E4|vS`SeWHXNB#~ZUUk$+Qq)n%Q(_p|D^q1OcGOC`$^OAHE!459$f5T9EHu2T zXkV@k8=CSb8Xtwklp90HW+bLqRcuCLY6+}rHX|{`y4BV^N2o+KQ8Y6bMOr?ak&9wI z!Di&5SbxK2CZ5)=SxpTomhNZOBEWJ50MS~c-)KF1bBLranE zQ+#fX{l5Y6NcIlC>Tyh^~b>^x|s~UznLoEi-fJVCGWG%v?H{xzxg$%ZMe7LRH&} zc$ux#GP9KqW-GPKY^8(QN-dnNj9|8Mblc2q<(9UY*-9-lTj^l7(%w!K4|4j@cB_#X z|M4znE44jpE+EOm#cZXvS1kr?sc^^1;_180o`pyh6{5$Xph_Cg_^n^cS z##joIfer8i4%?ukozcb5X5pf4%mT~@qMg*k*u#{`&7|nb4^U-+iqvxEH!+QTLGwM! znHA+LNX7O6I|@_FT{wti>gt1haWc}29TvLS5h2&OOo2SmkOEaR1#(Q!S98ov-0^VRMm2QETyCBe@m^2%> zjjKscjbk(qH^3sFSkp$a{tc=~Jpk?KZ>XD3ur88fwyqBq@2au_G6v0g6%{AqN~V#T&>&lM4k~*O(^roFrkJl#{DP>c?c!GuU1kfn0zA1aqbrb;kxT{g$0(l7rGporAN zY>{+jQt2ugEecdKB+0u7JSLTWt4mFRXM#@n8=a6hI~!3a%V1Y&FdmDVVX%%g7!QT$ z&S$Yz%M`^3+jbO+AIXm-vuH0*S>9b=b_N1GHtSw}w!=|`^JG#mQUfGc@>^UfP&8P2IFMbNABvn)4| zmYuL3vxv67kfPOqD|s8A3Qg*QuRd~AbdiFsDEQGi2zHfDrV@XXJ@=hd+#(gvvlSDv zoT4A$GZC9|V?0cdrY=(TbDBz(k^+NF$@>O7VtJM-%@r1@?Xq;2OWpjCjd_O=&Ppj- zRqCEU6Z+~~5z|Vxcc&EmI!kbu6dXdqJd^3<76+XCyev|gK{iI&EvY7w0;_osx8zMO zgOc&y-7?9SsGgE{=Go9W_%iy&tMT#Nf>>ZZO?$Q3FntI29kZOcUt^5Y1)Z+saj>(x z^PwxoB-$NmPs4Y2X$B))8tE>L;2Ae(AAK*e(KL~F44>%=?K0}zZ7ar{wIB1k(Z4IC zouz!n@-9|L-RkfYvx3c8B~{lt(w1};kwQ&89oqbz@ENy~UC*3nq-J)xG~g|h(WCi* z*@1~&?*pIt8=!w!EE`mX=}qiY;V?6j%s>FfNHSSYOlWc(5;FV$n@BPUNDwyp{9g&e58&J7(~IWDL>F1gSg*mJ*$_(PnAh*Akfq(-&l zkmV9>j8Ku<3{jfmxyb%-$u$()rKl2r0R2!(VW$DT@euNsH>i9l*^z_yJwXGo^XSq5}p;Bl=cs6MaA@3O4E+vSxsNY12KhJ7gGl|C`jif}KV88Ty&Fy8n-ObMFDaEQn%<$Sv z;;p4x)I|u4c}*=l<|0FFj1(I!)q=D>RuYy=y^6fc^->QnGg|r)_3G2wdU+4$AZB#U zdii2t)`&`Ccgvhb=A-HgB~Q}}lFBE_N417ce)U?57C@+@_v-JGOsN3+#<&wjPRM20 zS5Y1|@m<_Bau6s;4bu2d_UgOFiUQ-EFvJD63-~hz%R>suC-{{qJXq>p4oz)@KEFqo z-$)ltrHit7Da4PjX;;RgYH0tMrQL<2f0$_)B7pU>9kVI)E88*5#5z`!t{pllVuZBZ zhc}Z*!v6OT7%f>t)$lXS*}`T zx$444JMFdhC1<&sCY4rXDNV~#;xZyOYstm3T&>D-wOMN2$L<)pFV5?@7JF8L+&OE9i-UW++Mfkc6vyZkGKE54V)V>bCw zri9>3jju938O@cHg1MpTlV549r36h?@`XA!76~nzu{8~4(>X*%YD^+2J@q@l_-9O| zZ(~U|-p-z~qAgAFTf=?lS&8+wvg9{-aUviG^H7@Mh<-apGGJ!NWS0*1@bCNnPdNRDDcln zp!t9PPSXM2{+FKw|NEp;sCpfd`#&!JyWu9h__Ln1`1|oV|84P~UnlbjmX>)0%Yh?U z+6lQ9YfhQ8$vlGPkl%bxNsiTtBUrEMV64f6Oy&_Rga{=R?VOZwJ7qTJca6CS4>%lk4fOPo(J_O*- z+T}Kv7)FCU>SH#J`b6@mkJ&it6Un1KX5*+&B#-)-jgvl+Jn3ULPWnWaTZ|dV{Q6NJ zbM1Fv)MYi-fY8?dfMy6>RgkaaCG;V$Z5v2IpOEpGs68iKC- zDP%++kd>JzA{C=liMn-D5>Z`fIEKS99v`fm)$dX-3wc>uL$^$z~ z$@vm!Kt?IcXG452(ZDSjmS|IKCIeMD-LD{bI?|g`QoD|zHXV+n zm&T$+`|wiJIm=<=Oo!Rzgxy&1{XvWnGZW89^pkeW$~OKTgidzZNRZLU!DvPHFZrnY z2|m~}zzr)n1wxhWd+$=y)#`r%ILoM};sdBD!=E!&200NRhT z+6Jm|M?2V2y=6RFMcT^G8~Wunr6TZbbOWe{QZ|n4U!+oVsgy=fb3@zf3%BuP@DcE} zd!L(i?=3Oeh%K*m*XS(kpuVQEB}+x8v$Lhx)Nq)ZIA(Zm~>D3xAOEXPAmu%E1Zkb zH>kO|8)4CL=;lWZ6HR#&IU6l#L}Tag8_`$~`M?zAK_Q&+JB5YjKYil+fBA*)jn{rc zk$FJte~8BNHx==buP0Xcfv$d2QO$(o@S({~MfB&Hr)1W!kClk`HT3KQ(_LV;ckVXV)T@`kt7({bZfHc^61#13HXg0~X0opt*3x z!ROlm`ZwQ!?s4PJMSI%Zhaysa7=qrG6l>4wAF*kLn~NqwJ#;rTtc9o)zY-(m7f~MHLgdlqMWl=$Y_Dbvll5OY*^b`t`BnS}VWFu@swjxQ%v4%p~|{u?mqp!N@`a6+dJVxy)4~S2@;OY)^7WD`OJ93-0W8|a9pN`Ouo4X4($Y+w zc)7n`E@Lx)F80cE_WJ>8!&u9{gpHiN=;(m#)wdv)^GA9z@Xvtfl4I+TG}A+xDM$hQ zxC?(q0NaO63CeKvviO7jjWZlPJS+0!cWH{XD@m!3DbdzZOm zuajY{5pF(X{6!>U*7+T?Q05e#`J1@#FXeD1Q7`S>5D_OrxW=S)8Y6rnZTTQ1UDGy<7WYMH z90Sq5U51$?fN&ZnsRkE}HB_2Mvn|a1IXh`8|2%bhZ z&=4GjGmYgz51)+;3zDWPk9=V{(%GQ!*`@ILr10sX@S!2f@$Vdps@z00LqB;*G7N-2 z{D;54hrgFE46U6g%0z{~z*3w6WT%iw6|Jp4ym5$K5<-W@i*av;v!oPa?}U?*;WT5I zp3GceXwn1`9a=a+lzRIG7^-prW5Kw2%FRRxZ_Z-~Wtl$5#w=Yu{?kARjCN#KWQczAK*Q1b4cnC!(9}k1Zs7x)w znJ6HKwblXMn#m>{h0_ROcG|jS;-Cofgg{pm_#)_uVl<4kWP?F4AdA7;AcH|LB#ROD zN|QZM*j7SXf_Z{|nPfuR5dkGBY>(n$gQ2Cf#2X>^Ix#u4bgFQLmM#)ep>nH4p|r$R zqPjKIX_ojTGP|V7= ziZwm^6xJUZECsK+hGJ2=C^p5CeF_mXicspg0eo4!ve|=Ehy@I0PJldF%+1-S5Gi2r z!d=AnvNgPC!qq4iwBf_b`La)017tf2ZeSO{DWiH0;18q98~782+R`FtW13u^NhI8i z!nRi`50kXB=XJurnaH&81VS`R$R5DWS^*qJH3opU2WkQUXGv7CB(+>6DzzY*BuPmG z^-+wW#9AYRLBL7%pM#&2_J#mKrz`>1dKe4>{PO?8G?6{zo-Lxst`XS^b3Ptq@Ck;Y z7?xQZV=xH#j|5~Yz7=xM7g1?<=83f;$a#9bAx7{8b{Cky2$(DUXCo=QPRiCLFl0Y@ zUAAu4Y%>!Ab5Yopgo{z^c)s?GgV}8v8QG^S1z}}7%$I!XlClz%)hH24C-)dtl8A0c zk(z(qG&J{sZ~!Gsk;oyG2&JndQ<3Ni6vO2UL-7;{7f`Yk71Xij5+Q>AybGQW61HWQ>?^Ycmxz${-W`jA9H6 z*2WkNg0WeQa670;4sXM*XvT0fC%j;U}z}}96n;cS564pR!R#Lq2_7GTcYIz@|%~eCB{n+C?=~cao?Qy0}sl3?wmr06+o$n!UhqJL&5zLP}rh$GT!BIvnbyU zh4O^SD41UeMrD#;6K!X$nn@(Aj)EsZP&<=MNIxLIre;mbWD_=%>`w^VqnOd6i1d6@ zSf@t}D+2t3CYP3oK0$_o4DndFVPIj@#=;nlg&PJIZWvg&VPN5gfrT4`aBEoS27!h9 zfH0kP?gv;H?`P6KLrMsnC=?PCG7C2VEZhLFFir~_3d@tmkcfrB6k~oKc4gW3b?ER$ zQNqu_pP@*W4(dZfQ@4obp^2M?J2YjJNG{62zq*{OjE1nz)rf_QOIVq8EFyI`i+W}* z1PI`lZ4}wfEilj!#KU0~GiMM?8`HKxhA;ov_My(3L=8XOyL> zthhAGS4`Sk*7K@6&%ugjnaC}o>4M3l=c(2cTV~7K*zlNcR`TjGvmT-r=hL$-y$yfy z_}$Wz6bk3?TTYH;C8yaEI}X8%X37e|8M58Tv<+D(Y%)Yt63?Q&vFxKT+NhdwLIIzO7Z-b>|0qWQNZ#c zD|+<`832~klA6Dup5w?xbcv}zf}W#BA4(Rq={dHTkk!@n))TPhL=6c9#gP|h@Rj_L zIBBn33$`H`n2a9`D2Mlw-R(H9lZKGK4>znU1yhhWRnnZ4v2-E*dIM7Co+PErTAC}g zfs(actlzjTZGOYL=~GJPt;%aF>@IcX=~t9YI>PH;T9Bft19+{JMpAx_LiY}lVn-%k z$3Ngh%EJ#yDbk9R9{Aalu1v(48eMrSAFosJT?KC1ke>3DbQZ_@=UsWdbA#95XViT> zo06NQhKL5@5P=L^fjW4vMZ`!|TU0c=cDM+H8EEfjK-}T`Bz+O*CA^`m+z{ ztbK1%9+u_xMp05$Z=-J2;;esAjr5vbC~y9d^uSzRhnL04dtHf5qUm1!C^RxR6*lkV z^%%C5y7C8-zPeJlJ}G6YQSH4{*2myCqi}|AUAnRb*&?Kh#V+88TkiMjp{D_qD&sqQc6)jYGkJn;5N$>h2uj6Zy^4Z_i3Kl}rRafdYBIC_H zBxN5-2knQ`MDI1cdgKVGvx0TUVP4~MQgXsJ>W-O3`IR`>2wmCS@5<2HaqJCrRZ2b0m`Jt~YDM1@ZH2OaQq?Y#OIQ~3h_`6VT0CAm+F^4e!6 z>EHFE)-n9+Qn-5@BGr{HJxHG%McuX2D7pMMUN_+fkGe7(x(Y3s`RXx2@$u@Tpm z!IIrwy4)5=wmrbBDqA`q9~#l1t-OxLKbNH|V^8w>`;RoeOZLsB&&YlM9lF`niS-wQ zdG)WL{m*u>{@pQZ4L(SjS!Yi!vx`l4JW~E1D>5 zrR0`|tk+(~>jgPC_}?IX^9@N^KnrPyd2JO-N^d!fl#>lAA|GGQUF4n}hg3H@svwb) zXXvcDRQnD`N_C})oI1J|BX`eya@X!*vz%BD@DkQ%y*K7YU70z6^_%%we}6f3>*JRt z82=Th`%_)kWYv=NsU8S4;axVK6d0=#JbE=Ix&wA?= zs-<_sJL}3DG|2TBgN%JILd92Kf_I#k|}?Tu?k$`08p)#N<2ZU-rmy(m+# z4eO?^7!W+bmiUFtlzpsdf(}+e{~n}kFIQ& z6Xd&#Y3`Do=eK=Kg`R^bT01ANb=uN_RW|GXJ#w$#qx`w<YIzE=X^r> zcjT*BTFw>^@Z&CBsVHCR6glUP{tQ|{<(yotd)FX)0shSf%=Y-gh^M=aCNhxLN1Z=R zRK8q9N{3!_)=`d?Dc_Ouk}r}{NzUqb<)bY7Hnj%SrsQvST6@)u6!Ukq)1f@&i+n;# z@ZGxv^XsdKSWwGhO^0}~A8JCd_>4{vEV;fN>ZSW~EvugE6L4$p=af`CPdU^-JbnUB zlDg@r*DG8Z7U~zg0&e3Qt)bg=9P7=WzJ+Vcik!e(d*_1QSC}#P=>5gMU=+}SB55eV zU@^8R1c!>Q8v!PW2?b$vp6ELTyamF9)mmR9zQoCTeT_K&Jw$ehzbgZr5tR^z%I24B zy&qED9e;wHESCI+w)GJ;7+nn%5f$Nbkf>>wuAXy-8X|&MegSo;sL%}5$-=`4Vybvt z3mVfz_34?tlC4rFNY!u7m-UmX44^(e)*K=YmSE6I$J%F0!82cENM<>U9}Vc%QH9|l z;sm-}O62}-3#bVfv3oNoi<0by-r_>g479(9EeF{FqCA#W^FWbr3a~+9EZ%kVMDZ~W z1?j0G?j#&M5X}K#}0?9GzHJonOC#a=&L2|zO^>m1= zQ?uc>zp@>XGdTO^K2#FiVqXUf0QulVX|$&CvM#9qRPP!XAbvexp8sT} z`Q4AU;*Ki(4H>;+bwu2HrC?Qp%2#i|Ta`Tc?VA2x(Qjey{avNt;Da)K(4-Hy@%BEs z*#Yz~%T9z$n=(J)`t4r{xb`YfeNH_AbE|oA(Pg-?;rZd$EeChS~Z$5!SCOiPW^n|*oR~&X0^&0Is>3lpfCyb?th}|HK5g$ZC z;}_8}4#WlG0^{C=VtqRh7l~glLw*(h$yH#hh5sj5N)yY1vq9Y=W-WxqRx#)tsN00P z1H`?;))%7t#D|T++b^PO0-O{}xWzanF1WxuExw%x;&pL5H}r0Z={g+V6d@OgPeqwO zfISl`7yjqsy_Nuj)scO{8={`#S};`Yo`dn%c(oiK+61+{3fmLaJ{$n^)Dj*L=c~zn zh%QiX27s+qkJSQio%;8F5PwxCF-F*}Hd_nc9<>uEk-ciSLhyT9oyDO1jC$-n5dToC z@@4!}z0T=0U9HH~@4gyW1i$>$AF9(H!^~6lc5R5hQh(b7Y_MrJ2V;uq&L|sKKv6R_#ud=#54y0#<&*> zXT?FTjpxK3&QBM_H)eo~B6tyce~9i3=q`(QaX>@ACbEqI@w!;>JHSoxcUOSmpJFeA z)Y~HWuR!mJWQH^M#E2@;NEbD@UOo_h_QhY~y##oAC}uQ*$Rn|?7kE#^ajs@h#rf(G zc_9wssD%DfT(N?fA;xmYo2-s01kgvd+yt+$dYsY70JTC}U;~5d9QMgz_2@LXAENGL z%s5Q_tO&5-Y7s6yBh`wWg+{5-Q$YM#?X?*0Q`Dkg1N@>6V?aGtJwq$w)eY!U4CFs? z99mCRi?i92RQ%MQ{|@bx41lTX*No$)tDm|6W~jkfhIq5oR``LIK3lyq78-NaFS!Ys zr~be|b%FZETwn{;m1_VNt5-e-af#Z#BlMQ3NsRlJt8?+KP+zH5z`sDHuTsA$2FWxv zY9PQGHMJs$>(r*jA@ZwwmD9-v^)2?{M)gMUbI5E~uXO=+i@KHp`*w8{1BV@Ii#H(i zoBCB7xY(r*SOl;~{qiBeUUfYKxC5$v67&wL&TSwbR?FrFIHJbfhu$%@5ZBD(YF!4; zr_{(n0H@W}^+7zVmgfv}PJNPu#X&!>o@38mP;W&;@sfIO5L)qvn#Oqain^pNh*wqD zaY#N=$CLP2JyKXuc>$(i2Y2v z4?}XGX>mt@L8j8XRJ{K~O_e#h4l_NCg2o8bg}lHKDR_J?7pDcm;K2y!Lklb%-!yVc| z)2Ug&4w*hmVI-i8PavmMHBQ-=0km=UiS}(OwyA$Urg5bjRkL-9=u!hrm`UZ?lrw7y=y6Wj`!4SE$D&`tWjT#(tUw`Rs@t9~vX zE#0Q?=hk?K-gz9bo%$8N0DJYyn?T&BZ{hRVufIDN3J3I>jMoq9@8t*akUppez!Cj+ zVGxh%JC*<()A#KHIIeHt3wJ{AKbYhBq+UB6=v}?{RABe?q&~n7I$bjqBib3F4dG^P zq*gEk%^Ri7y@585){e~scoMOf_xv>C8RN2N5qCJWUqo!^4e&Ce1p*p9BO+jf-9ENb z>p<*lo5LZ}&z6@{&VZn;%t9y*wAr|Z53(h4avf}Qa~nUzwrVvrhT77(@fl{TwGh1F zwpv_@N7$m4f;ZCk3_kQxwr>gmjJCDO3GlP6`gj;ivAuN^V2tf7myKU+Ik}XLwdLXd zDP-Hke9kyqa0o}!cw3Nf^aR^@CebF^`u+?o)pj=tij!<*KR^p7+Zu2lonkv)4A?Z= za{+9+tz0b_onZ^~0db~nb3qVi*?wXN&bAFeMqHm`8_N{eTw6yjLi23JxkaCEGj{`V zf$dbVJ$aJtpm4)>(gF3G zwz_vf{L^-|CcrJ*n{G(nw(T4Y^*gr9g@E0)S!;oJ&t@W)ZX5PF=imFb5~ZO3z;?18 zn19(^Oljz@FM_GG2RT>y`rIbKAJiP+3*w;RBDA0cPDxBo{+h48( zHo(64A;3U;uQt#hWN-g1u)+2hoDPTBw>Jki)Shw~)`r<_+!GA9|K0{*guN=Kvyt{8 zo1iz!ev-V=_9d5~_p^Q3c7PQ7>gy00W8YjF*e^l*Xij-!?XRdGvOj4B#c}rS%mI(L z@A?MB3HDEpL1dzR&*#ucwI4qM;v~C^DWA#q3a@}ou^;LPY^weDTacV)ANLKcOtp#Q3m~qHV`%o@(v+Oq*L(aB$V%lSlJvf6Iwz>9GCBU3#@4#7kzTMv*#0B<>+%Ye- zH^es-eUW|mU|>7#X`e!5kNw))00-<{I76JYN8=lhe#&0V3CYv;Lfrt)*e7>`$XWY5 z4*B2h-Pb_my!~4=O21&Y6otq|`}H8#$;uGtUK=yiL%1{XK% z!3*Drv_nksAKnZP)9h*aXT~G(TqEnF^>7gKnyuXTm^B0!_p3tlN>`h z_fB;TD*=%ijvBXt&2|)4(fWCgdkmHrI)0|jC5{T40G2xnbI7l9{9GNz);Pwqp}#tQ zV6JGRqt_-A-oGu5rhFvZ9fuEr`I{q#k^de?Eso&*jupJaLk`OcU`HLV#=_GH$CJYF zblNeA8NhRn^=|^Z;3&kb#~+TCj8U#Se*F!+8;&kN0Nip^xDP9L9oecuqV56O023$Wodu@VbigOaz-Z4(~Tkw8yp6BX5)_IJtZ!qL6 zJQgzJoW;0d8}Iy_vHk?-7h51R%NfsEZ?>~>JVfR=>v2M!=d>`6neVhELScckWD|%i zcHUV5ktNQRkD<5Jx$7?wmpeC=g2)PI$D6=bI=|=Io94V21(7w*?@vQvZP3}hI^a6z zp#7l!>MW2Sq8pr5Yr@4wXK{}FP0nomda~L179Y$O=l9&8ZFNQ{z_vNhp9QwvIfTpa z4(D}70K1&m_-^iYuJ{OGkMsCTnBMCgI}|qeJMBjxdBEBE4}gQt;3U4{hn%xHAP+mc zafLtT{Ivv_$DMl$gLuMOtTwQd&S5tpdD>ZZ42+&}9%btBth2KPymQVs8I}C*9P%Bo zi_SF@p?Aq?<4pXAGcGT9SDZb);9YgTIt(kdMI|YMZMscV^pN@oo67>~O_#N4nE>a2Tk+x#qtKYr9-a z=0S9~%RLLcJ+39y0rt8o?1acZSE(it+3(uLAn=gu1HQh8UAwqa9&yDp5I^b~JRR6^ z*R^sGIpO+&%fd-l3+@(AxxRlF#M7?W_5A+%yX(`=pq_V)x``%UaLr-(cG1;zIH57W zByYL)a^1Y`D!T~^cU;e1z@EAW+L-@-=DPATTs(JK`PtxwE0!_LOV`2(h`w^QZv|rS z$j@fOXurs!d}{q8ziSNr0g=u20E~>R`7OY#$i2)R&5l%l2AC7M?PCzhmHy zZUk{*5#W;EKpq!@yh_nKK4pRbvH7o zQvg>Y$C^RB8rfI_b}cfp8uVXAPW=_uUPZ2I2rMJA&{JT^?sVRLZ+G-GNcM3bJpj<( zU1I>iAopR;XoKCWnRFQvbidOE)D-ul5p1b@<8grb?m_24T;M+Y24ohx_iDh1I|7+I?nG{;(%rvB1Kf9qI3qrApEiT{m-|2zu!rs)40;~9 zzZ(GJQ}+x`G|$}r1;C!WU-`g$;V#e!;HCRVPGzs$RHK^dYGqnaext?p`!stdgcy-wNakm z-U0a8^V=sNrg)ZxfQ|7?%nM^K0mga$S^(Yz&*d*6Infj3+?ncGTA7pm zWKS1PVpBX<`5I33tYj;ud#15xW_b3m1(@maSA^tj&yU3+GS{<(>5F-u&dC7tJ;#~K zS?F2AvA)PNlAX8M^Ve6vmU@c3fci2|Zhk>r?%8-BV5R3X?(J8378T+8x7sseJXD9dn zJ3P1d1Ka5_GmzTtS+N*kuV;f79`<>PwFTJksW}sp2R)Uq;UD|e4|$q#L>%_)=6iJ1 zbDke$j(I{i;QqKLc^tq=&%>1(bO7M8XAmc^D;^)8>s60eg`?}9iA6yS-tgGtf!_2SWpH`R)BZ=u-0}2h zTy)oCz6P0lo_9HI-S?b71MGpPL|5?s@|4^L?4c(DKa0^Hd4>-I@v&#`RDh?RpSMBb znddP_$#c(9etLW9d2tA)UwK}>0G8ot*cu|egWeY$fqlFYy#e}qU7Y&+dwGmVAK(=m z00w#wGE*_wyMr^^5bqpfL%nOczZl`2&WUEEch7xT8|7Why~Aklga#1#*?W^a;1ur) ze$5%E6#6=FIRmoB(X5w;Qu!v%Kqngq7Le*iV7Y@$TimWUjXX z)0OkQH?D&?-~+r2f~z{L))r69mgZ)fJ%e)IO^ys^vs zmIGk7cM2E2J>HgFIre(<)&jQAyRjc^@Ata6+dtq<8Upo$-aI^5aLBu(Fo=h}A9MXX z;w{sh`M2ZVXKe5Z@7B%$C%s2KkU8a@xD(iE@0X0L&UouF&OhsI?E`ksTfQ3ffA^N# z43YERH;MyX@aFpsA{V{;j{v*meSQ(xAKsx%SzY!HVvhQXw`n4X*S&4|a@_D9U&i(C zruQ+!?mxYcx#_s&_3;DfZSRIj(75CM@*=F=^}aI&;GXx5M&PA;f6)Q%d-GX9eBjL< z3-FiM&Ryi+-k6hc_|UtZ>99xM9(=bRduMVce&Kza6U9sKbuQ_zyifUfgBjk4i4aZp z1sGcQ^=%peYCm5J7sdX*d;AnKz_*l3&Ol$S=HLzT^<~^U*td2ZM27e>dO>8UZ`~*m zhxuIZ!S-;U#)m$_w>1?aBYi89Au`H0h#Aq*zPv9X^0RNkXo!pn`lfIL_lvI<^T}g< z&vpZZdDbedV?T%=IoH_RnUpY6xdf&}8Aa3$4>kb#2ee1cFZ}EMd z2(Z=n>10^h?sL-E4&QGnP}u3)I}>2HuV;`^{~q6~xlr8e`+7M1?)RlKs6FWWa{$00 zUnEaN9QHlU2XM^SmW#x3pZ6-j3E%YQ0H=KOm=ikfJHkBj8Q(UJr?b9tV}YIX)w>VV zzx%#l21gft@yXy_@>TsC;1A!^?}L!J?CZ`o@~SUqZ^&Hpm7fISb>HQ5fE&Il%&lkm z9xxV9_RnN$skgu391#2Xr*Ylw@9)7J*Z_YqZjuK22Vz+3gZzUyqYd`o(_nLmzXsDk zL;X{702|@2u?yHp{|^Tle~$8(<-xhp{)&eHe)fNV1-4WCh0jA~jK4isfRI1A62Lfr z!U*V(_jhNIH^Kichvh{7=Mey@{v_^8Ciw?ng5Ferr%@nI^Y>wxGu^LshQbVgyB#3T z^#4&BlC%8XkRlK2v;9RfK%M8W&IH+fe12U~BzvdmysTUxsV>um0_vv)B9a-#}t2 z^v|sVk>Eyu%Oa53;{UD}WVZU}y@1R%e>r|M-R}S41VnfEA2590>F>?8^*4XV4*>S~ zA721*um2H~wfp=QCNB5;-8rFmz&|Jj#Do6f*FikwFVqyoqy7xe0>}Jkm`yqE&(970 ziJ<=t#+ax5%W?sn@ei(!#+>!nZw~C7|7;{gfA{a>$&&MaJ7cE{{!$9e{Ndlm7vQo# zH;?pP@jqwA<*L6(G9<70>$HcJ>;9%cLF9)23==1}`~^FLciSJwlQehyPkHF(u0N=+ zgv>qv21e-V{$+G{-`_Lfe1Fde8j( z7|*@*pJi_RmH+p45XtbrV1_<9V6O+PZy*;3OTWP3w;<9#uEOTvP8h=iv3G$D4=4{{W<-Gh1f4!IuQWa$w_!aCjx~6&rjt(16yi z1wI-J(dz+~i`$LBUhcJS27W3J-k*U{e1^9IHTD494*d8&MD7Ikd=G`YfhCcUycd|t zk&qrZ_Z6`FfnI!#9t0*|hR9!mYU2U^4m{1t_wQj~^-3@w1?*b@9tYmyvwsqpun9(= z2BvV{cox{hl-Bb=!^JTABJfj3NWKi*;w1DcP?K?FMj!`Iog_z3uK`})=>5w<>=!++ z9kBkWO=Spbuxcin-^l<4jZE~iF! zLPzP-qLVs-I6Zn-KG>cSoy6&RcJ%M;wK>u0OjXW}-o`!nyy#eliu0o4l?;akeV}AI z3}u6ousaK!%MJyukghqbp*O`v4h}EEq1Yj<1-9!Bs(eT{9FFI~ zR^kv}0cEMfv(A7r2jwxqO^3iPP|6+VegH~^!^?D`N{4i+A*vkw@cRpF5(m#$A>MLW zL@ym{9A2OmSnHso3gNcH(bcfkIebQIuHJ!1?bsa$A!e(?EJrZg9F|kH((drpNA&*TzC#YR3mpz;2chkD zco+%jacH1?(Cd)%Ej%7LET+1*&tV#U7yS;y3!xlv_|XT7Y(J z$SBviF(PT>xih?`A?QxVU#|f?7$sE5c`^cr!SrI({Q>Z19HpGRi*b~yQ6I)LR5trE zKB3dckFl8E-|c1;je_FOhzx)&ka3NctbH#7f4U9Zi4p$>IQtpLUxRdjQAQsvgi-AX z|4_y=UVwv)m|LJ6Vsw58ILw%)2lEKy$XwVW7~lL1Wfa4Qs)lF=-w9F-Bd{A$Vi`T3 z0gf}uk3$*HxW63NO<r1&7ma{6#&2b?wKF2Qh~2@k zzYeLBao2*NJq#tSxn9P1%OE{q)Zc}}0OJb1dwR&|9*1&}A+Lqs5JPzlFw95`p#3+( zP*Ghq#t_M%9cO$%`SUR&m`<1p#*z5|H|CxS_`5S5OTpa9%%R@WgE@B=+&!7HC@8&{ z_EbpTOcuRM*~R>jrV~EQfp>9vU#5RIz>gV5A89vp&PquB%+J*H`>O!vhqSEsG2Ido zbU*WY4pg9AI8k!!eZUeF;GiGPlzK7sgz-3lPqnbpgsl%y((de3)5X z0%ipBX{whanNy#Gbd+I%U@%b(?=^}s_8H&X2#Jnxy~G- zjeCO`Z}E7-e$iK^kLjy8+5LQ=kJpW|{`ToM6V%%h+eEUzXH5jt0;r)VmaP{Hrz68J(bHvR2rhCPEBD6EAJtol+{7+hss!!D?z!*8ejs-S#NeDN(C#Gj*Uvz zDoVyxENLpBnw7W^aEsMOkEw>W@)bDPYgxyC2lX~<;}t+1>s$IAQa#H;ecT<^L#hKC zShe55qmgAAg4D$NstM4{veZL)m-QVjffm+RixKS}>+)}KZ)N%1fvt_zL+iMMl}EGs zPFBYfKo^Vq11R0BLMpI(?5vkB0ee}$KaVgESnCde)5mi92af$LT{Wl!tmi%gJY*fA z)jh~sNJsw=D~8^Q46{ntK{>**_QBy1Yb|ZqQPy@kLdRGy(+V1AO`$UMG3)k4zyvGj zMZgnQrZbq6Ec*=F>2BvcpmlW*57hK9djo5tY`y zY#eOZ73^0FK-tZ{Oalymb`8x)0@!Ql(A>k8W`eSp9Yw2pAA9P@koL2+v;l(I9+Zp^ zu;L{D0?HV&M0=F75>p|Uz&); zu)m{8KrDNL8pb&GDe4rDv6n6Z#It{zs6C)uB|Ae~}s|Fk3MX}0@f zKoZ-Zo^~=@m4?_U>=Pm=)7YUKq0C@E&H-eyqp1MQVvi;xOg6jmDKK-`q0~>EV<%AW zk<0EnfV()){@DXk9{Y!8_~o-jp@56*BXa?l*fuId3)u~^H2=KJp7#;7SJ|<&gs!nq z(n(yzj-b_jo&67;)}?IYLwJ<2)t`fMlRbw%b~*cFxDs~t(jMZ%V zQ*hI3*oW!!*0SU2Fu2X0YXH=<)$?(`ci0`*pThoYU_XwA*u?IpeALWtsYmR)?6;PK zdXF8F2xw<-@`3F>yPih(9c(B1*q!Xt}O{00Zo~lpO}yZ_t~WA@*&ma_qxw^EKcjc9s&+M%lSkc8{^M??HOZPPqw>N%l5M zqi&8KX#jUe-@kE*osRlE_<1-!B?r^faV|}yy&T&YL-KY!76kBdoKK@2U&p*h@bh!@ z+Yaz|Ec_M90LNwyF0{w)SoIcguVXuXm-`&IQUSQ%ap7N320I>n04db*6MD~j&@r7d zZJ1*oWxR05;|n1ja(urZ(qYG^lfgXV*hg77!cjr1^QdDCjn$(Zdnmz2JIW(KiFN!l z3J~Y`6P0Yo9PKlynT>aBdIpf-sE-6S(eWY|oRg00sFgqCxRd5Svigkl(^12wiH9kciejv%u9~jBv4*)9H1h)$nk6?Y$cAr*8pxhdXamj<8&(7 zY8-p)ID@CxIW|{7+u-=pRzS03-LrsJ$04f6?mI?(2Iz8hqG!i(SLa<;?Kb0E?IA%4Z5Dupm?xCFKolu5xHuX~e3+H4}(GR z*qHAVqObJb`00$4<*DhLauuTO4N|eZpg$PAWW)a}4Vs#dE%={gcR9Q4ToC z8K!dX6sO^BxS!@IXd#{9q|tSgIWL96A%)|EeP^GZ%2}HWP8x@q56Ix;Q~8|9X{ZG! zi&ITsR5oYNVJOdWR?yqPTu$vc{HrNbMX_b$U&!ue=6pp5e|^*lE@ zpHOdE&YAxOqzaB;I-rWvNqu8AXRZ-`w>ataZm@>4`X5~IHm8bC%R0`ka!~3yUo406 z4kv=vOcUpa`EY3FIJ}A|ckP`1XP|B2yhBx1D~I(LJlZ%sS_18yN}9sn=fu#i=;Zvg z22vNtB@zDJoTfws?crF9V0*xsn1?8RoVSOd?B}eemT7>~>IWF)SSgPTamwbweVCJW z7t#o41D!>q96R>`{Kq&?9fRXIXX!dfk2!{gFh1eL`~;6lP7Ws|$$FLxnze16>I(~x#^b;l7UfO|j)hdo?>dZ`}B z-ELn6+{@kV25k^`+g?Bj*X1ct!?@CwupQXFWi|0Q7FO&(~EpI|fu*^;;(E_N7`xn9ph zOy#!GLr>$jy$Vh`x4Rh1v)ujP!7+pT1~s~w+^KX=+1&cQfE?~WR7;%Wc2g;n%e@=| z_w(EnRC8V6R^9~Ua|QbW7r7i#7I0U*1GvQXJ%-SQ+({|rzpLDrwm^H0y9W7adJ*@= z30$z4EA@f$I=6NQE^&j~5)Nf4cLjY3W!&B2P~PM|OSz_;tJniu1@{vbq)M)YmTWb5 z4%Mx_kIJQj=K`2kA3`(P0` z&D_92#JboC&mA2DvxB>bO8GAClMi6)=FV6T zzaH*uf5XP>w@_!(*&0s?q{(#$ZBcZPl; zu$Q-F8l-)^#Z+(a=Y9So{DOF|(A%b9UN~ji5Z(|KX`#IPzry_>?{^wDgz*;BTrHfZ zehF}hw`>8F5j;EJ0YM{qk+Beu@|M!;xG3J)KcI}}EvFVFhPQMDAdXk`9+by;f4jl| zIPbHWaERyqL$(Co*)_OQA`k!S1WTSbvmJ1X_ti_FoaX6ikDTEhTm`=*-j;h{CiDLJ z9FWRuwbSZO<5f)qC!KdX8``tHwN!>?@QzpjnY<5t0olCE-+-CJo1~3cq3v((xiR*?t z?K=t15hq`Cy3-?^YFL0Mr|C-pu}*LGg+sDaB~=|MPSK8l zRHtAX!JT#bm+G1fr;7?erjvx`5m`7(U2{jw1r`A(7}_%Iip zM$W@l;FLt;*Go<->ANg+3NHlnvQsGS?Q2fX&%svYwCg|Eik(VbV7u=0>Q%rErx?nO zB~EAPxt2O*y#j|aCoL6X6;28&I;!nXb@$=l=rr>Rpvj3z8L!#Nvj);#CnkMuEl$H! zV6-}=gd$p-lSU0_cPjS>bU1zU3!-&7RnVBN+bNg2g&wE%)Sve`EvMqY-|6R7fB~m- z3!ofyl0O0rIb9Ej!?2S*ECio-#3^VLFzRHfN3<~~22Ekdom3fceC)K6a_fZC6#AZ? zIQ3JJH|f-L1Qa)Z(K1LL{1-A{^W?8y4+k&)+p_@P{AX#g`S6>DVe{n|{)Q-i{6e~! z-TbX|*aq;|+UXMp@*OBg?&W_#U+F%6G`*hQ&zHRhTM+-P9w-m+V`sx7gg=vxk5K*_ zYherHU(rAc=Wp_YbcCNq=W+zUgAUC|zM7igqkQ%Mey_tnFb|Yye%rf%82)lfC$W6~ z`*u*{__LINWBe;LojlI}r~{7i{6C@q34A5hK8gJ7X8|Ypr|Ez?&3Cv3+Zn!3As~sr z3pjr+|TltKLj&_|G~%jNSXYq0-Aqj@h2|B zc#ePYT~KrRH>j34&(|e@dVzm}deS`pGP<>V{@H1Oi~NRU_!saEesH+VPZmJBg3kim zRsJ!m#f$h|^sN>1=hC{k!G9Enm?iw;58zPB_c#M6<9nH>VE>i#-Dv|=@=r~Le-%H0 z(r-1tpMF+Z!>`|kXtn&kbh6d)=e59A&u{UBbcbI+rBeexi3Molf9Qyy_xQu~30wI( zO7v~~)&V%&=X<{ase{jm0Ce(~oxweI@wpVt-p$W+fqyUmH06v3{3SzxKK^}L0t5W* zdQczoe_a9?63NlpJKKQ0K;82?2&p2zvtols8j-+K!1g#Yv^IJgO> z)AZL}&`h;73%oH1peiq91^@w`}VM)TngI} z!5Z2e5rV*90FeUwQ*rQ*63jgZh!%WV0BwvQCkzlLxIGB}V}hk^upJkqQ%Mmou+U+W zAo%|ujtM@cy7iP`+z8ug!SFf28Nq5BC`p1Z{)At$AeD~b6oEUvyh#SDMDO3YO9;YZLrI z+o4^M|09(51zRYAbP4t-0NsKeuCVn8Za2ZNSK#6W>4D(8rBr|R35--U4G2y*Bg{j= zZ-?M9DA>pc3<-Lv%^w!r5d%g9r3NU+1ZDr5k2#-hf`g|smremM=dbf1`8apdiRkNm z_g^^pIj^q8&FpsGx($-Qa}O=60O!0qDEB(wc%SOeAm;@iLJV!4w5v`#-=Oa)*?Gx2IHWjNWkE`Ho_PaOn)7%mm>JHCY2{`+ zzn+UIInG4^uw8P_)uUky&VDc8W*$3FTMXNTv;8ajyl%o5Y0~O0+(JjNhcJukNKfI8weau~o}lV(m(X(o zBp+eG9#DLRi+dsM77E^k&0qKl)usW$-Wibg2*0NX8YujOCU|>=y+v@?Cw%4|Tw%Yk z;uk=WaOe<}!9tPU6Vy;)oDI~2!ZRlkG+cO!4(&t2ae8=%h3BdCiV&Wg0Vz_LOB?8@ zFh&mlXyINKq!?kqUvQ5VeyRt=3H^k)#4+JP+7-uz|G0x0FMRV5lnFvDy~9ZqzDLvM z6T&T*saQKLJW8B1!XKz-N){F(6HHGLuAwg~RX9d%Z@O?39b#vN*+0NBLpVYkC`%Yi z8z)oIB*Hd5+V01NTtH&5(K>| zyzmmFa$!m>m=!_^ZM8~a=~+an5;{^Nb4wWL14@l>Lm+Im!iD$f{oie21_zuv;WyOS z-w|F40jEL8qI%jcj)-0e3%KsFQ zB5J$}W~%6SJMGGJ(Vvtm&x$y?fD93jPR2~p(}!Wp67eWU<%ssvcX3X%n!eIp(H2^y zc_Oz8*z!d$!MD!3HjD7ke(Z27X9Tj!S;V~}az5wa5 z=%*xHX+pG|h8#~sg;N2OqTvGA+{LOn@ZTvG(B(bEuTnMZDNcDBHZSp~blqLzAj%v* z;$NR2im&(zmHGbSefMDt5TD~g+9PJ21lR+`KhfRn6?;{{f4}&_F=&It3*F!tEM^x$ zc|bh-Ye*sDM;Y)xC|*U(FmWs;nQ-yv)QlbyuWftTx)-}QhpR$NE#mE!DT-&cXh#J|P>j*C~**BvijNY_mi$G;Bc3GpKu)tnUX zSP$upIOAj3lEnL7gOn^D=z^3Yon#EqEyer;GN76m9EE7_zctH(9w}~C*1KPzbdItB!m2c4g>lAON zJk}+iO$U0nc=j6z(<5F_tE^Z2FI~4!e42jb)h||GgK|K;>P1k7#9Mv@42#Pe5N$*( zrzUt*{4Nc1#>C606d4zvq&I1Bl`-x$`vDpF1TdUIoWP68{FS zq*9d?e}AFZ)V@w}ZJ`(tZtL{3UC<0Ra-nXuuxH4k`}!Nq*Z6 z*e`kb1uhXJN%{lQ0m*;#v_d4T*CB;UUZB%1Orl7o{dY*Rj!J{WlE3K<%@N5P^qC?g z|84<9N@^%6MoGRi!!cU2>lP?6l3zan#7bCv*y1F4mti|D+3XKxyd;Uv#{|i|GC-n) zMd!sS$(}0+c3NTyf%1$bmuj_S$!t2V>?xAg{SZ?nqqKq2C7~2FL$Z}-2bmHT9hX^> zS8u{UTXM7-kRz$2b(Jgm{Byv0NtYVR3zC}m@CoxIi|EC9zGU((#4eBsX~$iXd zv_kUt6hNhfVFOf2o~7=pTJk0p;kP8m=`5;|c+%XbPVyx+S@n|n|ABHxa`OO`4U%tn zLfI(U`W~*(B(X1A2xGIvpPs=z$=81XS|y|O?As)b>tJh_Bzy>u`;tBbF3};0rBQaL zgiCYrF3AQ5NZk_Qzo7I=9v_C^14;hNQ1(eqT>zzD^5sYH8<3Q~4BJD=BM;aHB_%W) z9g;k;(*_up%=;SRBS{UVj!}uvR=}8KvjNm`NiCH?k0sS~B2Gw@0x+LQs#;;2lx(Kr z!%e!KCPX`>vnhXhNar2^(^D#?64gtJKU#z3EWP*@{B}tr^1$?w_WrMkmi}E`68_X1r9`17(8rvlJ*#NcTA-%1P-x zI-pKT%i;j1rE42OIU|j@fhbARM^vUHOP{0BL5lPYI!wI(lRlw}=B!jq2XltBZUC_} zr9312v!t_Gpk_<|8ikZ2-7E&2lkR>C4!KeWwHfE7LkmI4lfFaWdcJf>3)@9$b^)Rk zNS~5Hx+J}$0u)MDyaCE(>9d`%U6I;;1K6)hD>lJcBu!t6*u~Nb^zzfMOGoLXxFJ;! z;Swd%PI@q<(i2XQ%A{!;z)h*>XDG|1D`-JfO27Ob?p0DvDs0u#?LVw3bH<&$P<_YqLc#_fO4oe>WtY@#5>mJH3iSs)((?+$?3L!yK;VJ& zHCnQL(%)&m)i1S+Y5ww1>bV@8L8&8kIYZJe>ZgXK%W21rNKes^JswHdYyxvs>PEYH zOnNp7%5mwo^$0T|{W}E8C(=ZkbxcZUdH~#HpI(EzyKENKfjedY&@{zE=Jqj^p0aK_ zA-rVib{Y)$$T}(C`^siZ0e-Td`S9N@E1*x|FH38M6d;>V4apvv$q5dDvXAMW_R9LC zh_+w$&kfjuWV5IT2$p?8Bc21YZO=g&BHKg_W2mf|R_8%kcQs;$$^LK!gv(wNQK@=Z zwqg))ME2V|phn1S9grer$<(bJm3{XKlu@#$+Tal_D}M}#kv;o9l(900JNQh;WN$@5 zIxZ{x3{t#o11S?^p_C*NWhtYGazf_uBjBWL;}P7&DH-brL^&Ls423y z>G`J08n(bcO?HnKRJtsV-Xolqv1zW5AqzPSWu`1Z2`NkF{uiR<$Y#>i`JAlU1jv=u zwj;`UStT9h7i2EpfIQg~dZm&tn@_dNMcKQ_h*==(Y@_!Ng|bu_ls9C7v>-}l3n+h;$=DYm-ISfA=UXnziozXM z$l|wwSt(1-N3bf{;<->(%VtusbW4`^IUMY_Wp=v#I@zLwfO^?gIxg?X!l{94kWHft zHp={VLfIs9r}191teYI~$}$r`xhK=EhF_~J>MEd3_T&#hyKMO)zWA=fJr9$jJ*|SuQ_R9ijwmKl&{4tadWvi*a8I-+9 zXW)?R4Js9fWxNsiJ(8`U=QAn`DuQiHCj16|aUM7Tt# zJdJKNOkPT{!{s}U0uISPH~`8K`MZOF2>G((fGGKErvb6@5b8;f$&09|J1##z7gD@@ zrTtBWNtCPEfD`hf9k}30c}53}r{u5S1e})pZw8!^AG-}mk}G0CNtHiO-Nsq@zx2?v z+_1>TsA!}TH%u`^ok+Oi6M6-8NWr`X>9ArRrR^h% zae4+33fDxqM=F@KNTcnF@i`FV6yMRNKBK656^_Y@Aj)GYiuII-QWflM*wPiEbCAv| zE>i-_P$++bf0klO4wTu7XK5SdDC!Gg%T=tWujGPa>jNnB6tBMsX1-$0k5CpU=Klxj zlEPRH<`so~&J&2&6!XZjNHMh!9>t2~?T~IL&Qq6EqG+L=Q>r*mmHADD|KCuSE2eG* zvqF)O2xXPx5REKuDKfu?RHJZN2dGsT>C~%J@Qje^6^Hr}rBSgc2hgmjz6Q9f*kBif z)1uf!+KClL{sE z;O@#v%5giDuTzroP~QI?l9%$!KVjRYO!^T1KFS-EsC|{Kl#X^QSEd5|l|8Y5K&AUp zz&>R-^$z=$f4=}JNcme2E_gtxrWsg>a{POQ4pnBZru-MC+<6+>aOH(npdM1Lt$}nz z+1&z&RIba0<5A@%+8j~J8}xi)l-qv>#3~n*;bx92H&VHtpv>t&&_re8x3Ha1`q7P^ zQf~YOey5cJdhK~exr~mvWaYjepiEH?VGxM=Q|V33UAl7G|LRZWipAh$Dg%bW$x?pz z1%l=%S@b2GQ))fIyr6ur6v})hlXBceA?l_va)q8m{*h?3!uEF z>|z3nl(wa?6)XSP1KSOyMFh!Ss@(b#{L7RXi=n-#49W(zLizbs+?d zNUd^kIc&F;x>o^p%0FD;cSjjr0jWXxh)&W*T4bjZ~UrDHR$G^W&2RvK4&T>|B?()&liq;e4*8*VPO zTsXM9*u(b1W2ejb6Hq-|5~$GjbXo2Qj+e_Fs#?5VZqYlwT`u*_Q2Mw8a3Sq>aTfsm zT|B7g32>RU4Y0>0m9|5mOV}$2w%^6+GNd4vtJ7f%c8M>9bihShg(x8|6?9sLy8LT* zhIr5==r2H+%Qs>;9&-7GwsC~Z*nK!gyQI*EiF0w<2}-<6Z!jEBxcn0X=4qEWXV{Wm zmc~Ju=CXj2Q-+J~EiliyL^{EC!R0S%124LK`yqkcwT37t;PKaZzjo z^`^`9NNB5FzFhp z(ldzC@6zxQl!Goi)sRM9`luKlbMd6rIpMNC43e9xVizP2*BJ%$`xhVAol6jHx9b;l zLhW&#{SS=$TnFi!33mPRFg!wC-=qy6?m9CM$|J72x8V@&sttw|>$*${Db95u6qIAG zpKbvhcb!K$D&BQR0c;7b&ryOu;rbR0dQQ9cK7=jF^~Jl?|0TQb>xG!&YP=3eb=^tn zD9zPP3deNUC*Q#_!?pW2K(=cY9R}xIU#bTs*R`MO?eng)=$yLX>O(Ia^ISs%LCJSr zMdxdQYs3X8FT2*i4dqqWv3M}Ax&D^{_afKYP=LMIHJu!J+9knwe-63 z;{gv`^HSm1=lYNXTfghGrSN~~s#*YtVb^7}Hy^n!qUL+l^`I3FW3G!ifN@vnnecn; zTI>efgzFL-Jxsc`c){IMW&dCVMsHQc4-j{$x@eR5sBTpOd{xV-cJfoH=xzLNRnP>O z0jk&Aq1>xVr0ecieO!%81gX^XK?zm`iy<9Q?Ro|fqMDKm2vwb>Bp#;np;^>n)jTPr z2o=){?vbhk_Px*^RTa`iAWEgJg*ICCQ8yq)HHE6mIF)%Cq_TC%594?hB&R;{6De@4|tXLhn`r5h+|DxXDAo>c`e0VPAV{YTg`Rk1q&S*mA} z>QyG%Rd-Yi9w1DEibo5%QRQ+U%4XHdo1olN{d@$Wn1cN`pi*VQTEM+fe9;@Ct59Je;oRZU|>UJgE-PGlDyt}KzT>v}P z4^v?CP&-lr@lror0i}<+@dd>6Q=h1Xa<_URZEd^1dOaO20qUZiFz!)5^*p3Nb-F)b zpL+KqI0mZ^QuPp`K14sz3sn!%yy>9&$wfezTJ{NS;p%6-0Eg6n4#Dq;+UFTCkE+8d z{YI;k!vQhsN-Zd{>bRc)aq2siZ;#p43y;C$xOz9$p9$)yUcgCp`ZdHpt-eat(iwFZ zHKR%DZ$bdc>fcX6nW7G)44 zHl*|TyPvRKP#4Gn`D%OTQ8*T>r_fzoS6`xcGB?zld!WQ0);oOq2WkU8$Ji~mU?m-Y&GhnA}DLsuU~@SZFMCJP^ZQl2COIbW7+_B z)E`nk)u7I>&x2#Lnz;ti?y9S47Tls<_6n5u)R|P9wyLMnD6LJs_bEv2>P0_8y089m z7API+f9VMBRA4eeOeb zObmS)gX)0apdC^d(HLh$&7cbVk$O}G+o*ccJ=n(7i+_c3LT&#Alqc#x=~cs|`a>G@ zx@kVA=i{L!hrcFqA^rP@0L^Ff zLEWP{BP&e0@MlyjOQY6WsNpPzv}>+Vsd!%#@)@KqO)iaLx;1P6K$ITMpKX9%jg~r* ze$4_OL>bUn7J~9nGj<#>sIk{S3mnn3(tdcPai!mrjA~R1pd8cuPL0%rX4XMSPc)u% zc28alwWr^MgRhoxA4)%M-by&^)^g(@?a_9; z11V4&9*>*ZtBtbL^4h1}Nu5Ek_GQ|}2ec;^;z}Xf_REmMw2{<|hHJb2hW{aL69ql2 zWiA9nYF~{6<*4?@k3osjuD=9`)_(9T;Fvb00k#BfZ33>4sLeP9>4diRTlk&SX3_>Y zrIp{H_YbGFbJxRoM*9*a`Xuc_8n`BFcTnw=qBSLflB#un4Und7D1z;!ysEbqY{)H?K?DgJ*S;QNhVkOdM)6*cJr&CT+o_mXK%2arm% zhKGiqj05r z+P^XptW_H$ht#HJ$Ai+Yy;cV4zBZAzLx2P?YU0w_r)iS07 z#_&YPV5tb481tus?!;jcSzAId+jnBh9kM}R{*+ZSLS)}>RKazwX)y8Q@U{4z+9y1%Ex;i#@H50og~-fe(r-7IRw zV{~V70kJxZy#yGi+d2crW4fe#1U;_%gzD{h-G8q`nV{=BfuM=H&m+M+q5J(HC?|ED z^bAkw-Z}%yXVdbvtO9c}-VO>!L`v^kbTT7V9?C zw|8CFP0RC!Zj91diLSsEoKi?|EYt0yhNePya0aAGouvsut903P7*y+)(~lQ!>5kCA zv_`j-is4#aMi}6>&bb4WI^DzsDD}D%RDa&lMR!5jpp&Chv`=r;t*}CD(tUXWoMzor zJ>afx<~;bf=nm4`n|r!8I>K9Z8$O5BrpvvJFzvbu$|v`A7Y_nDbcN?}zn!{1N>yFD zUP|KKhzVPdZq~Pm*{fSc6~zNx51mtex_4V4+52_10SG#v`-!@wAsu%qjKjK;3BZW% zI1|c8I*t&OQC%3Vk}+L3Ew6Fi7ieFnKh`xa0%byXY8aF!IurG8lR7Utp563|=&g;r z{&#wyJM|e(aQD!E<_AAdy_sHnc-i&aoZ;`SkM9F#m)@2H@X_mEhtgLcK?%%HZ=j-d zw|@FNu=(pNX_W-%zqYOwd~f2TGuNbk}HO0fRw zR@g%I?@}H*sMm)>8K$>;(0m|Vf0?%NA$`oh&>q&`q+e$q(SN%fQiPsC{Y9ic`)yE; z>VKs2DoXzky_SyFyHG6=qhCT#G*-{2Lo-f)y9Ex%^nYD}bXx1ch%+a^fiFi(bfCjv|`V~}*pVuc(16ntPQR&Nb(H3x<@$6r zJSz07f5)9x>J=+Mt)wL$mcA+;QjPvS3v6}zyFVaIz5Xzrk9YJXG=yr< z|2-4TM*RlLludfYPq;+0{$dT_u6`!f=`DI!Cj9Q{e>@Ckt3Guz?Y}ntI2GaT`oGP9 z`}&VpfzzSSpmB4j-Z>0Xm)`I(f_CepXMob9Uwa+Vdi5z^LHR&GQV&X>zWE8He!cM+ zYy61_kpAO8!sUCnEnmw;V1OR z7eacX&!n1dQh(VSlAB@oe~9L8NZSnMPJ=E9Ob^4y??Uo4B)x}Aco~Ln0lW?QPscS;7pxNkYQ6M{DTc8U&D65P_P10h{1uTBB6${CGb0FXs2E&%)t5$lyE}> zO$QGdCYxb9Y-p^9GScww9Y{wF_RCadMHzywLK|(kQiw1yhPAg~j5WL|1;iOnoCO>+ zTpb7HxS{bf?jqi>?0Z0hVXFqpL_^g)zzKt58 zlW@p3)O-zQjzLSeea_(UA}F~AMhPhA4GPMe`G&Stuw69Fp9fokA%yzBO9m5l#)XCx zJiukc!*w)&xnej(rQ21*cQj|dX88LRI2IZ1(;781AgPeXGcFQnO4Tl=Tr=Ilt zvs%N}U!lEi_~Kc3)EVYdNl|YQ&{n%+m`x{YgW)mVTBBh$RWwZoH~OAh3@c&)_Y6HD zptKs6xgltqA!-Gb?FNlIl=lsh^q4vfUdsTThO$&px(qscJKb$aAEf%T$IwL8d#@pD z9pHiCd1@v441JUo`wa`}L><6=10EW*2Hex2VI4KdLx$b7)rJijeAq?|X_ZhuGSuAz zj2bfO@EbF*Hvz^Cduc@T*sz#hnN1k>QbqB^P(iOD?30G7sX#a5uo_x-<64?_?==4W z3zS|)*;Gj0#@DEo*kz2p3CYJOO$O7~$SQ-<&*+B{#Pr?9*QxgLH>Qn(5@0+*{q7#) zI8}^+#&}v?dyPKV@d5W4H_-##Z#+%;0RR5xmuJBVHhxZzm)0cPDXrW0@l=0ef zK(w)-4Z&iJ()R$d##6JwJZ`jiZiE{dh)D;f- zMg^4=7mXgYk_wD7=_tHp{QC)%g~sC3pjbVM9>gg%22q_~X58~M{BIiXoCCGoXrqZsg)xv5tY*_lpzJa_QPtmV zoc|T19%C?Vm0lx94CVu4D-G28jN9m!Q2oYE7AONo#Ts1Up;0=U^538_E+68MaZ@2U z!^ZIE!5J|+aUnf2&Zt1_QRCP2R$$C{@>MX$jlwISJT~%aJx&;F=u3EF9I1eE(kT2D z*L5?Aya4W|7bx^j(_1@W^Dy1r0?E_lOAp)2Bv=DKySFKoMhLr1&2;Sem}1Ky`I?%y zg5zhZ9D`$kY127KdrSq#VGA^ULC;{X>C}Jl-)H)e(%F7f8O{8HOq=L;B*CU_G+sDh z($WP(OdpB?p{Bx5K{;r8`ddJlNwOW3aJy*Ye+Y-*u4fdc5o6ly3|p+JD*;)iEs5~UHkD-qa!ey7P@XeA*nudyrcE92J8xQ< z2)_%a^Ync3OmEr01U29E!W_UwQ!b4c3QP;spk6XPO(#X6iA_D)Ws{=`wkxJIS{GMM zFC_u4nTn-|U1VC{23xV|8nuDfO+7RvzhUbA05MBUdoMwG)AZ>UK)GrDB0z;{&23mSZf2t%j_zhZ8h7n9H&9aaFfXHm)zj=xwY-=4k3ulL&C|bv za+moVs=j^9L307V<{#;F_cQ-F1mSxWVC zk~y6PX0o}5+Q1a^tCSm4&Gsm2{L;+FA3&R7F5VB1O!H3^G|SviB~-R~k|qH;X7gew z&zUnSDS}M$MP$^$&o=!!0mHBo){Ho10)WOu6f1%Uzwz-AQ$2xP) zGqnHe&E}(kJLaNbXdBGN+n_d@hu1=CGGC>tvf2Fe22k#rSG&N!#k_}d>pk;tVX(ED zA5l%+X1??*DDCDCX*hY`yog5U9cIQ_NS)?-`n+A{_(r&Qo5QK#>@kl&P4kyt^A<{H z56sLTVeB&>lEBz+p7R2v0rQmvz(aHK%kUpGFD!&@$o!WIFl?SjMfixh#tBz?WPXO` zKI7(U1DKD^+vt3pFtbo&PJd$lwGYyydF2pnZkAm%Uv{@tAY<95@3hSM-(13?q6h0~ zSr-KGvdsAs;BBd<-(~Ews3ia&%OE8gU(4Lr0e+TGs5snhnU;x5_*>GcqX@7F76SHI z1ec)QGwTpE#PX2(giy<} z7a$$9JVOgA%;M(*O1PzoT9_l2AE=g(uw>T*A}v|8qS%S*I5(k*Yyhji9*h028t zOUEk+mT4KLY?fsSprLcN#V(~PJjdcdTkV`>87;O87WGw7^DLvZ*7Gf%C*Xh4^6f4# z3oNy-Lb_xbsD?wK<+<&kT(*>!LV3mFK;QFK%l2L{uURhAB(BI3^D&ggmXeR)aNSZt zhu;m$N;*(WED!$o&*)1nPj`V@W^ttgBUgJWkUy~JC>KJS!u8=qN1nS^0N`r zT}zFIa%+pltsmk&i*72SwOWqS?YCJ@z7A-&9H8RkzGY+spu@7H3Y1REce?;xmM|*b zyDi(j0X>#dO6|RtNJ@$iEDa(!^jWfK-qddir{^%!<2CMSufLxy5E{Xlm8%V%2BunTRFeN zcEI{PHBcee(9=+cT2tP`9Uio9T@DDdx+fuYxYhqF*zAX_j#RZAw({=*j#!t`w-;gk zo3>h{HJDD+qt=(G(T%b;{(zv-);H**ov=D90Vk~&=tavZ>sw;L8S5FE;v`w$q(_)+ zZLEisV$GrABh9++4=B^Eqk8~nt=`n$XV|SVlv^{cGid*2S?j1W$+o`m7C1T9S7g6?Ax3S&v?YV~w?opw23$ z1Fpf^I0##lRof1!+4?^1?Ymaq`?&5sD}!c9ZB{!Sv+dSIDd4{K<4QPmS}(an>b72? z4yeca^FMI!wX*G0di7aX(FW+ZvSvXVw9X2KG-MskMbKeuXCq+J+CClNW?MTO6nEQH z+J-xAJ=4MTux;Q$>1lh9Mt@$m13{3yZQYbPcG(t%LFr>FqC51pMf?l!v+bw;ZMV%3 zYKQ1=yFuSxpv{>&sJ*sw35@$}9yDd&Z=1RSwji539T>s30h%=)uvsYsgxVH7hWkO= z+uy+!W(#P5GTc^1vzTMl4G*lq`a5^1y3?zDBf-`i3QD4FIu~%#mi`^!jBNu0lq6d)J%dzR$2Wkp zHZKoAhV9xC*fMP$KjPN1Z2GN$Y}=c(VRLNBdm){(okr7cpPp;8j^n!LZ6XhNT(E7X z@;A@MP=K0mJNz7^i?)BMsw}X@(*X0bZN>MnU9oYx09S3r6#JTOJI#WNY$fy)(_))` z0i^4;u6|H%*lOrtEVDIv!FJP@^E_gf+f1$idzEee+rS2!kf70)_rK+3E2bm3+4kie zINr5=LFHA8?QJ?O@7eyI30tde`ZQdk&35|&q;{K?4xvul&r~J#*w)h^qSt2s7gE2i z<{sP!Y_HOGcxcO~Msv{iCOy|7yX|W_-iK{P)Q^qW+9>Znvbj)N8MUQm1IBDKtcdo1 zynP2)6-yGX9cBgtDrQs!vtASCbWn+kiXh>dMN~wtSulr#C`MFFv^ikT7)LQ@#hi1_ zIp@Ip3*_ESZ{O~|yZiasHC0{J-P7GwT~#x4_*(ngda!(}U7M0Y-)kpT1o%JMxK@e)XlaO`3^A8^7<$Q=38bQ0a#$EX{FtgVBw@W3oV~%q83?} zk%d`o8M+?CC6+a`21_j`=uBU335W#CdW$pp85=E`>7}JjmX1R}+-$jB2Arm)Dk910{BFywV6g77MC}C6 zUQ2OzVEZf~*?{f0w5|`%LzX)GKs;<2QWXM6EI;T8RFG6dou$Zw``*+IbrEu z338__;j|G?TPigH=NU`&ju`e?OP>Hb|IS$o(7ABllACt-1&bq1!bMA$p1>|y`jJ7u zY}t_+*cHoOn!T%*P5S|^S?0_G@w&yJ3BF+|dK=)TW#teE+_LN*3YOcJEnxt6Eb~aG z?^-5!qwb!i*>C9KzGcB3ivJ#1dYwcwFD*rPg8Itxm=yQ5s{?}NpLocPa{{1XAbS?1G&>=cXR0JI(D;!fw^FqiTa)cVoP4(XqQHGU|Pqxq|o6w)}=~4u#R)N$AHDUw8@8N#=DgA0hr)&cs}YTx#XoJ z)Kr(xu8^PRGH?Pg zFNj-Q^3aj7&E@E}Aufzq$**{RzQ z*uZj}2ey&fQ7U;8J3)zv&8!^hfyvI1hr5NHqu_fhyS4)?No>g)vZ&kG{LSFm&KlBE z>|pT+!LySUr}J|cn?}01hmE2DZZErR2bTSe-G{(=miqeW1-832I4`nLGRT)$8?t(r znamF26;?DKdbr9e-h%iw)|G6+b+&69#GkYJJ5%F7cKbI-ykv|uDoMcD5!kTN>UQ|Bm24p#7}H>5n!L$vN^!MFvl?v_{w&W%lDn-oCs_(|D`(W zrtqMK;GD{n$=Xfh?v&Y$=f4i4^DlwNk#;QPBa48#h@Z*^u$Twzg2WPDnFhR+`_tkr z;|}Z4_6lAoAHY^VeIJNPJnt)D+qfPAfgL<^02USVvWZp=t8<9hH>KhzE>pBel^uQE`qduHHz7;~BkB+kkK1cFLFW>_k>bmx{B|GIE#sf7 zKwvpj+46$8l3(c#;wmejK+UY-J39k4xj#i;TX>xW@NDI$cL5~vV`Rp+@#7bP zZRZQCU}!t|kPRU2ZKIf32E3x~9t!Io z)IAdW{lWQI>|TqyC&D!u#HZrc27qUxO$H3+tC&~;EZ@X!is-(JV;9K(iIN*Ufew>B z4udsXy3v6=T$Z5~9U-+mAjZi0v2jZTzylyHcX=O~z&Bv(=P ze~Pr7iB@LIu!Ue*CEHg6wpJd#1-V4odlA4o>AaZi?|NyI8R!NXQXKLdWlKuXn9_^R z-Yv4&9JIDgPQDMYUC!%=W_HMUIv96KyLMpNC8sAsZm*1N0hWETMI#XR%N(CTJS6AP zqMejE5+QJ1ZXbiX8?pq2WH)7tarFJ!E$K&zyW27|WykKw$CO39E3cBhx+k-Y2JyaJ zy#)O}kVj}gKa|hx0UpUJbk04NZ)xj1k?pepJe9u@_Dr61;$ynne+iCrjVEAAkcow5|mxDTT5aT1viiZ)+Pm?jnxR z6S7EiE3U0G8LFe0`yC8s7%MReHPLJa>C$kvjUEM$U>E2BiD8!;fPW+lp}8H!icwfL znz=oLz!-Mt9qPui4d;Q4W1T2X9?NP5fH|HRht?U&!ph;{TwY{I6A(jK| zmm|Oqvg!0h=@iRPcX^uKpcwHCyHCfk^(-4&3;o_;QCHB~O?K56thd;aD!`twCOD(h zCf~0sxT~E!fZFOW7DA$i?Nu~Wv*CAK*D6*4W$k{oK(U-F15TGsr08|pk&UmrZz&q9 zH<9+0>xXW*cAI<+JnmO#(fhw1fknaOIc5P!UcEMpCNmg2K-y}K_nhwFfOB-xmO4%k4{ zfP089-S1HA79UiMW|g5XShdyU_l{)?mIF*>+g_n@%sM$S@vO;Q0LA)cKwScp*8vu? zvV{Q_v5t39x0q$ofF&|dPhjg<#xoFK&w5P**v8&}25~!UN>RfO_MOrqJDI!n4B#%- zayJHZlpUc%{204W_Te}S+6>l4F2H^E<|OIg0~Sj2@{mQ*q5X(C z(d%K4*@i?k`h@kO?8;O2G8}c!*kVeRK4(Y!qR|)Z7t*1ZY$~1kuh_)PAiie%YJvEM z&8-3ATlRhf#NV;|6t})-LFG{Qfz7Ukx{qx9X?p(nnSCbD<_ilYC*v#IkO^IUV{u8~ z`Oe%a82-VY*+4#ph1EwFQG7*BfMI+fsd+TNxfm?Nx#tzIjNm)VLN10E8wlb^ezpVJ z9K~A=1sKg2I-_n3Z@C(rW4Udr{*B{9PNJDuex?Q_Ch#I(P#MQNw+3q>w;Y7vI_^*B z@_PPpCpb6oMDjN`@-7@;6E8r%+h*=U2F~Oy=`7j8pH=|2l}{jTO5*Xufo~ex&&WkS$`f;ec${Y;BXWYzp`6l5zIHiSPVozr12}Ev zyBnglGd!6dgPi5%e*t!m7d?hX&-0#fAYS0JCWCm9?_7pvF7dCkA%B@y3j^^A52ri4 z%G-GXyT&IJMBR064TandoUn4w z=)L?&m3lX#RAz(&L(7pzZBX_pR0=Kh9Xu2K{q|j^{ny{69K;4hz|?7jv{_&pvYdSX zq3s8x8^7zbf!EJSneGPR6e)P0&4ufR`Ie&jpwo9y`>V{3vRQu`LdZk%!`g=p#dU|} z(?Re4r8y`)7Tux&<|9|8SEJ*&?roh}7|li4z;tPm`^l2`X^yZ)>pz+@r2~#p6fm&& zVXzL${}GhIPdY+)NRGCk4}I_ybn9%gOHr&bnc!h;l>u5bTU8Ie4rlr!fD!ED4-jKm z{Y;P@$%fFT8pT?WPhlO+oGEG^!+vRr%CW3HZR2rl0{Ql_Y{5x@@$3d=;3hC1a{4B+ zE%_lonO(r+8W>4?dd?ZomQ@8do%QlWhcnsCNDybU4ch?bvQOPmH=l*P0+zr$SE0>C ztUpDqOROx%uaH>AS}g(33YO~|uvKgi?Y}jw62)VQ>>gzR*0W2!Ah?lL>H*Hp%zZjo zwy^$hQJ2I9(`|2O4Jw0WCz~1%u$#pV0@%yGEe7X)wqp^%K~`%7z+t>NjJjlIT{#Pa z$5>2$G;@N5R|Yu6UQyJ1nq6^5-5K_ULg8~Pf{xS+%+Uq%m)I?`c~@9DWLjWPYg7lg z&qh&9@qqQ}2JnjIod$u|tWZ#SYXWZzqg*ox#1TA}j(`{* zcoJYde@4O0WZthh>J+b^7XmYRCk`-|dv`3;&lYDQQ{?y zaIzR;0`hA_z-?e#MH#a8 zNn)ofIJb!gMSvX;?eYLSDFSDM^RjR+0B~2#3<7vAUQR~cM=`q;IEP8+=2lRn<*y2@ z4VNYD(bEXos|Y}hj3HH+DM!XYVwQYHiI~~aDFk4doK8bqE`vsZWrZ{@0c?^9WHdL+ zU+Ba&Wi?X$Eiy+%^t4sBUW2+MnP~>VHaYhb>XK!?2jqX9lcW29dP64e0eB>>bY#Af z{;k3DO)es5YowNY51JXJb=eP=(OTGj5XWfIwE4$s`Km);nzn)*vUu(JUSLXFp9!4P zwY;N2oS~in4Dq?zrLyU}FC!^h`5u?({_EW)oA19p3s`%l&>*aXC{>jyM8j*Sq& zV%g`-z{ax%R%r^W^9J&(*g!Jut67r?0Bcy5nE-3qoQYsb zWRdh7U>!5-qM7xqa4!%yupSg+Z)9u9!QRB;=)Bm>&QAi-WV7h_+ro;HU%Zt$kSCJF z`dVo_Zew8-Eo^7A-vishO3y}5JJ|v9i+8a_beQgD!J)wRuos(9x0e~o!1l4ilpos9 zj+O=S0E_JmaFCUw)WRW_ox-KVEdP3Rc$B?piB^uYU9})^g3YH~*+~}LpXUD*%YGKD zr`a}An6oTm2YAl0^W^cLXAk#*{^ zekQOVOp(z~VRlPFjN)5+qRnBv@iGvj`9jLM4d)kUQ~W)GZzls0!|TiebsR4}3)n1f zk{3Fgk0W<%4wp1Db9tjJ0P}d<9uVjA6LkD8;Lj;blfZkD@-F1rG6Gw~?T-R1=Gl$_ zEa5NqU=&MvRp@FAi{kk0ihI5I>teeaFfL zAovxWkJ?}R>X2xb&qUe0FQwvIv?mv%<0G=Ap`W5a=`^4d%AR*7fzqqQ7u5Ei`3~I< zP9~pf$VT!3hdT73l*MQ^ogAextVJJ(GUK%CC9 z(h)p^)!7VeCX1v!f&4iZ90qWn)ocis8*Fq%0K^+K zGq>2D-N0_M@#GHQVF?uT-DS%tP`JlFCV=HWTY4UK4_E{p>knD2;~6mjkJwAvH;>ut zrjU5Two=mUDSP4z@SM%-2jWZCrx`#rU%4Hv4d;340*v56^}rIt4^c*9B=1DN#wcE? z1+dZlZFPVNJdhTAA`hTwHjeM5b9oX!M85fCo_`@Q>lE%<0B|awTOPxo#s_%-#PjS^ z0Tizth`Q;#dl^W~;6v!?=1l&X0;^g4GGzwl@M`31%;Q5TEwPK2UJdLjKSue(YdrWk z`n|yei8F=!9{|g6ar`}qF(OX`V9Tt6kpsP4lqOrXO1S5O;A+wK1S%6nbMkmj3bz1Y zr$xXGfb+teQg;_bw*0^@iJSJ2yCz&*(C8=em13u1@?nPz88S$;WS@8gWvxf;!CO0E zF?cNNucP$ZP5xc|9qlRq*1&WF;yr8?DjIs_McK%nJnY5|Go#ig%T1K6kKacVZ4zFh zZ0lMKl;G2r^iGaX2DR4eZJ^fPv>m18=3`J?YE$Z|&V1UBbqo5UtoM@6MAsz|Xx+_! z14?U?6v~zQ4jd0Lzy32(wjAURrdH0uxNhB@9Ewiu=7A@yd1YL8zDjEme%%AtUGvXO z*UlZ;fV;mej}ASI87MvHbqB?3(Kv87twEkxpoMNKXw6s*=SLy&P;^zi}xRzUS>RSv++gkY3L- zpF`yaUX~s$Y~*c60c_%pyFhR=k9`2l5MM+d;bH#Z zEI5zwvJ|%_^P_Z*p64AGp@$1Ro@~!W9z=Ixy~Jb4;9cg|NL8-zRxN>D=MO7F@CIM- z1mHGbNU`2WKJp{LCmwhZ#4o&8GP++aj?u!d5f57dtQCE7fS4#Y(ITxAS~+lT6YkQ**@{03}GbLH_6%S%WS0T}Gvz!oQ?q1&h7g}E{i;A()bjk|iBDv4c3hH8cjiRR|au1~-mdc8> zOP9%rn!r}bO*w#Vl%qcaY?9&Ez`0otu|u6H+romw$9#DY*fv?5X6>weMCx}=&RBrD z^KwaVU>9VYi~twqOxnkn8vR zk!S0r8=JDvL8w*831#itbYNOMj^Wy+=w7s0=inkx8nn28(tFu0&>Ma$fp!|bsfR`z zf4l^W&!x^Nn{3?&{-)N*Z~UjJ{5lAXgZ8(A=-_~|s2q|+iTzP*?R1bvv)a{wjbWP( z0-M50tOUnYwuz(NX-teolk-?$B^XI1LA5nn~wT5Y*;y9Ygs$w zp5O-FwO$5HVz)+Dtr5FfB)+WjxtH51?! zYY!(MVQ&jNOu`!$wjadrY^XP|A1s#cC58Fc1Qx~DN7MR`1fq+FIU@e1t?k z@g|7t_}1nSU(c&E)NSD26#i`FKOR&5eG~6;3ap#?wBFz``BNI{7JkYFjc(=5=r~B? zBi=z`8!vkl;0zy<1maoV;u^p?zT^xVy}|v+4Y|q7Jpp!$-=?tZ3BTVO#Hail9h=Yi zgfeLJJzqlS*ayC|8Hm=8JaZ>B`iXmlgZi1zB}?#yH>w8gE5E%3;2SStMQh)AcVhX$ z@7qBzg}c;6Yf<6_oo&O!z$=i87B9&&8!p;Qu#6C%$J3;4HJO1&vl$e?K41&;0K8(~$rFCfiu?l3H>^C_L!`d0@Y9sQnaV4cLESVy z5O2IeA3lEqNZ=)^Kpq-!1dT4@YmWn4!y|`*b1hFXz>>&Ekuh1vqv?EJ&tsYbY~XW` zLSQ4`NqV%2*Q!oNX)~X(0l?&$Rsd|}b5BBWKi^y(-5=mD`ha+lyR`&3#q%ctoaWPa z0-WKO$rxSb8f6Tw@m7NYuJglm7(L{S*5DBz-UnlP%;&rSc*8TyL)}}R*$15Ocqhc) zw4pDNW0u0-4*^e{@YQPz?)A&mkas(H8lT=_$oZDMXnTNlcIKjkYnpz;|H4~N8ap4kuB3;y*XcwX{ug&^^Yhmc+P z&a==l`h(k!14{~@o)ut>C~F6~v0~J(;2bAvzl7X0v5X?ccv1ZWh)M*N1#yAMOgkh& z+_?hcLg8JL-hW>$de1}U8nLo5sB1+4xo}&>xbDD`gzp|SvrW{YaOHq_Q4*X7MX_}t z9uilF06Q&~b_X~kGWP(>Sut-526J6ZnE{p?!k@64;@x4?JroXBfQ}bM`ybSPJG@J#AFXA#;v9F?RUJzqsPa6CCkf)(DqST#T&$9^1(3>kIQGnz=yRVxRi3^G;x{?#Jh1OFq7^uQ$c2<} zOOf9jp_M3Y|6#BU({f(}FJqdH z?SU=S7LiZ4NV`evvsmjy`HUsnjAXDZ)oS4+*OqDdI|5s-+5SM?3eDpYz)G!GA%Im{ zNwWT{HCJ+K*JzFC1YfI#7Pf+#sNE&yTBoJBfVy6rO}@qkt@0Pt9oITUpzeg`MMm+Y zHj46Cr?eO3_@370xdNQga2#OkX-Q8pv~wD#;Nyn2+a1_V%_cKgZfQNqrN6BS%4^=y z+R_eup{=<{@4vs)hQ^}ul@@vl60fz6Ya#ze>wOM9Z?$U_uD;U>)6>-V_>pqRf6(6d z1NK9k_5j#ey=NfA$LV1gL5$U_2ZA_WKkx!_N^jQzV7h*7GTNM>FTMqApoX`ry;fgNfkL8QkfwB<-WG4yY1{P5H_+O4edP#XJM=eOA-_{k_5e$=-jo8* zqq?00@tFRCMt@u{HyfNM^aE6PQXk=jo=)lCOGDtazJ@m98GU6az%_j?oeb8S`XD;_ zZ|PIo13b}t`+)jXk1Ys^XL^JDXzhdUMF-+XJ)Bhhlm0Fjz;I*qRuD%R^JxRe7zHRI znP}vrCvS1aZL(pLj1#LsoNUaj3zjLyfR_MMjjNQipJoKT1r~2LoOS{#qsB5&ml|K* z0xUC@x`DXdu+0Z-g|TE8T3cznr-;)uMo~bt#W?%~cd^xQSOAuN#$se#wEf25x*#4f zT9Pw%&}fr@!5lJX#DL|nar-4$ju-q4%&J7@d0qyfmtizx2tlnFW^5#%rvh_QlvkPtd;_<0uOLX50=3_-;JU z3V|O+%XYw0j5(AFh_ZP?8)=x$gb~1^ZBAS1Bp7aEL&50?n za`uA~0V`OWH{e{)nym!3f$gT%-N;r@$hL{ynG3L)RagqJm*povWglA-53rxrCG&KG z?K%N)k`3Ao7V9ZC`#74p!oJdgud=wtpk8Aa$PhkYlWFcAGL4?7Jz`6)g7}7&qO<=k zJXT=u*oqOr-ZSfZU>}$}W#T`w8f4Z!vB{l5{LK7FLBFuDC~$sdSuCjg#tM=$e7CZp z3xN*ft~z)|^0Hlk#qkX%AUKIfW(RRH&$%1K*}Uv{5a;l=(P(Ba-}(w*8DHoR;&T3j zlxYQDQ2}5RpH>`TGoMd$X!3r`fbHQIsl&be@k+G0kH38d;(l%o9}emPo{QY9gM8*r zP!I7&28f4w4L=Z%@C0&alX;7r07rQWE&FNyl4kD=Z(SGQJbz(}x(j^AbktqsSz2WH zxkn1p8C&b)T`<+QS&T}{J6c|s?qu)lG!3Bqv)f=Aw0nzw*j1?;YvOiPMqHYQGSZQ5 zug_9Shg$2 z%cB3eEF2qHo6qu*fk|N2n`CBJu?1xMSF>xEQMrcYTJbZQc~vji8})DMouM?-yLx`( zFsKjpHoJrPsosSB0AK3a&|QD4w| z)QD|1BP8`At1#NyQtfssHQQ@wL>jZQ5z|ePT`N+5pa7v3;yLlSo}HF&@q1hq%z@1C zGj!)OaV?@MKIF~TKz$i;mv80h7_DPCL zrHm$Yp*5(J0$^NDr4~0dhcmY@C8C6;Ie14CXGvXi*dt^HDe>Q$fxp6P{LAb0XD^ra z-(0Uhd%3LtaJ{tj^>RpGuR=f9>lerL< zTQ2M0tXIk3*Q?a;>xDH;TQ9BT%d=QB{Npj$;eRX|Ry0k?jC3Uv=}N|-WVC2DB4fsM z9h2!gmPxbzRI<$fNXfFKE1CAsl+5;Tlq_qyl4VO*GV!~PWlz^JyPrB{b?=}MMAebEY}FIvI$MRQ1Bv_k2N=J<2btmT~2SFLdR zsuf9JwW8^(RxEwhivL3;EAjiHVflV48J6#NCCk8r@L22j<;tsR_VlfRaFT}gr!Ca~ z!7}}B3Nxjf!hgO>|I`%zUslN~3jV%Kf9Tfl%cQ{y+NCcLjOI@(m@|EWa-}a&?(_x9 zlfFQC(-$aT`U2UfFHrvU1u9@z)6C#61=Cl^NM9j`bhRp!zCe!Y3*?l(K!wv6s7U$( z75xVbWV}8?hOuJoWt>x09$!RR{V#*sh=*ryiM9UsD=57O z5xsFODt+!>McKrlTK`74rhorm-;7ZHC^v#I%Klx|`-ADaN-;7xQlaEFaeEeD8j9B3+|JxspAbs;^eKTT( zzx%fzjqsuc|HfL=({-}ee`Bl-kp?m#fJY=?B6ume`~REMwI=JjkWdfd{(2?DYDiTo{=H0 za)QdL)o!A!_T)Congt%BtTp61%K8`Yp=@yfE=r%x_fh&aBF?6p@1SfMLS?H#RNMLh z(SzF%f4dn(30-^(Whbi>iG{TyWWYoc7+8at20f#4@S_JPheT7y!`V$@8p(zcZ4_%l zU^E*+d}COCVj0WaZvc#Al6r_`S*X+T%#X%8ft4oli7bp*;#gx6n8d8(=>{jWTST3P zPhbHSvT7u;gsq{rm$Rxg#8s>bDb&tP$+r#?5 zecj{l-uAHmZ(sNLySF{){Qn=m?(uhTd*J;4U%u|)i{18DZ+lq(x37CZgZ}z$59|N- zbq{(*@mFtqSpVtk9=vGLzdVWbw4~!-oJ7X|Z`c6)|Ibq>|ChMopN9?U(cS+$xU(W5 zQS{G>?zHsSp-_74;FumeIHku9h0|jP9m$g-hV^ImYQePzwdPiUu07(O zSOGjYQ+54hYOX;8YP#VIVrryQ(>^X#+aw#+`re^N{mxMbO*arvU=Upgxe>j2UE*nR z0`#;4;$KKkL;wFtcKW}coVNbc?DT&>Ic@!?+3Ej&a@zV&v(x{@xko_qM54O}_5)f@ciM=|EL7r|Eu4W!2bUE zAC=%y=D+$)iS@tnQOUpdO$pEFjOU-fXGI0qY#7%vh)hDWBufp7nHdjs78e95o2J=Z z%ZZDu`p=;H%XR3F$Zlvn^c^y(68|(C!|Mj9#@W=;<|bV)LMgImG?EAtIWlRPwXA5z zR>JSeXdJ$U^_L=BW-Zr_uV^M;7ELde3H64p5p)|>QrKrT=Fye$8l{-WG8)6eS#iWi^U9RH5Un#mT@Vd)Ez<;w=VW5JxAE0Z17tFl#P04$15O|eN4^ZYlZvl z!Na&xw*oR`(4$!8We^?4ZsJj#9>WUM#Eu-vEQNsKcN*pa8_nYJ&a6Jm$^z&`vDvKP z#nen>`%-A}6Wh@hJW+hECqN9JH34;T{F56vr}77v0A}*4hrzjmhbMp~iQA9IK|-Us z${u2n`fWB5dV{I|(XjkM1NMScHS!lOV0gdJ#Qh969gp{q1#n#H@rP}h& zM5%Ox?z{3FnvW`9KY(7f><^UH6a%GtADWLEmuNm}=6(x$y*c@C?P^QixYec+xIfN; z((_zKlwPmigQxy94cFd-sLjS!hdcnG8?m`G>mr)!;cN@lj9>@QcIb|4<3*P(ly%xe*t!aGx&Q~6T5ooRTnmgX&<=b=Uw|4JvzbY6^F zo54qeRbRrhWBB@29)Z^MNuno>Fkbw~0Bp7hPoJfdk7*f7ouy8GxkuMym<#nkmMx;K zJ&x6!JP+=>4PVEc;#T=rt_rE!cK5 z?kqCs7g(>%BCRPtpT?aznr}K6D{M3588ni=lA5Y!24a(E5>DZI*R=1-~Jqx=iTigz(9)3Usi zJ!ueQwUxBfle9Us)ehsWIRNVsynO?htTiQ#IjVK0Nj;{ytl3fzSG3M#9Ik4o zX{TSaYOhE|uWQq(!yDQvnx~suC0gcN+8H9=)}~SSceL3gcvtf#mU~(dZP@!-4-$W% z)ud58)bdfkPqo}M(6?G|($KG(H{IYk{UCt}`XXYPs?Wy6VkNNuDvYB!cD_h@P%)Oe zsv@c1>UZg0YWUF3s`Y~QRPDAjftH1|`&^EH2WP$VG>NXAsC4^GoQ*wbc6>9@P7K;g z<7oYvrlw6Ustr$~b@}Zw?aJ<9m=CMg<2~80o}9{vQdHe{01X9ymI~$2>9n3!AEFFr z2dU?=Yy}N$0^3UWJdxd@xth$psmE!|pLRn$dqUesv0lW2?=)$WX0iYx;#U!9=H{}g z#A#j2vXY@sWZP-7*0E4(W<7gDU2I_aX^l6rHZ&`nSrCqTd`Uc<>Rz(L)aW;MmFD3) z`$~)RgYBo=NMTioGm1B&x?wyY-EK6WK?@MWXA*HNFG;Q3wespTv(GrEMR?9@)82f? zN6|cd;XR2pO3a|UA11t_X$W4u%7b{82u8E0syVr#^lDdB=*3cIHF6>i>FGkUA50lx57%eZ;xJSSj0f>`_ zXcy0wo^($O<#3w3W%4G?+Df^OhPGDrr&iXCmJpTK%;6fMj~?F@k}TFYF%;Mu0Nrdi*vr>b$B_C%N`Wq>K_>F-mPN> zuK*wSU^kW6TPvn2G&eMJ!wQbCh}Y^yMs?Lu%Vb8c;w6Pz>L5$2(`)%>RSy<`)lj!q zi$W?nk#|(Df(%U!ZJ=jV6A$Q`%2QUiSG&WdZnjv*XJ%%VmFsxvsA_){8C8KD{EJ;$ z-_3gT?$|iAdst-W3~JtJQC?Z!>DkSIoqQ`Z?RWDarh?vUC9``4RP@i_)}u$Hdyj73 z-NPfzDSNnsp%xsF5oY3H9?9c}3Qd*EA{|tdUohmLqr8Di{HAHDM;EE9yrngJ^TttL zfT_8gbw~9hS=y))$9Yq8-f_MtRoLu!icgg)pH<7I)_v!BO>v(0%A`&WkTRoR@6d=2 zAzedzn=^0n1g3JgHp-fo+kAqqHt*K6si7};0TtI1_y6%BZ!7~n8u*)Tk9av<_YMg5 zYv!-&MTmmtwii4PQ!8wRlX?0jKf{%L%5y3EwHVs5H@pv5o3Cj(&9L{pHy0j_{Y^Xk zo~5P=UDI^saEjZglNB+oSHJUoX09Lnj?jXGgS?xl8`Wf9RfLPvnf&|vghoV!goS2M zO?&G(&2mEQ;%cHRCMIzYCgGbV@|cBmu~n*cbE8zOam#$koC_f_f6eN zMKzY1iC>&s!jw}9RJW}rl=@Lk%vXLtgr*PZ8R`+*$(&PNtkG0tMp;swj}>-il#8%s z%I~DW4+<6(71aHb!cOh1Bka|@>$+w>s3ZI|b<0DSN+jt8&1xQ^80M$1$f2&k& zYm;Cvb^5U8Xpa6>L};pFHBm}AwH8&C^ByQoaBDG0P06B{P(3f|y1La`gqzLU2peYh zY%4}%&Qhc%-2(k9#!teuR#=7I@0q-ZkWqLguhWNIM~bI)jcrS$F+HI zu#Rw)6e&s4w_0N!|{d5beVz{`VcE6TIRd7{wcD0nWRrP)oDQTm`N61cQ<1V6s zrh7JVb!+UYl6qoO1P80@Bc#1>_OGPaaqeIa>?L+F8@e%9H(wv~e1y0vl~YbVlhRv@ zf&z9<9dD=SSAJi)H0=k7p-jEGtUH)H28x1Qee=~F&C7#DCa%5@6)k82rFu3~II3T* zqOUq_6{F1YQKFWiJ~@e!>U(8jsE~m&ml+W&l7#Y)6P>gE+>HIx%$eF6CyFV@M%Y{_ z1)##t`L+CNTVE}sa(>M<^)yZ#Q->#E7nBfs1yz2sD6BesmpRPH$zlOhalhyq_YPE1 zTZNN)HCx!|-hsiM&FU+=Q6iu6oGJ#W;h(hP=7Xu2aFv)zYU)Q#OrAW>^Q(HDu>-ru z3kS7f6Er6y#|AAq8M8V)UUX2WbeU5PSuQiH0a-*gS<~6Mv}vP6U8b6^;8oP|*;+=k z`E(J-Z6n=7y1Vs>_^G^^W(hxTwwoh7G(C0yR9COOg`=62AU!59!k}Ln?EP_?yzv{Jupj=c>d(J-h0XW)bLobSY=Glwl2>v!&CQN$rb>WdQ+aF5m>IKtf+CCIvQpNW+ ziW{l&6`hrDN6gR6r=ma065-|TVue@Cw0889U{Z~hD3(<=$bM;42nWb6VfS#eD9fPYn`!pD6-uxsuQ%iMer@Z>g0_N?{;xkiIf0K1p#7@|| zr(Z>08&wasO!+$MMU-t7s8cN|<5byKxGJj29qLPGH~=p-*-&qfZ}IX$SHQI_u&_g0fuzPkrff9GG~;X6^3Z2fkQ=RwK}8R zmNqYRw*is8`*es@dp>Eo%t4vtYGyXfB9ld4>cG{{t&(48@9>_T-MjYa(1rXMHF2bt z*DRA=USz7FizsgL9I^&iE+b^2)F}(}uB7a4@WJLHvusU{0F zLsfdB=QTUzlTW02)e4@0!&LYk#heX0)!9M1Fh|IltBJ%2WL)Iqvsj||3n8Qi{4 zL~vxmj>K_j9ZWgDI|&5~kdUR^`N0wO~q`$U-63d?6W zs5S_xdxX*~num%?U#`YB5+&5j;;|ROwFt`{V0d8heWtm%3Cx${v{8mb-PQ_s?rPqj@s$Lh(Ud^c~4`ua-&y&Hkd7yW2 zh%qt(~PPm%ki{*OJ}@!&qWRvs&G84YHoaZX-2t9xR@^rYKB?HUHTd-@Q3bf z4s0l2OEu0{_EfKWKpCRO%2LYqk(Nni_LDAogM$Np_4Ww<)jPl&hk;YYw0&MxEoiSf zs%)j;>?HU}C+SZf)E+;1n5*4!x-=&T$RJ%+ixoxG!xlKRW_C78nKmu)TLQ|zr_7@^ zyBK-RSFL3)1EPWcX1k8^t)`svVX7j3lTHesNEI>9{wAvmb*H0IP@USU*~d47ao;lv z?nI65a$DB4g$Ri3(bFwFGQ!N+Lk^PaSU4QwGA8CKrHpVe%?LRZesxi!h#AsX7G>(? z9VpVVy}E-kKf_k5e$rJ1xa;MW^HD5N=Kk`Il$C;U5;(2V3aX7;wSs23A<~DdCxhXY zT;iIox!NjEFf(eHEX7suc3DC#8!n5$t-+>yJVDQ*hHa7gR7@`;r{W`IZMvu8Dry+! zBzT03Z|N^4M(%kDCr~AnFB^nGhwVe%~YlGa2poH;zOhBZm<>?w2Z|^9&Fm}X60YVP#p!-|wfx9bnYWk& zSR#8hu>}?;@f|nJYinr}%~>a#D7IeqP?rwqS(Wh$9$>#B@Xl7Rm-!vse0>q+`1%B= zDtYr@pMd(o-X2b+ocmX+R$U!fFCUtlHpt~neOd`6ZM#YCR(GnPt1P~_%VW888|8dY zNOQ<$DVb{EC!N)!>LRO}Wy)6n-i{9u zQl{!&(R|k_JwJheIX~4sF~($(M`c|hGnoY+${WHw zG)nZ4CLY_j=4yW;j`DV=aX_R*;(jZvhDVj~Tz(YF(O1AlbccHu2-o*qub|l*p?hzRC^xn*8eR9vmGIP{}aNS>I(pbOy$qctMGOOmvve2gDT8>Q0{Vl9vMh_#O62~yBJu+#{ z)b95136IZ(xAx{YJ-2x^lNPD}O$6iTXEw3JJ8!n0{Ug0QW(e>=cmv&SdKDHYjw3{H z%8BG7_A9h&Pab^r9zRzrqT;T?%R>Na^MHyuxN8&CNq6n8s36dr1;YWy20!74~YylH#OFF>FPuvVjpXO=F0?D zMuq$$3!0gOw609O3fA(N8-B%?_H@2U75zvnta`W9Mk}9|T5(mVl~ygkTO+?<5C6(F zoJy7I6W%?tVzuDN($4+iPPnVSt+Y8Rr7m1*r&G`{rwTB~*K$jHGiPi3Kx^vKq{fzk zY2lnIbVKGb7lvrw{AZL}NuA!M=gZ<<$sLBtwSI7*zpJuqDD#;$I^wG^iVSU)dsE~T zDs;lTw_dIl{S|u$KSYLURT-HmWHne-WHo}Dz+AQMtj$Nrfg>ZwAHH62dgqHSiVCJ( zH?0DvT_{!WJqYCM^}s6U3kvBS`BNv|21JHt_^Ad}RGbgY{kT3_MPZwExcqF)+}0nd zG_%!UErOeGhT@S$_TXS2?*R8djzx3XFfCu^)bxeASsTIV@mTx~l~51*Ygx^? zleEJ&YIjGYjOx}<80N5<_^}H+Qlme-u0Fj(O`q9FFQ&O4#VDvPGFP*al-EGUNZEDA z!PPoK3^eN|Xt}efT^(Qv=x9{gb;D4u`><8}uhGV&`YTe zkF_LKJ4`REx;@bbnAxAwFKX?@gncax=gFm}VXwZv(0-^zT?_}a$SWiQ)#Puoj0*0j z*{X+CWdZ5sQ_`vE$r{VmN>%5Ay>Ti{$B&o3K`3ioy=Vv^tP*qd39`NJ5n*;_o z_H+#htdX7{=wH2)xjID~AXK|=aEosAGjf^8R26}}{7sZd3+|f*`IyV3{+m$q%VRjR zAM)(#*+*W&%weO43yr)DH`R2Go>%S7te;k8KZswCE4_%A~#&g zK%dH$yec~P5B6}Y@8cd6+`!5FltUjX(i{xmRoqEA4b(Jqb{@U8q!R!kb^(2jxwC-Y z2D!w~(oh>K!>=pgpzq_-Ex_MjU3b)bsy!`?V#>!!Z(^QuLiD4IN-!Hmi|gLHN*<0B zYS(zpMvW??4>l{5)sawn!Hg2-^>TW&p?rVEc8{&9mli5ZJ~)rpM#}u=-Ws~SP`l^A z!rgcSgLk)-ETkN7;>e}cpqbI4Z`M>hS9lw{T=l8U9Okau>*{7F_<)t-kZ?Tct@q>V zSqH6@>X4x2Q0*J*)yeX%3+wQV3Wm*cy z!A=)#qvw=q(N0xW!3p`2ZXx;;rV4Gwq29NHu5&v|(Ef}-Q>|DfRSv_yzs-Pr}?iSU;j^0g)ZO zJF2JAdW|*TrXzs7_LVeD$iTJoQg8w5M;GQmfA8-Z)2l)4H%KFQ}kdJmm6th z&#Ag%)s@{9Ew`C66}PBLD&5B}I5_<%O3#}&NydGia)OhXp!8iV7uiv-)QH?CB%+IV z#|GhHoy~SLbitMTRx~zemX2Js|3D)xD)p*ZS9tf6-RrQFO$t95^& z3eLdJn3Je8-Q2NRFUeGoBM7JZroc$e-yw9@Wuc(r;79mrHe@tLHQOZqq+784&F5nRd*q%xPpa z*X1y*O!eHMN2u>P;8wicp?6?r`(1iFp>|G14m0&;zUdDyF78mLJk9i`;)K z&0icj>S{8QopIST-OPSeM}jUjD{X!~t_KO_+X^Od*lE2mQ|%uh(MtI>7N~A}A|!lq zMu!cUKMT|UEf}e}Y*(<$XjkRlZw2mbU?TpRnV*DG;qc5VUBzrq9Em76;s>DOi2 zED!Qe=~?CN;pa z8zNX`S&~gyv)ODm%U=m5Kol)M1x-EJTBlf@sSfpL)OpsQwmNobOVCiAPW=V6F#XXE zpzX9Xt?hU2dpEpnQKy#)`@Vb5J@?#mf8KfLzP*dP_UKMT<_G`oz0lfIL`g4q-Hr#Y z2|ikD^3LvpY1WlvsKn!nya(!*SbOs$V>+ujZ=J8)&^h~k54Rj@zh}yib zjBr-xhA_6H&g4zvQOEI^(6pSYt%2o)XY`XRX&SFDEtq5#-AosFxb(98S}Sl1Z7x)C z8|yF4^h6%_{L_Q(I6l(tiEK0mP*%Sc}g{_@}%blGn*G>)G=jI9P) z*~_XTcATp!GTTtAxOf`Tst7N88`JZGE?Sw#pY4M#OG4N~y4zb&Zr!n-mYTew5v%{% z4Rnv`y(x_7!84oaJF}!0GHSL5YO8`v!iL0A<+DAONX=@pYfw+y63F5=UZoqVZ09MS zl^ml!SQBkndczI%L4;DuE9YVh!GQNSI~oi}>%uthNB&b&*}!vEq*i6}qQ7~@TnaXS zG}vgfMH=eDMx?PimQ30#2PWl>?op5YvQWulx`c9tDAh-X8YM28F&0}+IVL{nR|HkN9}KCaEaJY;jrWA+^TvMv(r2@k8+ zsRWbT9}TZ?5OzhbkF85)?LyUo`noE++pluO1gc=oL^7G^iZ^wnnxjn}>rz-VjkHz> zVT_w%ZSm!4y8zU8G-znATkJ?d6A}6odt&tuBx9L&N4m11W9sULaJ>q=I;?e(P}o=; zZ|}%#S{zGZH(2JWBLyLIB~HyEo1cGyAVLp9&GAfheJr^yu4Fk1xb5#`so<3oqD`uL zN=)dV8}(mlSCECRyNrgw;*cH@n%fR_t4iia=i1ygJ+6M%9ifJkGfq_JHiQv1Lkv$< z?$%5#AWF)o`Z|m&;bU-HSbnU2d`JLN&&|3^mr+7pngw)j=CA z=}3uR7h97=bltJ8vLR-VTeWK(De+WuC*%e!@vYjBL@NDQ z_U-b48cZ6elqhFgnGf7cOSq_?{A%-}Arnh=wabQyYyt49e!8Z>9%6j7pE@}7U0PbS zA(qHSu~#mS*lhOD=pZhlOVjZTHjPrP5-u^EofW^mv!d1k*;x@r+XIlL!(Q49X>`ZB zEHAl_9x25hgcHUHW-=X_YJ1G{HB9UIFdpHiRK-$lwijU3WusV1lu$TAP4T2wpSSI& zX*C*&Td<~MqvDGsxK7r|(^&THKY4wHa|ys!3Yd zf}N6Vd)n&QPL|1!Z>9=TdsUH}_{=Wcofq9f7n?k*o5u3;JL$L9!W~#kN7htV*WVbu zJ`?X;7f&^9vUc7@JIqm$m674uzHd{7IZ=!VZPdP0my|J74Wat_6?ldTNrVR9$Pi@AJ|~-lyC_@$6*KWxesZCCId5hv=A*l)lUMGhHN~~V>g4Bl)68N-$KtK= zOmkv=q8aYl55GkR_{PnI9pBC5=Z5mUF$MPQvue6&v1xAPm$p#vNTuSr7zZbBr#|-d z&~yBD4??WeOW(K{3vQsf84;2$=kA6<9=7^>X$f}Gx1uE%ZNm#AYq!yKeqbB5aNTy? zSWoSyX1;3=y};q`P}~<>kA(=Yd8F6jB_6eX_O-oqGe5SM>Ur!wiu100w1Pq%nQUV$ z$(8p&@uwD=GwqiD{vMjj#rIOb_2~C##${Y}h)R0!?Q@kjt}zO6SfLRp9@B?I81Ky6 z;n{c1ie?$i~6wLyT=R^S8gsY8ls1qjKY5nr_b}OFD}AaBr4T68--I8y|7Ot zJNavjGzd6d2^AM^7lK?%>PEg)t_AD|?g!Rj|@Jw85{WM>L!>94;R$hqu1Akt6vyvHJPMiGaBUCX}Xl#5LwzpXpilYH<#)TbbH6dmd}q%{drF)tD~A3BF2r z?|B$|fu zF(|s8Um~y<%DJ&HxRn=N;^b)sa`8F&cKvoYqL40djzPpZ-HgxAqkmBY?t;R4nCbG; z0A<}voo=Z|hB9xn+r0eXukj!#eLAj8iXaW(PpL3=G9|H zW37e8dYRJri|BLOjY7PNuS_lc^Kvjq22RKm`VCM@pn4s7sDR*Hg|wM9zx1P+Meu@l z{%n9sr)ru^3^csYbBEdZOBhM zM0%Is8l)Ndl_{fe)V>2{qgESA5{_b2%-xp%C>?{3Wcl7egv0FSNpI4Oo^7CRa=Z-> zSVjE-U{wZKR1|MR<9_fTb-WMFUk`6X(}iEW4~-uN|1!rL(fl=V9vpg)szBTkUEpaH zSPU%gi7t?I(WL_MQZ&C{@lG_B2JCfVp^LYo={P&nxF5J588`&pZt-}yCYnJCh#R9Z zj<7T?cibAS&{`K=_{Fu+{Gz~q`*w~id~XNcCRj!qj$>37en8XlC1e9XU1CT^z+u3F z?FPQ%SdMxPT|h7(lGnhY!3MtPIE*e>k(~-c7zH*qjaA?mEEYBKPZX3U05n8675y;86D@5zt`rMwaV7$S1th} zaKMF?#_+gofj0kfSBux70>RqEyMg}zEIMcl3I;^7yC9?#RBNTr_|bVZ6qnL2U{^TB zg+)PeG2Qf97Z$p>nr`|nE-dubg6*~1t{~}RkQV>Zr7?apMPc1Sq3?H@_~++ofcUO% z1s--`Q9yiHyM7o+GM-yIyugp)$!Y;Y7thv>2Xe5uotiERVz4;LXW$I+p`^zc9G9M9 z1?Irnu(@o7#9Pr=1g>^rQAoTOH@(}1g)YvEo4!8>%hFzMGvpb^JiO|6G$HmeG=-VV zWs2aOST$XaJC3N#me0=9k_U1G--7$2u2?DR#(dFRG*Lb370TU8C7&^Bs0yjxo`n0| z#lR;wESul9kKC}_c!3Lxy$D#K zUw)ge@V%RpUB>1+bcI>Teth`mxz;8zP7QaygU9kK-=U&>!L@wg9lFlU@(E=7HoCa~ z4iz@C?_GMmIhD3g8EU>(H@c2%$dAXafAAhHTo#8M&6kf} zo#^5l3mkXJnFZWEq@QUl0L}u--1ecKrNHlT=lgU;MJJ}{Jg55aB7445Ow{>4@RnwN z<$X$(?89QYz~P%fhB3FDtBz61zuS2<5dQm+f2~WcCxCwdwSMK4dmi{BK5-1DKf;ra zqrV>IWygVj!uxUr?*-UeMpa({LnA)Zpfrl^rlyK0Ql%hx@Ni#XPz&L-IZ;>YIcjfHi37Q zdDBT+z}b^jQrwzObRYs6jc-iIo8X_GqPs4D>$K%GP0W`*?B)ZfDPpGiZ>Q;yIfY+3 zLzDBb#Ubyr_@rDW5R7VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index 6fb4579e..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_IAR/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,12 +260,19 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ -#else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ -#endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif +#endif } /** diff --git a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Keil/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Keil/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c index a50bfd92..1457e497 100644 --- a/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Keil/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c +++ b/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Keil/Prog/lib/stdperiphlib/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c @@ -260,13 +260,18 @@ void SystemInit (void) /* Configure the System clock frequency, HCLK, PCLK2 and PCLK1 prescalers */ /* Configure the Flash Latency cycles and enable prefetch buffer */ SetSysClock(); - -#if 0 /* The OpenBLT bootloader handles the vector table relocation. */ - #ifdef VECT_TAB_SRAM - SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ - #else - SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ - #endif + /* vector table initialization disabled because this is handled by the OpenBLT + * bootloader, right before this program is started. Alternatively, you can + * enable this code again as long as you set VECT_TAB_OFFSET to the actual start + * address of the vector table. This is not the default start in flash because this + * is where the OpenBLT bootloader resides. + */ +#if 0 + #ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM. */ + #else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH. */ + #endif #endif }