From 3e2185577c1ebb3860e84fee46d3ee48e6bd5da5 Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Tue, 18 Jul 2017 08:35:03 +0000 Subject: [PATCH] Refs #363. Added GCC/Makefile support to STM32-P405 demo. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@302 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_olimex_stm32p405.elf | Bin 904956 -> 905736 bytes .../Boot/bin/openblt_olimex_stm32p405.map | 8921 ++--------------- .../Boot/bin/openblt_olimex_stm32p405.srec | 3746 +++---- .../Boot/ide/STM32P405.depend | 1033 -- .../Boot/ide/STM32P405.ebp | 592 -- .../Boot/ide/STM32P405.elay | 114 - .../Boot/lib/newlib/_exit.c | 38 + .../Boot/makefile | 178 + .../Prog/bin/demoprog_olimex_stm32p405.elf | Bin 567532 -> 581996 bytes .../Prog/bin/demoprog_olimex_stm32p405.map | 5373 +--------- .../Prog/bin/demoprog_olimex_stm32p405.srec | 494 +- .../Prog/ide/STM32P405.depend | 1159 --- .../Prog/ide/STM32P405.ebp | 363 - .../Prog/ide/STM32P405.elay | 34 - .../Prog/lib/newlib/_exit.c | 38 + .../Prog/makefile | 165 + 16 files changed, 3504 insertions(+), 18744 deletions(-) delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.depend delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.ebp delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.elay create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/lib/newlib/_exit.c create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/makefile delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.depend delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.ebp delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.elay create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/lib/newlib/_exit.c create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/makefile diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.elf b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.elf index e88bd86371a3e012a70edb65dd0eea2ffbeda50f..1a4e40d11ab65b4d8c708df243e07eae84d144d8 100644 GIT binary patch delta 308352 zcmeEvcYKt^*8j}Yb~o9SC54azn+AbEb_1b_X%Ipnp@Y&%fIxzj5Q?!}H!5~ixRyah z1wlc@S3oeJMo`gtVVN79a7PB*%rLjn6W1O+7+U11(nf1 zP73%Z(T5#;^Inj9V|U(osn0c4V&<&~4s9HM)(xrGlb6(zCid2 z;qM6FBAi0_9^nTB55gIQ7KAng9|D(|Sw>J1Ob8Z)V1y8aFa#Sy1VR)-XM`>Yak7h< z6F_uBNJL0RNJZ#@&=VmYp$~!sArqk=LVtvT2!j!ZAY>!tBIF|sLl}-Q0%0UVF~Vqs zu?XW4CL&BmxDa6~!t^wJ%tQ#^qn0Gs^xLNtN9I@OreAotoit@i6D< zLC+w1x!!={L(Y?=ZmmL+cz0)gi=Fjk%-y+Jb8l{8`SM8z(-?+|Scp4x-E_xmoYOg_!#UR0J?IQDdHp5%U@DY38?Dp%oqth;ucN&?4$BCCskWwA!YuY&y>_tmlc7M6G>~B@8%|rQs=?SxUp`<{8|&MB|C5J#CQ2jKh!R;B#qRKcJ*13Y&zA&CwgV@*l6y42AX5ttcttu zfD?I0v(hJ~W$^fZ+`ZX5Sa<6Sue_uFr2A@&IeE-1<(c>RJQw?9cUY_JPH1iY+`NY; zszu!WnkbX_5QV!ld}5=-?Y+2rn%7*MP{n(zM^Mr-4NCWVl}4gv(09PF6P0~b5?@L@ zK4|Tk%EF)`)%~?kgv&g|wR$0XG%A+9&3jBmEV;HRt#440I#P9yZjIT8N2d7drnDsL z635Y)Tc8)dC#3i`Xz_IE2-!O2Roow(VW;LPa--rtdS>-d^{qU(E4fpeSlSN_GIU<` zh1XMhR>1O%ycDwG(v5H^yx}$L3e+pY{N|&MgpAbO17IZly&%79s{1LgrO}L{edDW5 zq~d<(V`*m^cvfN!>-pUg>REw|cyUZEJ#uE1`ncl0=}dTWT*dmKv2TSH$JGo&;anlb zu~m3N##>?NWSgmxC7Jyk6F48VDeh%wwBoqyV+vrblxo2WW8nyTGwFsYy#(!-+DK0P zPRYTwRIAtH5m5Z}YS1WLNl;;8p^UmQwb%>8T}ZGSeS5cFtv?CRG(+f@9Xdz$RR`J8 zb7UWMkexh7_C^Pp8!~BBL{024HpcdrtgRi4_DyH~g7>$YBLRg*&W3||Hns#6C+h3V9OaZ2TJ zFf~VnX?(DYyRYy+kGt_ik-HnbK2M3yOi$I7XcUE>DPBnZWfR6B1>cW(sozk;-R?cG z3r#nOm#v9l4*`xqT1@ZfbOTz$Uap zGtzU!2Ls3?To+LHEE4Z)ygvF*K0FvEvNq4KhB0=zPyCOG??&Zb-NG=u`#d9jJZ&K) zVLq|S%=2Qt@m@1jJBEQe|6!zzLv5ah^i!{R-OMLM?}Jo5#uA-K`8i7Q17weT#Q+PR zmOu?jjzpqs#_W@eV`>iUsv5!V^c>tR9<%VPHByOG#eg9Gke{ymB#1AO5)FagO$!w~ zDbp9O9|0eReNr*8jAP8+F0SmsllgY>U=N;)ug`k$rTChh#=9kKH@df;?)^%nJ6#*` zQ@V9&JPw+VrSU%T%zd3#DP*Y=8zbF3Y)r%K=4+brF=jINm0s~<8lRyscbQjQ(31~E zlDm5HN}0LO2(=dnKyZH`#`ogWp|ZP8?C8a-ppux*M}RToj98S;<3W|25o^- zn&S1GZWDvz`FWt;X{%cm&+p?P!`nnmS6&V3(F?iAQmRC%>vzYBp`Ce2C!Z&^m0=?Fc@kUcGrXm4V`r{$BnWO1kHqlaK|arm z7+jb;yhSv|@RC`mEIj+n{aG`{6!$0ioW4&=s%`3&Slbky*#(jvy8InT);5LPZW?NB zVD5*S&Ba}6RwGVnZ__mn>K2~Er_Uo{NN4-wRdHh%o)pX6*EgH%c@q2K`en?06=b(6$3qmKXHc_P6vxMPV(!{AlCIR) zhR#!OSH16+dO<$MyM0&61S>M};V^$ksFKqKnJR^dl`Op-fXGRmAV~kS~g+YZZ(dU_j zI04Q;yla!Fisq3PpC_-0>`W9lNAu3%XjVNs4A767SFA1PY0+sIOypv3(tA}g%cP{u zO$8`(8tS2($4dDAvYdCN@1Xg-Z&%VaU&PExu@Cw~EAF1h{VCXf=uDf>yXWXSdonS! zt*3Ew|DZkQ5?}JCRV+1iSvk7TvbK%OK3kSrU0!WU-qKXy90VOoGYEM3+cVP5|cuX%tTPYk4?Jm&1#;@&wc3Fja^uzP!)TBXwa+6rHfJgTUSPv=Q zu!y;vS{KIWccq7Aus3EOl`a_RG^1qhFT~CTJYrGDERMBG?OA*aXT$U=GpehsCUYNi zclEB-4K+ggK)k7VJ+e{!#{UZ5+!|@rx<$bSx6KPwk$F#kg`tOG%UQu=QYc4#!QqoT z*f;A{W8QPaEF>K{mp#Gf$@DR4Q0Yccy?sL} zVhRS8nzK%JRdGxU~d==%1Z0j(ddsNe;AJ#-=v%Q!fW{QnU`sXnMSW}CNE&-s1euA2El9t z;^eC}tKuxP2X(V9qiM&weNKNv@2A$Q+Or)k#L}7j^DB9J&m+uzUrVd!OYhdAR!>hK zeRlV?dOq~>Bwn}$ouOZgbLVDo<9yuS>aqH&>Ng=4>D!1;oBkQ3QyN#j#;Ltidh=XS%cxjyEA?pzD?fDgbIAi-kk|)`1a|#w|gs~yUtr#kygRnYD?+9 zX^7w8RgvGN-V2c5Sok+y_ir*JmwV~+67PlL+XCJV%lP4Kr8`G}HP@>k$t3SEB z>zPoB@B7;xPO#(q9$nYz9RS@v-m(fi+})JiT)Hm}j8xs=UxR18=8lvhu6TN_o&+BOYE=~?*KCRZ&7jy+SlkLu)_HVx%i)s~2I6r&t=y&QH( zYTCLLztvQb^j6dPeeWKcdL#k7C2h>@M#?DA6>TP@oZ1$Flo?3bNl$5mWIA}Qo+)is zND^C#GXb3-2=Z1>w>IW-Z*8fnFF{GE^fT~HwLvvN)kW1t)kxKb;nltZ{Iv+%5W=yE zaioR0A8yl1XmgIa*%_vPuV|L^%{naA0m#jJY(>mH&&$(PjJ9FOIHbtud9x)L+&*Vq z#AZnruuWk9r9}gKZ!>WveQ1`zeFmdE+rJ?g&7>P-?v+5Bg!DrStr#q5tKwzMbSK+r zaF5&f#V(qr-)&M6lhWlQM!dY)=ef0IP(9f&=@PX;7H4vKXMSA#J(nlD4C_A6Oyoxs zhOZf0h)v8r#LL{aGd|C-R-b3FkGTf|^piXUvV5#Wr?>e$E5Wzu{8rCrdiqw+=lZwL z^A4t(Xdu+Vt?PFN>HdacSnG?eowy45hvvEKhnn7ENs0@VfT6zC3~2BY3W)kcksA@P zxYa9zxnsOo^p*NNZ|lhFCpoIl*ZMhauhb@5ioBi+TBqzx#DduC8QEHksnY8i-KuY- z5bo2lAMMqUz8%{vUQbRdW<&Le46?ttcQ79q?DgE&!hv+X9!Y#On0H2|kNT+lZ%1k5 zhD#HJ(J~MCSc4w^1>6uuM+|(v6IFILGC6U%_^v|$HbelG@^c z^hyDY^S4x@|GU`#eVzaNRsZ*eEplP_PKM23xiF^CTrZBE$I~saCwAVF)i7`twvT z>8VPQimKko>6>L#U*%rqs{-XyhJBlYDVK-W8)?O?^SKjirhN1jcAL4_jGa?6+GwKB z*!ZLT$r@=h^y_$p3WT%}@|9Y%5GwZ@2sO z7PWef7JajgT68Q)QX97FP3mhqyGbci!bx#OZ641V(Knlq$zbl=n^=;Do~$&+7fOvR z1#l$AUI=ispB$JG0VfesK_^6r|HZ(N*jKge1s0C#dZKB~T$U2v7+RcA)9SgRiO-}$U4;=xX~_F-n9YKM zF3^47J@o6hT0M)K@{*-Op2%VSBX8AAy=DTnNYC{G^cou861*FCVs>e|ekZ2CR_0D` z@p(FX?e5Y%yW7PS{Xq=#7F00zxR#A0upaw6YpmL1ZY+!+9UojH<6-`>RfPBFF<5Y( zY!$ux^Fh+2#bSPceqNT>6Vvp)-RtSoD|NzSW5?F(6HWbjUQj8jk<~KuyeKhr0QN(Cp7X?Y1Ni8LF5ma|HqY(8 zHmtvRTEvky&$U?Z4XXEfv{ot6THLQGlC^oB_nGk+w|Q!G8P)stp?^Qt=BWaXLTeFn z*1reUr!2;ajoa4`U9*$|Z8pt|LdS~`IRp6x8aV&querx%Mm`*M2>sg~!@~)_?!_C6om-hv=vsrZS z$E&5oi^bjju>Irn+}kXk>c>lAqkpqpd{0G$yLM?)1NAR9kL5wHZx+8rG!5cTsiL#! zHJHc7S9GSQ!RPtbhi%>pb3MhYpR5`&2cfQZ1h3)PXF5|v+g){)Be{d~uf$!Wcps(J zlh`C)8O80O!kWZ4qxb;+xJWJL4@wh!;&3rA=-Rq(i}_+HvU_81_C8eao(G1=lw@ojm!gs-6q^S5vW1>hp{fv&Zqlsr}lt z!lI{389VK(ays|zN-OYrE@>gvu8kbZZ`-h&BcxAznaQyY>B^L5^N;^Ogq zX|Uw8Kb?sUKA&fZI5i%V=_z5Ez^~z7i(4n~+&&QnDCRKA=a7WYr(BY0Qw`BXlf|3&nfhJk5~7(0zmPc3Yg z3lHr}TZp|!pQ-R+y&mjMa$(KBA%&mp`l50hB$48cX*{8erRmDTqx`Be3yZNC(au&(g{D}yq!iWKd4n+Pqa6&Qu#px zW%GVqq5NP1W%Yhi$@_jXhbLzimew?TcKd+wmN6WImDaR)tnk zr7_r!LEnx>uq!*l>#Mqm=owJhE{4qD%liMREweCmJ=JVYn>{J?p7*M?`#xwIR7)Mo z>)GCRWg$y4=)G+$F?4-AG|^3Gpi{I8(@Z`-xV&v!srghq^LjdoxifjHw5YSVaVC%F z6=Lg5Ud+!E-_Jx-HH*%(xI>EfilSM(JAX(loy8Lqhj_!W_ffi{Ph;}s$?iNkc?AwQ z3YAl7oUhMA;XW5TXCbR<@$M`>gzpj&v-zzFyV{IItJ)KN(4=hKR5=bFcNhC-W4Kr& zzMajx@xO|&Qb5t9HgSF_%KVrpE9H0a1Hx0v6GwPjtDX+znz)d!_jU%@+o=AQ z&gCPz3}{vh?`<08jBtmCMKox4n%Ckvg?P7kV=f;_)f+pHf5;b!GxPYZ=9;C<{0#cP zSW}6$TZ`vRD~4VCTU1R8_t4_dk`u98!dYt9!Uu;c4Hq<}I@gcj{hK~#?nzDN5=-$f zORMU4;P8;bZvQv7a4KRJ%Ec_ryg#O}YD6Aq_5uW88jRpHk~cJcJ(-#7Nq|%Ief;6` zb`IMaQ4?I+sU|!=W6o?GsiU%99}V{Tpa zBK`;;cdjsh!sub6vu016G`e5k!Ys$ivg#EXb5TRTr?-5LuEs>`LjFkV*%_W=b8guZ zU01xjkf+uiSj;CWA&rdLhp};ECyt!LGV0>0xy0A)P}O<2_*{JFrpwk8;+Vy}7-1R0 zN`yZlT!XLXp+-eTpBB~p@WlNtA75Z*!9hkz|4bBF~u zL=Y6&qIBZn92WA%C2TKcoJ%sNC@$VevO+;KnJqiuFJ=RCHp1T>xCMexk1!Y^5uqGm zCBiib_aZ2|1^r{)qf^yJD(<>X-S}^Qj2(}I&;$fZcPYAP4Zx zuG#rNNov@jFd@LbIG%g9prHuOcIf^%6&FwJ7mLtrjOuk%V?79;=Hq zlDJiIDnpBKIhIzfa4eWRw@+F1;yz23E-CL*UN)z)Pyg9jefl~x2Q2I7I7{HDTrzjj z%6a9E#bt9VDwmYAe>VN{OO~@mm2+H*t^YO+pT$K1usE0>?!Q*{Z{ z=asLjoLf$6!uEAb_6K~SL@4Y}WfJa(LV{iXLj3^)zgQW%V-v@f7_OZ2jGq0- zoLeTxii*nW)OoY3@N{0{m|wPH{&L6iYNHbL7-Ll8*(;YC0fra- zUShYDchqGUpQ+>aZB68k~y z%+ezNe^>m>->PVTJN)y{sNM{t|J7z7Hv&&269=x>Zm+xW2CZ2Vv)5}T*YdeWt57>E zqI!N;;t|e3$FCvIixN*9oheDiv#^F(_ewm;8Q`oZ&ZFSu1UOrWbCUCvF&B>>DX+}v zCWqTIlSd~z^Rpz2VMf8!CclHFn=^NGAXz-|@Z33PEnXz4hF%Hr_DVcbcd15i`=tLP z1NK=@-8oo7m;K_{?KpQB{KbLrx5h#LL=*e#Gu6NA0siQ6?LJwWqzYH9HYl!CLt8k| z@=U@-C4KlDpwFPa^#+M@{T4#{gQfguCsCS&F?s#Vv+y5nQ(e!PmfBs8IcrMn037~J z%^?OxYD?=Lj?@OrgR7`5-e5fGB4^L;fyZ?%F~4LyCBWD~j26bb2j;phnuv?h;*!iJ z2)0S>v!a#ayMKy@o5YkDt*dJs@x6h^4`aj>V%#M2kknxDt5^JN(Q>Wz@Cy$VW z<_81^NpKLl(S)QBH+?iCwmwuPe;gpYC4t$y-80kVLd(Xu-eT zt=en<*DC)fghO!dpFnM{vn;n8@WZxq`}J8Jh{j(3AaJgp4tM^*Q$DxPf4W=M9gEdM zc-IXty8CwpP`bhFWnYl!&)I-fq8SL)67*?%YGKek>t ztAj9c=oYP{uJf&0U)gmIP@*Y$Bh~aHyu*~doj7$JIeUroBH&Slj*pP)ZQ{Jyk>e)L zM;$pcVS+04tThU)^nSlBet9JR z#~0vJ^hfpFq1-=I|I9bEI{SmmYJH|J`d7P2pzGFMyHXo350C7GTHYaX=NLyjGItX1 z1&Q}{=8Sd*nrRX--;;R1Omvc=(`P{4-+;Gw67iR4y(gL@ps`!wea9qb24pG3dr;&3 zMmsVCz#)%#PwBk&EOAU|mS59&k|Pn5zkg|58zJtwM9Y$jBE^wQG>3FLQb?C-{iTK| zG5As~UMh_i7hbAmcWa79;x?7{&CHzU$R6Wx1e4oAR)(l~MJLamFmAx=E9P`fL5>dJLah{y>z@Kv*iHXU{=t_b1X(HkChA`XF&OSd0>_*OW zugP{~JDfR{tMb~nD%O+idWq*=ya3~2wlnD`QDc&DF2@v^k}QgrYrUk3WU*qomMYaG zi+h%9gUp@r>r;uH;>OM}J>yHtFaAT1_pcQBUzyv={?&Eu?`E!#N!fvEi_I>tsiYGU z91$#EF%QMY9uX>}vb?-}eidk$vDsxymRA~Vqh^Ht3N2<7v}kXyasfoxAe~=cwqj*< z`Enx$Tl30k7Z3}E>hkg>D-8DR6_->6RN84-*zV9GrXZ(9OXpr>D9%OdpG+BUL7{9B zRLY=&%n38ENk+3rw=ZppDJKHe>ch`seX)}I(^E=YWB)BSEspVK|-7CE(Eva~i?tna5ymm*Wd5B;=J5h*FC!W%FF1fIUM6fq%7D~Rh& zf^7=N2wePFwlQ4qGSRb=^4}^o8n&*_0BwRI%}5bfo~NyjicCd~eQD;MlV$|ayfanA z4$)GgN=WpcrK6}KRZJYBjgnTU*4;luvvE_sKC5*9Z{_+ccY054ksP&=YOWqT)uXcm z8_EY##qr+Sp`r#79YB2t);hf_6Lr*i3bZs?YU(Z$i?o%}p&nv=kv1~oL=RNv zV+xN6tPZ~JQFppX>&m6mJw)Uvt;~dN%m0oQ?Ptr5x_&vDU6zzIF*#qmUK*DsKFZg& zrY=fDJ#Nx?=fuGHyMj17!3i*G(!`@fwQA}1G|_FCHraHaekd8&K2H4pI^>+0z(0fh zJ5IBEcUTnt?u7JjUJm`eSWDn_T}Eq-T-uT*TE=MkQbkXZGgj+wa_gPX*Iq}o198sj z-2WO9aQ$?{;Ah(qzqrQyxv&4!>eE5c0_XnXZx4k;{rfg5VrOZ0$}xL;qL;p+@x-Jg zN5Zhc676tLai~n|-Mgq4q-!)Dk)6GA6!FL*$Wp zCLI-M8JaVvB+rqZlQd>rK$G0Z^3WoXXWSQ zj!q8D39Jv;->Td(BPY9fY+x>M_7UzmSVPwI5w^Kl9PH>Ldd}6Rc6~bo+PgF!l`wWe zVop-=2z`Zpx89q=I&6>ryovtXojS}K|G>JiXuS52>JB4vh_NH0hNj&bPw15s1YI`{tBLEpb{-Y@zb*IsfJ zkRuPEpCl(0jY|$Z3-gHkKK9-NgIX0a+GHM?ofu%PCe|s1r{-p626!8ZcN5+Q0v`5Q z0_+3Cegtm>3D`eOjHjVjOp3|V1FM%N;vZLeWNv0)6EM6VSgqI@&Ryt84loB1b9)e% zt@eP)f_|d@13V*(`iXZx(2^HCLn>~SJ1etODlnyOCu?dpO0RM90<2@VTQ;OWo+_Cr$EDVljiLwv1s5z`Zv~GYuHhX4npwuzMT!XXg zfQu=_z||F0qQj9G*hBF-hT-P*4<{)whwOoRxoTpEMHMwxrBC|K+`5r-T=|>kd{?c zI{+wkapex zzh=rnaKFJli<}bOnq8vH3dnUF39dvx$#J%Klz9V1;~_21aXZPbQMi74Mq3+jK+Vvu z@7vcYFV7g;w|`>5mlFd;ua~u+F8d%PI;L>_vV@j_M6z9*Rx)(a;?dJ8lAK+MkwqWH z^pSuMu8qQujxA&Kv{kEqPhs_MX*}l2$g;^x&X?WH9_aLo28&N$*0z{WV`ore#sBf) z@6qS9PljHejXKEYNtw<(XJ2RF$=yQSPZ&>~o|C8l4?ORuNpKqm@&K%B%@%JwuXP?f zCnzSxeDAfPjYWS(D^)sk!j4oe++>y+tbcmBuAo_Rp~i}QNQI}KN^ zoX*U?nE`LN5%(YiJHVW*JN2KRkM_Qxy_9-@vd{eK0_`D+=lJ6lx+hux@#@*}toABz z5SQ=QVqzn6;lMkL%hC31V-_jVz3BTN_oBF7d$~&%W#60A!<{GXiC`OOSB#gTn|0xdP0X~e8$hFu3w&;$l5=2EAF4)xwh@lw#w4^x#FoO zwD``8s0|tb)+$Rfjh%iB$7^%N-=DxK;^ACj-i?ib_&ia(TZ=Qlr1y^VeyMky+dk)3 z$v;vNqU9m&O`a!S->Rj|%Y%QPp^GNijbQ}t9VXr_{4Czv#CwwS?#{qeaGW@YcwqQJ zx)a2C7wJ0L$7k!v^c#H(K307EXWWTC$K`WN^q=l=u3!6|g#V!9?0X+)Z(>mQ`S~iE zbbeOvzuFZ3F#h|{<=?y=b;Fa|B1LM-6P~B&5ISGR$6jN z&*b#+`csmicmMu>hF!~bhze)0k)&Zn%jJ2SC>e;`2UG$$H zZ*%U~o|2`n3q=+xCCMyA)H&Z>!R5Kekz&z3*b!PWO56v&+1T$%{y*Pqf3;u!Ly!3{^wf^G z`K%p(X!ma(gtH&fw#Z{xF?zxw_S4m7D>3?FU6JE-2Cl4U5$|m%O%E(5#u4WP#v$Xr z+@JIwbfEzqe)DF!W5lpw=T1`R#(y@B{!HOFVV1b*LG4gVDS4QNeIWmi=sb$|^T&^c z(Ms(o{rE9*#5u$CuMEn+J4EWuWAz^x=^#<`d{vv~s-TQtS9nxn`)})35bG$hva{Qn zYl!K=jj(p0b~|yr#L3PMOaR-6cQ01%G^aTN&+{|H-KOebJE}M^J{%&hkPc&RJy|SL z4r_@yO~iWE1e3)VCI$4uOTgT0<+)R{7Y2A)#Cy`pi>GD>rpGBI;_Ab=)Oeyq>^rRW zktU56Cl710V^5EUYEuZ0>_$E%Zw%CU_!v?4nl@CLH%2`88g3JBJ-v+MrF6c25@b8ni)@^8#^S zgEmH*a-sO9K}(djTqtZuv{I>JidcR`>nZJ>Qg`1G&CI37X=2-(+6?L7bfLYa6-ZSx zM9Ev)OlitY@#tH)OLt za*nv_9qo#4Yv#e<=hOLEM{c5=kdf|($lZDeFZ)9s zoced=(j|ZFQS&Qfhga|DcfPL;m$p}k5$|igrIQt6<@;Kn>1(m$q~ASa3Y=kVip0$H zv()7)@Ke^6RkN3`SlqAg{H&UqjET%qv2<~{W7X27)pJ%>FK`r;uPR@(v}!RfLpl6E zZ+A>8t6osP0;0uB9pY50lvd8{&16t!~63KCuzDKmK@tjaM?q>`_K0lD`$-GJ%a zw`8VL)o5Grw-4f#Z_KD1Q=VO8irYf{q=EFkh%D>=l^ySx(#yDopLjHUm{aDza=0-Td@1b7{(=XNehC7ia>{3MI zNg?E~@QZK5PlsK8`5uyA1$hr${vKTAd+3+ML3;A{AP!%HXkUnJ94~o+k#5JY{sGRk^vHvFtpWwD zcjTEZDx;wFVY@<6J*+2^_y*FV|Jeu=}Lw(EGSBMNuCcCbv(h(FisDkI&~=eLC|3oj|HXfBP+M)RtDn} zRz8Gbbyfm&{QC13k^YzPi3PgCVi+qQ=?b6r98!)$lL zxO(L`)c&@OM6aftRhvvXT-OP-X1n`I&^J;JCMI7_N^34gq|?RF$>Z9@$I;nr9z?Xs zdR^LXL8jUTL@TyGBe8ZN(PrCGq}8SnZCz@6iXu}f5^fts^faO)ZI8h{Z9360wo6b5 zZ3fZtwiXmln@P0Ywv70*h)%JMCwex~X|@DPTS|0q+bhH`I|%Tx6ZtZa z+|7#|Kv|ZPD&Sn^t~=h8GhH=Qh6?=c7Z&w5bcgG;Wyf)E#-@>_<+`fv9imqdt=I-q zF;^08wp~a3RaB=|+x=)%?PB7G+uns8t%m4G+h!`kB}B*Ax|9B;M916q5PjJ*%tb}J zlZ;+YhEi;YsW^Wkr8HX{8M=b#-nM!whl^;Z?RLtnmgp?o5u&dodXQ}krM-&i^KE*$ zuO^#$wv&{0HSr5ZW6kd%|y=K zMmo&)A{n}!j4HNkiGK(2{WWo?Q4?FqAwm3bTO;YOCpywLg7|k49b@}5IdM1XyW*LA zfFv6*+Ont`RF_?Q@Bn4pMj33S1pbV-85yr3^@oY?&-f7|<3Z&Aqr?xleL~f~o#;p- zv&X1Y#4!015Y{@R%``Sf@g>}+Z^O|y^&cZ z(a#b;+;#z_-A{C+%}Qwx5RJ?lsBb<0DrGhqe7pAQddh1nIr$p)5ycip zC3}Ntv+W1Upn+(stsgmYgy?WvCKcdKq9biLQ-6NTU$P4*=XVAogHFq#4+QvOSB&Gf zT5_V1NM`$%GW$3Mv|>9-{7(vcA!4>YOjbX2g0|YWP;Ms*K^NI(kdyreyH#=08X1abFX3K~rD;G17`M9a4Sfv2Whh*oSnNat3fRokV=*tGT`(3-6n4w=?b zdzfwKJ&9Munr^!d9$9TePYc&y)TZa){ZkC6xz3yHFYe5T=JnAlcrf z47L(2M=Y*d&P?0LYsL0BmHuI)1l_5WkC2Yp_A!<6QKBujja16*LeY0tI4t^kI8wn>|rYA{>+3uwTbwqQUo4nggnMt;M%50zE#A?dyDcuPs z7g26c|3F5MgN!%TKSD-*ly?6?kjyrN@;yMbVjD|opCj6AyNL?-5?rnN=K0uBw5BSgY_T_|kY#E`T-yvGD?Ry65 z?`{BXw&hZdAKeMsYLh9?V?>ABoTT$U=||dnKMndg(J>Jd#}+cv2c#2kyOr#JxHG5| zHSZRRd_;m2Tc@4kMw2{a&J$$t%Xi2x9haKENe&M9o$3!-u@NpceMfw= zjc}>yd!ns2!lkCuM2Fi5mYRMfI?_h4w8-p-rKaZRk$0y?l;?zEYK^nP_!ZPJUZe4@ zp^EnDjc0w9`Zo|KwVw3{QsR0Sisws`Hg-*!a`3sn-7pKRDS!=0q>HKiB{TKm zqoIZOP=&6cYzFYDh;}Oi&C50b^=?5jzTs*7@i_ZDbZcHlB>hV~NIG)~+(_$`ill9_ z@LA;O_}7u>l29l>FPV>%R^W5I^e4uWj>;l4NRF32k;PbiXMG9H#n7B&X!0y50)i)X zQVa`A{1#TWTS!sF{XudUS8r(Ci((93$G6iPa>5bi8_LLrn`&=6*|-5AWg(=LJsdTC&&LIwl)Ez4gVq(6 z^;#1&(p0n+1#>e`TMdg8)a!VfcP9o~FRZD8r^TbKDVV)HZ2`QZU~a(+UGYu9EK4jO z*(=0}dwgK4u|2tQdIMLU>p)@UVsOuwvk0qb3YIY#}_X7$;M1Ia9ehn0^fgH|krhm}tX zrXymu5FS=OEdy<}5FS=eTnxI%LU>sD>}JrbErf@azikG6z(ROf`TSwf2ZMo!?H5U_ zA_xyFU%m|oLUcTA{bq;QX_KQ}gnv~7|5^zDss{eG5dKvS{A(fns~Y&%LikrT@UMmN zuWI053*leYz`vIB$mVSa;egdb@K-h9uZ7^RYQSF$!C&>xXBJS)TL}NE2L81W{#6b9 zYa#rrcErExeXo#J9sjBi#9{8X5dKvS{A(fns}lZY+(PhIHQ=x1-8!++B}Kak?y3gd zwGiA@4Y+F|xT_j)*FtbtHQ=s=;I7&c?y5WP)R4apch%iXDSv{ys)2SbgmzT}?OF)! zss`G%5ZYDuJw%g*Vj;Mzc7(g?)7=S#=x|r9f1ivJ+*SAg0Fqej$xq(#qL_ETNxo=^`%QGosN9fHw6HZ zg^;iM_G5&NEQEa3cZgOjgnZR^UjuEn5b{-zegfKRA>^wbBRbqd$X9)z^dl|3pBDL% za&#;qUG+nf+bsli)sKiyu>j~Ui}V9s^-B#rs}6M4Z}1iozZ>q!AW^jdy6UOr-=@?5YSbBBs$VUK-UrF2fAwW_sGX=0A01U1gO_SKvy+@ zu7!ZEY5?5`0=j@FI?#wk2m-pQALvHtKv&f` zWoeBhpsSkn$UG^MfUauhGa!0Ki6o$_TKFQw-c}+B=&C`y8nL5FBmrGDnAae7T!{qG z?R>SA8%jV|4doZ3ywMeV#j?V{zS)DNoQ7(X0C46B6C4C!#b7 zdYZ4njsFbQQL zfW@vXCrSvhLA_qzDW@n|AWM4WjhBDSbQ? zux*dRE<<}5h22g0$e0O@eD;u@1JRUT_)Bgva5uWI z@`;i;55RpORqPjH4^#tjB*sTXH@Hpu5rxS(qEL(@iuZrgnVNz}!K5Ei znDiqGlYT^DGL9&e4`~XWMMqYCoAX^`D1m-PVbaeiOb=nmk(gqfQPA@ohBJ!$@Exw7 zQC#r~d|~0n5k&(mDdENu1?8-U8%Gr6sTOV=QRo?9wSaD?u9*rYeLsm0pM+k96MT9Q zE&~MXr0*Aupp2w-QzGU-`V8175Raszw@7{QA5jbbA@IEhU%D7lefuEdMpfL}EOnXs zXMf6mcvz7V;{a)3@gK1Pl18MR;FlO_S3*944`dM!`NdTo#k`+i`VyAYEmF*Y_xuiQ z>8M5f7ZIQMGk(@DA;U(-cw6<@7AZk$R>hJQDPD@v#2VO2NW!Zg34S^e;HsQAJSC8% zmnNQrifa^9sJ!zG6@O`vUDO0fzCMe4F&ZX%-)PK7j%grEp^OW;z+cOrk7)>}z?dTa1H~u%je+72GNgaAJLBVPwEW~}(fKm65R>&W z!^Nat&w=GAG+1<2EE^}>(zB$SY3OSDVY&ZI+311=w9eW23!G}X2M^i#6 zn#kDsx{fi{L=mUkXyRblapCM-nu5~~Wf*y&;!5KfI;PaNqGZb0o55qIdDW|!GLC4j zO+s>IJkgTYiPB9VTGnocv&uxG6;tIrrc7E7Qq$r{eKOHz?Jc4&AUa5UpUjs%!lnZu zX`@ImhtNj2_C48LM0BKfBWgxjOmvKPi0m&RI$kRv{!*gtS`P86h)&U7C;l>`)3iPH zs<<*(>e-2gROxogZUxON5`SJi6D;*OFNqS~NDgb-yOiloM4PlMqSufSv(}Fc-ux=+ z!^(n{1NfFqB8DkT)JqxAPvns8Hjbv08q5^d9-F2H4iO_U~5d$~7GOum?d0h1~=F;IPZUv4$l>KP0RZ=+Ll3;D?2I@EIQV87$bs8lc}PtOU{s zCeew!nm&ZOoJ3QWn!A}unzGdV(I932#SNOLw3PkuU!r+R>-`FmG*5jSBBe~TB1_h3 z;_yj~<8A_VW|M;#87v~rbied2jS@72nKnsg&@7T>Fw+BiM2Vys%(PjL zm?LQhTO8`2!Ax7F5a`E5(hO$Wrbl8UajyPYsDBFkFjVR)FFc?MUnrK6E8vsq3A|ho zO%yeB!USg8Et3Ir*1>!Mf|J&F{cm1(pK)$7Qd|2eh0KIw+( zlWv$k>4q7Tu0)frxez@kpg$&9gT6lLh8dHtM3b(0{C7X;OdUcxhxfS1v_9*G>9cN_ zG3!b+>zZdpL&@*N{Bla5Pr70Hq#I^Tx)M#g=7o3?0-Dt~kS6`jKv+hX;w;E)zWjAC zHJXjhSG1w7nMSj*`AQd+P0?sNHm@e1RE?%%^L11PO{3}9d?RITViHZq<~3x!b8m@{ z<~~r7RnX>R`7X>7EanpQT1>nIJ<=rBr4QvkmSXf8V>`b22D*k4dnuw11>vOIgrOFH z3R(sJmh$5C={o)@2zNoq5@w+cd?CHy6mGNd6YgeFWRcm}eQj#va#1gH4K)zR|?rZJ4E-H4)rN>f)lSIy{Zj>zAow_=co| zZg8?Vy@1)^B!7fX$Y<)ggoB%>>(R?t6NgZV@W*Z7nY^y?PqB80Wz2`0A*5pe37_M+ zGmcM?gJL-Ang+QQa;iyrHU8#?3v$92EM+@2!4>>1q@;H|;|tCNE5|^j4nd@Q9p!Zs zr}sUl>B49WDtRHIF#(ImQ3Eij%3EL>nR5JHmhKYO(MDbi#R5Iuok-W?c`DOp?pguX zGPoc6XUOT`Z6c()dt{8o-wAdG*zp_vMC^7DgTcAVuV`fjERTwTis||!gu?^wPyyjU z1(oa|rWm+p0=b(#E0t>gYSl7QoP7eH(IqP0VULNE0mg*8c>2x%0+8Y=K zz$N-Ya@`H_c%+N~gKB*RK2ZsJ0q~kc!)z}@7+|A<%`<~pCzkK$>&0MQ!_A^^q?F-$ zh};{_uZGlUsnjx%iC+%35qiCY4+@IH(+iJXKc)|X6BL3|dVLNd1?vXhOCc!*iTAQ#9HgK`@LqR9PQiKwXEZ)wv|Wcb z^5eN)(4vK(lV)f9yDNdQ1>fKSJQvRqtm}Z`WaC6hnt=3DKY$%3{}P6y9(Xo}lNEIm z-F76528afsj$>$YmD96L4-iRnYi6`$??rQ^ zx=CsT6KB!1YxIB~QA{*fs+;wQ*+g^YWq2Qi_N}oYJ#zb?ax=oM7nlM*B9gV(% zJ80NUmA-|BmD-v2O+)X(NQozSKPoMxD{6rE9Y+k@Y^3(*IrJXyDZ0vV)OctNspRzp zLzTmEStZm-jQmQSVFe@XJS6xtRKur$xEBOnM#IHLRaA7ALM;oyr4_&sQPx?CObuJ& zSI4<8T9(hGSA<9E`K^Rjr}d<+tGZZdF6Gse{8Leh%pT!iGJFY6WS}qM{b+jEgf-JT z9u?@BrnMX=tkh?o!~^2*GB3g)b(wMgE+Z%7N~0UC@C%2Gu8ucff8|c&S%-{)ErvgM z2lf~5G5WU~)Q1?G#WZCt3To1~qm}v;_-v-_Xk~v6xo@WJXypLWikY^fmFI{yn`t{* zd7fyinYN>q7l;lw({{9SkmyJ=E?*3b^IyJDUU~uMf)`-#j6YHjawFQn=q1;X5qsS@NF+3RUM_G_l+B=o^DT7N2yMYC2%A>qqtfzZ!^ip!{ zG@(mIp#6=^UZi9y8qca{_97+gj%eshP{ws++;zk}wHw2Dn7-Hz!#wsqykWr)P>*?& z+@V*S8+I>hxBDj9ozQOg%|W;m%a)U2?1=wl_|PvHzJ?5cM26`N>4xDK+6{k1hVO4T z{1G{Pfb4oZ+CBO+yDk>oi7N1GGE6U8Hw@!?w!bF6Cd0JgHfrK)G9049a4eMDYvSb3 z471?nWVe~@(%ae%yUW|{Hj~}KYX5-YW->gD3=imN*!^?E;RO$g`xE5YWCvwX?t)2G zz8(n3MkaS4+G!^UFN@55K^#xOPI&7J!jm9(a~+@rHyzg#tVV)}S=0-r;4j0$LIoI6 zt5C@lOf%T0*u+-YyO=%wkFMiIp?z8Ir5hT2(Dak~)xJ?oC z(G?$N-DNSWi)1!da28_)XYe%#;2lb#ui!Le1s9a2-7f{9dk533q-~OhK!XL-tfW1l zN0eZim9))z#2l>eL3Z)aO4?Q_5Bf2|G%IP_^hj(l_8?;edyuiHWGvvAc9Be8N>*Bf z;eCyiObMnHowit)Xx51nn6^Y0n1g9Sr!Cb5LDm8Ys&s)hnAUUJGF=cFY)7qY)w(1+ znAUUJa$V9Xcsof}=#t3U!L*>$R_dbY;Ahcd+A3WX6HMzl?P6UL8@$V;;ohz;i4X39 z=G89IC0&Ed(Bj&qy2KuwNs`NSNfHa2`J%{(lcJ5=-&!0sZ-hNnc;&Y5F2hGZuOJ?Qhe< z#~=+in=X&Xh@#*AHo2~#zGB?|HeERea^v>5X*K0+-2OIQS4~#++ux=eDF^@UZ_}E0 z5ee^P9xX(W=Mb&fx}yoq`9y2Bc+|PeTtE@C?FC9O zg6JUI^Q2TvwAJ<`B_2<7sO@gzPa-;;$#+tM$vu!U9&dtoofLXLBkqG2{CEL|v2aBB zhRDUphCfGt8KG2X6yuqVvXa&d8x#x}(z+SZbN(NDUjki4v8~H}&WaN_9fzpMMN~ut#0x4aB7!K$jG(AEPdK1B zgZ|&QtGaXW-S^)8-@E@^>tD;Pb)a_Do@!Thb#?8kT_`;PLyn2E98A?G;$M6q8S#5j za4HI#q!xg}h|i8DTQ#+Edtz6lkk*sI&y!sW?aWh`pzID2%KMKR%SYnrG(J8O^UgNR zGdNyd3)b;f(d11{t-OLSKrsdK;BT*21lUIWyJ+$y$g}oGlW#Y*@(;%+ZRB=fhQJxJ z@dez;=nAc^$R9>L1BKV2u-Tsq;e*QU+#p$9Xf-||K^3p-3C!K1qHJgtyWGI$v}X2G z3Qt!G_lgey>VsgKP)yAl+NiA&AKD-}qY$gq*Q1=eKc&i*5v`fMjQ9+coR5l8Q_w)Y+4U+lItbz{fG_RxZy&PCpctz4wiwr!tq#r7smK@s*%h&ks zloq-5APg*2=NGU9mNlB(#7^-kJ4^-g%ESQtxGfktY=SO$nC()7Qd)V3;-#!?#1BI@ z?Yl2ETbUy_(H(^^qcCU{$UDIP_6O(Dy-kULZjIxzDKi7-rkW5!l+sI81UlL(vmk8Sp|t} zHL)f%inb8HSk?`Azeg)kCV~&E_}u{Cg24Bc9Msmf*r!4b@WjO*(2Tez*wNL4>Ug7%q>O3>;Puo_Zq#P{gzWh&dAX!LAu#P{jk z%_=uC(TMITi|$9seqFMszGR;&vC@?Q1GPx7?h{evY@Hb|)QP4j)rQG!q4P9sWPidQ zu#Y#Uz;BDz9|7cokr$!2?OTAy?IP4Lx5uA|ir!*V)kstILfJ{AOw@7VqvS|T|IJ#H zv@=0lJbc21Tpy|i%4Wc&v)IEsiZ!u{q`Oup)wNE|9{_IBwT5buJL+}MKgTb;6bUxm z54sSwj$fJ3nNeuL+M%O6GN+}m`XZ-Jt@)Tp0SrVeFv7nyb61a;|eGR>_- zC8GNP(VZs?O5+&#&C>@#>FErcuHO8qC9^t*s&MJ7iyVvv>wncf2GdLe^n>q zTXo_!jRkGvZ|S^u>+^DVrzgKD#U76BY`VwIo1yT}KpTn|kXiR^LnzvWip>|ruoxsA z_(_4pHV@u`PUnmV^qXXvWHZ&r9ZN#mT zhRNw=R>2u9k;CWoNqlF)s%AAuoN6P#2KmyMT{XgHjnGM+-Ff&D?u8OQA)lxc89^ra zWLGx4tGSieX}S;JrP;KCW^BF4VM?@0M2iL5{@GI9guhhT|2+Cmwc%?2K5Bn;A3fn(@>Tzo#zKI zP;KyuSiJ;HjpmKm&%QTbMtUqzuNTzycRP_w~AkWbIgr^^dRox$@35w9= zOWZbqe_}s7&gh4X_}$<~&4+^RX>aB+X(?W05*fTWt(MEi^mwc!N z)_32~MK9~3&e}%XkuSq&^E+9KT#L2Xhs^m>i`Q^UU)3Vd;!9%zwUm({-{RAQmy51N z6F!&5jfABZ1r|q!C4l4AF(X%M(G}cSi=Gf}VV^?$uvoHBxs}_#S4>rNf|85EFUi7trV ztSRbHz~}2#zW`4L?o#hAUE}>fvstLIe@MaJs#!NgMN)Oyoslf8v~u!$WGc~J{#4#K zGkG%0hwJk5b-Dk{j>}9=LwVvdUH*_NuYahoM*&rTS{Lx4p0APL@bmn7F44#z_ys^- zjyygA`*q#~T8qo>`N&aiUT!DjEv?K%SI!N3RiaUx(7l)|gl|TtY#L3l2I-zW= z^Hp%2FYRvk7!0(PQ$fOCms}x1()BJ1O7VBU5GS>@?iU0Iy{Xet7_`g3hjb$Kx_MXete>yas zd}y1MQ+Xb0V2{A?354*r%>YK4Zy;@lzrc}2dz1i?@N4Um?{BkedKYX(<~fpC7QSEu zfO7??2(Kffu>!OT|42sX2~ZvWl4#=uXcsOZ*YgGF6yClidD$?lrgA5_PB1&eioL@x z5M!b_0Km{NR}Jh*=0E@w!h1I)U)XM4ZLQsq96a30Ne+Ay1CTm>;hb_cK21HIzHrp( z3y-HS9CiA_yA6{kN1eX#c>2OQjde`-c>2Oor!PF7zHrp(3y-HS9CiA_B;E}C-wA&bNv#SDpO8hI5R8p9pQzkoH~8sy+TIn^o7UM7mhl8p)8?JUwAxy;i%IW z9#3C5cPmTq^o65NUwAxyp|-sHr;(ky2Oor!PF7zHrp( z3y-HS9CiA_hy)j(-)39ec|!+g`-YicszaKsM8l7PhU7&bj^7B!r7J% z0S(pZ3+LVMDUGKuoZU5CWMw$y24=ZneU=h{xD*$7k3tUefD zoGdvHXyG%om?ZUEAjJmd5)3w#2bK{XgF?UwI+U31&3os z)|!ogn+e>>Ha#ONMucKb(9p>0fbq~N)o{9z^%BdQD;TpNBfHJIh*vbr(j6pfH+WL2^L{WY9nWL--Jr)n7WU$F)7=^94$t0{1x zhEe@iRB*6{QTf@Fk1XlTHqNCqs6P) zK+kCyE&dBz_<0SZ#ou6!U(_%f{4SdLB?Vh(;EAlj%jRMo-hQ-{=iB>^FK$ z!+xV@YS?e|EDf{Ke@Cyfo$aRW;hSY-Z4FLfLH*?V4=gY72YXzO#kNN$XkuLgoUD)e z?rW+z*l5%j*w33OI1M)Z7@svxv4Sl;H%XeTRO6=^@zLY(9?&3aEU(gX1>>z|WcLI= zcrLB`z2q4mTKS!hBmYB0Hk24?ZJ`ot*_THvsti1=!exwx$>rwPlk-2sV0H_uFjj~_ zJ>5DFU+`Hw*^h5h*nByb^2xbH!F)OPrOGojj4F;HliL&=MmL*D`a3i|x>XU!qPrBF zMd^PBL)*E|nwdQDBdbYbDf!=PWG*57X})kY;NbO1(g)b2JR4 zeq>jit6?a00hM}G!66Ko%~?KAm*ZJ6DRe&JKZZ?*u|<|iEl{21)?QZUVyg3krbczHr43go*n;{a*{GTT=X?Si_M@>s6Rp#WNc6d+QI)T(VOU8} zRryA9@~g5}!+ur1*D$K`2O0jLVN|7(s{W+l0+B3z@(b%4aBrME=2I)j>&Gtgo5)Ld zHz&{e)T*@}c|G~yr&ekCqoj|9Rs$PKofN{I*hba?+N*a+hO;aTe_yOme*US|RCSdB zDqrRj=WR{qeTHg|qgH1KF}RGgUn7^@?1&fG!o@_@I#Sey8b;NgV)+CGTd2yBY}HA+ z9IbLM%O@+i39D8B1lu{+{u~`LqBZ zU3IS6`+EcGbaVj|ZFG z)Pv3L!XGGK4mP_>-k^LQY`+EcAuRKeO*K1 z__)tq14fy$lgxeousE1~8)M~3S) z_IR+_eX|wP5swF(-5p0Eo$m2qv%8b&437t!-M5LK?J=Z}yNl`E7(@EF?+~ZJ<3VTl z-J^2w92R+V2)xIFG7l%6SAJ=!kUs7gqo7NsoOE`-ehTGEuWy$i30vc&v%B{dq-~EU zo!#%4AMtq7+5MjBbdM*U-F-}Fcs%Ls{>XH;$CJ*}_TW)wq)GSJzQ}B-PCC2$ABShw z<4I>%opkoDq0QAv=P*w?!_mMVW`%ju+0`eV!#wHi>XXi4o^(d2Q=&Oxo^*EgN#`(6 zI=ha{r6OTD>Fl~DMWz?>q_Z0qV5C*Zlg^0X`W%Xu+l4&o>_*Mk0d2JldD7W!U~U4m z!!G1WXE)7!6VNWZ5GS1{eq|k5$&=2mXKqK;nyHXJZiQKt2MdirNFTSQ$p^*=2z-g z@egG>!;2E0D|3aA&3qr18T??8ydD_jXg90Hc-f8ot#aM-;ck#9Jk{nVV2we&O6L%>M&^Ha04^&6x;lXiHb3Bn zXm%4e)yOYH-ORe{0yf=*%_AUd@%3f|*fV>W9kBXP)+3e2Rd`3jugT%QAM(2jk1qlq zX9$lifhvPUl|RUHAqH-o1(<^QMd=(gojJth5Z?%Wz3j?V5i>TUaB4zi+<}4#B4bb! zzq(UJMsv`q>P{0GeE_!*zE=p}(%;DDO0zMh5+hMo{s`=8US)0pH*4nkWec^)iGYiz zVfJLUllN;ROBpy}*8MFI+0LScucSz>xtbj;&af8%F3SdfVBzbxqOiHVI?FU4v+j$4 zP4f`hyhJwAP~{=A`HF0+Q`x+|1>26y!-NlB)p~DaTfF&IgC8b*40LhDr?c?k@Kn|- zmCszhA5RoEgOFb$hP*dmbE2?05@aR(4ge+}+@XLgCPDtmLU;wi^Da6U?hk?I5FT~j z1wOnIuu=RLjlD;jY#JoJDw0Mu^%dSDO|}iFrNwy2%&!I0#6wrwh&p~_aw5|VaEk{B ze`}6xiM~-PYN=1Yvr$+%9lUE%jcjnH(Jw~c?5yNhd$Fp%2W8!Zd>(S2j8ZEb`#V5! zT2Nw?wLqSxHj2Mvx0otT-xK-DiTPWg`BZ87>mgj(NMX(eTzV!cr&&Lt30LJBwXh0| za)m|O4^Unq$N#Ss&U}hXBkUYk2|O0?A&aw+pullpM1d3WZ(q7j z59y>!{McIucR@+oxgsbr%DzS(E5{+RcpRU(1H$WP+Kt6ovwxxz14-mMNSawM>5vA-8}P2$L*83BuC-ap z%HvV`Aow*#scJu?vLEsuM_y%0-Z{u4#Stlamm$wYckGjrSBE^l+eW42EkGXMRhOjX zEl(hmFPEEBGG9X;pTLJw@^&DPefQ~?UGF-|#lOeH{AUMqI=&Etu-wUS54Ylf#mIXD&1U}hgRe#wA(1y@vCQ zSSO5|c1I28;xztFz=vu$$B13E4e;RtM{LfzGn#Hs=Fi60d?7g>DOy0CZsuoLdymoU zY9FJ>;dPDC>uMjXVTh;K)jm$Mhj@Bj?GrQ%@$|adCu$ht>2g%Mz5>gUlU{)F?wC?Q#BkjV)VM&r)$_VV)VM&12x>xh|%k657uzH5u?}D zK2yVKMvPupJH_i7qt_K1gP;*!MNuP0uPe3#DL7)p=ykQv(s0;_(d%lTtzp-Q(d&xM zL4psjYm8o3Yzb2ImJy@Z6&ryRY@&fEZ+wc^H3MGPRewW+(Cdl~L2L&!$R*}Vd`HK| zvHhlKa`cZWgfG`{p%FWo?X5n0Z8$DsbX?e1=<)(1wwwLwDh;DQ-Aniy4WmK#k^VZu zSkHDE8?g?|xIrP{=wfUnJLrv?0Q!GM_+|~WKegSKd|(dLuc2l$MQf;kr@0Gj_Axq* z?WD$r`g9uG_h=aE(`jtqr(vj1r?Gv%hM_*4#`c36hWd0G+Yf0N>eFd#|BbNn7slu` zwjb6A=#X?8+v+>XhQBaIr?LH*E=P6gG`7{(kZoogF*=QH_4R}6n2Z>m#`cpMzmXB6 z)7W05;Y=e&r?H*lG*%t9-f0}8)7W0B3D9BbG`647Fgh%q#`bd>Mu(--*nVEa=&*De z+b?Rkff1ws(0)n5@E^wLG`3%^cN)j&G`3&S1n8i28ry3$jBqM+8ry3%Y#T8;jqTSp zj1EkvvAtfy=m2yY+Z!~D4nU`|y-CCD0OB;ZlgsB?g`Ma$wzo;!qZ1rub-<@tY!pYD zZt~4x!&MhMmtE)>4U;91CH3)afs5*?rxIzRf=!GFPZGXZ z!!Yop#J@ztFz{6@pQ2$H_zI4gmunaXevah{!g&}0VBy=S-W3Xgey5CuJCf_D-XeK+ zL@TH_-I|VFEHQc#?RrmQjGjch-jk>v$a+s=jGjch-jf)kC(*9=B*y4Tv{O8Z8RAK_ zud@~;&wEsR6773MHk5e4!ftmXMo*$$?@5f&lZd-s)S!dMjGjch-jf)kC(*9=B*y4T z#9c3f4^Lu@oph7vdJ^q=PhyOoM7!RTs9swsp2Q4z5_>-e zo6?hLFOyoJI?F8&A9pc&6770VV(eOWxq44xjGjch-jf)kC(*9=B*y4TwCg>IF?tg1 z6i;G?coOYrteWKB$FwKWUMI4l#765gDnU=8UGGVZ(UWM`dlF;xB--_!#27t^cD*Mt zMo*$$?@5f&lW5m_5@Ylv+9{sI7(I!0y(ck7PoiD#NsQ5xXs37*GvG;FHP0%sinb&_ zo2MLiO&`Z~S#;dl(a=#?SwMBXP&!smV~4U=XUJ-tOf-%l+(^UdB8~WvW-B;~fpIoF zdtAW|9@@2hta3Fz9=i!F&)4`EW_}}FK)4c*5yqLf_*@lg0*o^@1r{k7pMFNhnjOi{ z9*6p`?ntK3w@Qy*Lxffu0YmFCEuaZ>0>Bx3ZS+VvjP7(A#0pU@suJH>;V0T1ep z;36JW`wSuWBKD9Fmew_wp-N~NPizq@Fn1@)&^F%hPGS1#eCFsVr>)p69x^eA#H*Sn> zT)W1W7u6N_c=*G2E+_)J9o09Vvpi4B}l-#%gZpS~Ml@UJ)4T6=Y833FWi2G&K z`*5)l5^!96xN-5}#>IylS3cav;^=ix9!;bH82F9&FmM60U9gASm&8H5YTV!AUf(Gj zCv|;4FZ{MfG{w;?0j#y7R{~gTN3R61rlXf1z$t!S31F@Lyb{1#`*|gRwR`(`NLK-@ zwVzi4SZhBo16ZHyJ9;I6wRZGM0Bh~&l>pW$j$YL1fs4si`*~kQZ6tuT_VY>rYwhQi z0M^>i%K+9VFFe@KD*>#vpH~7{Yd^09u-1NF31IyvKW{h4Q~|8rB?XNkKgG{00j#y3 zR{~gTKd%I^_WisP!1_;q-YsOR{k+$csq*vAMp6Z^c2_X10$95*F|7hvyDOPi0j%AZ znN|U;-BnDh0M_m+Oy?T86=@Z~+TF>t3SjNNO?(EhMl{LH*cB-E@JJrvdo$BY!PWAI<`+i=E zOmC|FyaYyCO|_pl3Qx&$yQ%i`<^kGjH`RV#`h#}ZO|_r5IiOv3Q}}sTKUMGNEd#g0 zz|T7lIL)-5mk*4Q4L@(yl6pUHM<5h`%5me&YAm%D0L84Ek4&{@ekPMN7&^HFk~gW{GVd1WB^QA(Zx zeyuLL5T{Nb<6yK1VDr5kZDo5$Vi$|WBlY-PkRJf3^n60}Y&{QrX^qnMq*+J}3$XxR z1$Tu2M*t{&5N{fD(cduk4gv_hxPWZFavHkVM$ltn8rH1g^0hbxUZGy+1G9On1Wmr0 zip>D56j?(>o&!iBYbkLTfO1jbHG*beC2<`k{)RH+kiB?!neR~LeGs^nNOiXb0^gxP zk8UAuS~1_v16~_|RcFJSy_+n111i0iZ6e@IfXWQ-iy4eIe`i@YlhCVqzRRjDmhP*i zO5IF~Xi$W6Q_{-E2&PeU2VRHpm#3q#x}dP8IjwlCiSyUSAi{~B#o#so~B37EVnOg02eUeZjY4l6Yex(m#WQZgAldWs_S04YOl z6dvD#M?1cP6F{Z?GvNSHnr&80+sgy8#pH8j&74pp56CvbtpRS!#o)~+L_ZE~Tz0{) zg?0ssx{BC41F>I=So(pKly9|^goxRDu$b>e%!L&5-Jirf$mUA7q*FBUpSS~om~@NX zU?wQ5hc6Y(`=H3;K&+=IRkw&)>5+tCY@wVMV5XbXiqxPz-)B>_l+U$RDC0*eBB0~x zRAy``g6K9f+R*u8wznv$6HxIb0MwBIYI{L#gom(Y7Zg)j7!6UUdV|)=dMnxW8Fhg4%YfibUFPd-81{N7awb#y#VMY z?jFwI12))U0}Ta}&cfvWK-gf1Og2K6>IY{ECZ5B|tldy}yA0!Nl(w7%;!Arhs0QoE-xPN-VU6YbJVea70h4vXx<5I%k5nd$l&43S;4Ht{;bbR;K%;an5DZ3gCJ_}`sjV@4O z`3FHMCAYmpxi6s5s8|7|Y4nLGWu+$I-#&P;RAhkcnPk7`5YZ=&Bp=n;0gln~RphY| z6b%ePzn^)7gMBQ{JLm!GMnvp;c*$Ht3j|Ao>nFOcah$(xt9LBNUv(1@at;0GQg-t zG+j307$7U@mP_eV2#fTPOX>FjHrkv+gH#ChD#-0Dopg5~x58!bUkX&Ib%o1X?**t# zoM@RU_YjeL2*%hN*?ZH<-2y!(0#&?ZEy`J$Ck?rXImRjDUMsl!m%UX)ts^83}Ac|px!HuxfU zJZv!n#WkYWV$o|7V2r4=O=g&u#ny>PC*+xR>jO11!}Aj`&O^WzH8R6A&f5T$ak7L` zKUmpew#k0Vd4p^lhuH@C6_m4*inJH(p^(!-f!8p?L~~)he9wJ z>8PZ%mwwktq?mn`j6+4ng(8E_eRDvVWAWXPp@zz{0~rHE#tfixEIv)}76~DD0+^#D zV-5&mI~ZZHCWQP^Lb(dK)w0KGRG6=ok2R;WgwU--RvYdYFfWpfWq}G`1}a=6jM@Q} zDohl-{v_3>>;hJa z9m&iU7`Np8aKF%wfebYg%nEZPP<{2Gu=G{g1~@ys57nLtJbB6alc@IK*MUv%;(7`xnU~=^!C}ARzrkNZ$vk^qpUH->H?}@|##i^_Bh7SDK)L zHD5PnxMyKmdIdfdAtyBDV2g><}3@+AIwRrUf%l~-C{OeZ#dHc`7%l~5` z{l8rP|DUY>Gp?M!`u{R26RiGoTJrB${_g^z{_=lL6f*x6%l~hXsb2oSicHn=|NTh% z%l}OK%l}OK%l}OK%l}OK%l}OK%l}O08jZ&$*SsDq|1;*Azx;nBR#^1%e}5$X<$tFA z<^PFD`^*2l6wzP)XWC!>C%(V@&$Pe%PaJ>wf4bb<9-ULfV_qzf<^PM<1&iCgNW5=JrOs_Yz0HY5+Dn>TOJx2S$pGh?*-Oflmr`;o(3gzikCj)|3Yg!&B+C z#wUC+X7xijPi}*6g<^i=o!Jejrvoa<0HaqiWquR9OV#Rb$@R$Fio7pV@@_%iZsh%u zl6M#K_8QL}A3K;XSf#zB^yEr+11NJ@e9zydzsxt>@eeu6p_dF0) zCGKM-Zf*^*F)LcfkG%y1@8NgW{c{1nMQ|3u2Uz+WK&jL$R;r>3z4tpX&cICGza73g zuR%0dQs&PIl&P=0bk7&Ml0ticQVCs2wr2qpwyVhYc0esUl)&=+XPw*>6oA-hEZyLZX%OM<*tGhx0bV{jY5hq8*hS*IQVMcN0Hb{3$LTfn&j(yj(y z*ie=(THJxmc2uFf4QU-&7rq;rJw%6=Scj>QZ%@ah64!uHg&5M6qmD1O;prc_-ZN}c zjom~LH6p^QvKs4Z>>&+IDl!6K$<~r&=S^05;;lgTXEc6=5bQ>#GUw%i>+6torc z$zCC{clfMZ3TwM9ELvEKg>k8l!|HSsmSxCPEH?%$yO~Fffj%W5Qarl}&u)N4-D8C3 z`Q$kqCz-g|&nN+Gw0xFKuE6pV#yTR^G1j4yeuXe; zPcm61yxQ!H_80(|t9C}e3mQqYV?ESp*_0Kz-JA?v6q{cIHn$6#vjaAF37hF;GacwD zT*{AsJ9*?5e_{O*p}QY=%ASu%EnWaD_Iyn2`7_zdclJE7VjRk}pmvN*ZM6J>wOc8* zs|IY=A$Xy#-AYwE;4Ahkh5Z1+31PTW7|uY3$Xg}y7Ww=c4%%p)^0{6`cN%|gU$cr% zA^zO{W);0){JDM1D!M}Wb4Qz1TpZ`monlte6UCp~rd%`ie6zfhitGFo2pL>N_ z#Wg|x+^ftgE?V;EUTIcwk&Zw2YO{(9Y5cj@m{lnUQL53!rP5oj*71vJqb7z=U zT;t%+y%qOlyn@=@VCc(;>3qxs@Dp_fFund|9F4wRp{aV{jqO=D!4zEKyZI70d;@u*mhQM zf9w|I2KUDj;Qg`5`R(+LLiXdT1X0a#|nn=5AKr~i;07dj=wTNIv)j1M31nk6lua6|A506zS*Z>0*@v~C0eI7^D?6;Zxut( zalTEm%Y29VGH@vrF71TNc~DF7X{Y$a`!xHJRq7ywk5KET8yq)zpp%s|SO`xP!qrl8 z1yKhJDi94!El{0ScwZ?Qg9Y`|K*nILs}gah&=u2v(DVa{7=I{2;fjb!Lf4fg`HUZH z-eAI~CRbIOb<@FK8R7;(GkdEF+@P5o`3wVV-fva}B+myV_a8)Ze?Zce=3Z>VO^Yd} z)Z+JmX7NEZi#1J?d_}@uZ1y$K*TLa6X)tsXn1N9bm7cHp45pCewLqvraHo){@o=$1c-Ruj_YgKKM0T>J03At>>mZlh+Rn$KM9Z>8w!bX z_ODV>6g!~-fZqfti}98od%uMjM8c?uoq}6(>;qC%9jhSG?*g=o9gDWJ{}7;4j5qk; zVk8b_ons54C^17+yKC%SDrX7MJ;wWd>`;g=pPsSPSs`0WddIAW02~4O#eR$da6=Mt z_OuwU`mw{pdr%Cw7US|J!5bQz!sL6xC&U= z?ZMSl;bVFyjK=F>BMhfRQnT%6ffRpJ<#KekI%4>r!hX|9X0fG!aSIN)oFQa* zR|`^+u(}0Eaoje|T$P2)@^PLn` zo(fcXCs3t5_5DeZl*PWFDqm5-pG1{aWd1`v{IT#(N6VTnhGRAxEf&M)v970U`6er) z2DvF%?eN$tW8?-%2UwA|f}}LKghueS2^nZ4sjl97VkPJRp4N@+P@qj3WuB+OG& zxZu5H-r$?SAS>AT` zfp@J0I~e~||7`pkJIqfh+>XX;F1}GrDcn8{xT#?#awv!!H=sRo&;k8EdX zsjdN<>ce>gpCV-W4Nn3_hZMSn0bK{dyF8#%haDaex>IPBb3%jgidzPexYrl2xZJ!3 zZt|O>Fu8n{L`4)nR+tPt4Dd?!R0Yo<9AKYr1j8UDS3{P-^XZt7FGw%>2`HMbODJLvbRrH^1~SY6xH;A>U)}VuIN($ z&CR-pNi~@I%oVDm0;0JA5yShNZ`r*9QE!nb&Z@m7L{kEyw*sPq1SYYj<6y&(-o_lm za&r6yigKLg5dL&PrWh_nBLbq~0g+9s-|k!;RO&+#i79RuqWc4)qi+wW z2C_pvn<6R~lUHSmXNBs`fa=+R=+h*SGT_><48jL`z8|{h&;Ut_g?|WdT(qiaITYY7eQT_NNKeiviVX0nvr5 z{8cGL#aI(pmA^`eehG-K3WzSDq(ws1T6Kg0q*}|zYmv**vi3;d6pMVSg2OPKOZ33! z$yB}qwh7*Oz{{79t~W*6Er4^5<4C$g;NJ+#x71EI9WS$p}7k~epTswXg+3nuMDoo_~3FM2s^kd_C>l^cuj$q<3b z!}2W=QQs0#d`l$FR#rSiz8}Ks`yq<&hh%vxt69dIS(vMyW_<)scKX&VH#wjc)``E# zM(!ZV%}&1zwzCHdkZVNWh8p$|>o5pvC{msFpHS#($ z0sQNRXMQM#-D7$==X5=Ev9*RpMK-sHNGD8XCxZvsc=*&Z* zznPhu(+ujHN0_rPR4PW9#T4GlT!9=l&&eFfob3?ctDKohs*fc{;WT=HoUfHveJ=3K zx<(}S)F0!(Y!av!q$ZMWt0Ms+7>}8GJWO0?1m3JQ5ycAl*GW_9z0ISAs zBR6?s6-JD;tkK30yGJ`=cM|bG`4hWFLzo*%d4IkJ#j@U0xonA3K%65s57}Zaywu*N zswFmg^G{7x9Wcqdf;yMjYxqHbGbrQoxR(j)8miIgD%zu~y%9E3Dz^k(j?i@lowRD> z6UgRdo84>|5W6zFu0Igt&$6VClzc*djSH#jrIJz5LhaKw>t@=JpDRPzW%f+aSu-!r zYl&hC%WJ0-IO_uejeAj}u_n{KP;!9?3*qIKbrXe+HRYi@8t@YeW)rmq93Mg(kCXDg zghk-_!s*QM$jG}8{HS)`9Gx&4pGzJK1qW>Og7mSJCfpawipzZgx2FYjIhhfi%#6l0 zcxt;=TRE-oiG$gGQQ&Q$WUU-y6W$D@a5rC}--Sh+Zpkifxk5^v%PrP+Ih4Ze1Ew`G zNM;GMa*MS+9mI;6g0BgfRSM-IzlncObwV_E|d=f4onv$j7F{4 z>c3f_&=c;oFc^JCk-rJ!wFz>Tp8uQ0p6?ywt9d{;w*j2O@E$g7NvNJ7ZzELXaK(3?IFw+CDTMxG*ygh&uMt@|)H?dx43Be@7(#gk&z`Fp~TcGh&3QS4~ zt)P@Xl2dD;xkpN#K8Pk?zr#N#!9AD};p(iW`W>Dy(x1*%FY&IZIse8FhCoa*4t{&% zW8xpbz5B7?pqKY(<{b3$o-8?Xv$y3tro_)=AMuag+|@wWZ|>umRB!HqOsY4xnjVC| zNjB|oH97jvFJ>|s zE-PZsNl84tAy)M5F#m9B)p z)a9itb$S0_sq0r^;&!-|OkT;s^r6#on9A_xVU%;b%tg}nj${5&OuOE1c}RC>I^y+a z`UIvMc;oVsK8a~8qs0^GLvEigV2V%iQ@4=$F`sn+swoX zr2Fw_43!&&u8rf<{Ybhw#{#?_ikm;OWFx@FvNrcKZLpuDl(%A32jyuse5ZcRR?5sr|IJptj*Aj}iXA0dR+@vKG#bs7)z z`mNX+!=-AV@jm~=?d*R5fmA9~5oTN?RI2hr1FCB@m9p|R7M%{X@&~l?tQ0|a1|+k5 zLCa|5S)zA$ku!jDKIWv`SMRle>SLekLssEqp&BJrMWj*-hDy5w0ntwfY4=m0-93DI zV)DLIeX9i4R%VEW63Wg-PIKzVB{zRTrhkCdJ*1FSwY!J>YBwUu-9n-|*YtqoZcUQ+ zj>4gNuaye?%nCdn$iWf3=L4$84-)ivAm}mHU{ye4)_oh0tU8EfRX{R_hD*ak=o`0r z)UR}!t^3wE=#~J|Tp&Fn%FUy;O@&HX_q2ejsism!XlnaL_=+Sa2#KDJ1SBW;f)1rJ zCy1O^AxE(|L0E+0tIWAL?k4vR#tM*h;SEA&oq#U=5RJ7#2u}g52sbF%ee<_c^d?!D zn}E{%ay}XgzMXJ)QY&~d;DR^VO*acZhLa|r^7)H7^sVi~ljPAmW!9b5z<-ot4(+sy za(|_ym_tLEee&hoB~u9Fy^xhNkb=8nF!JZ^bzq`;SXW_!K}J|~6&CGD)Fhik!=2wr zg+I6{c^)$-%@;phC#SyaUT35yM&s-howwEK-~1~Xi8pbC==wd;^eiJrOuNQw;^4@tZ}{+G-$~A z_ytmsuIfKt3Oug}SHasCN=b&QHymy4w& z-&-8UH^XEpDe%hS_O~yQl0t77!+TvSB}HCamQ0b75+iLeC0!QUjrVV?k<;N640Rbj zfZ=rFC}(6$T8*tg&fx^Cj9Tz<)J!|01qdBA)6OV>PL7&sXS}=`>0^oCAY&zQWY(RY z@psbpVZN7fFZ25`oneITB0>Lm@mh;zI0K)g0_&P!WEjG7Bcpmu0f1oytPB$agENw8 zJ7WdjhR#_`yBS3!IA=N1k&H0Y=P@7C`wRl-4*}E6$97tVxf|KWD*WIjcQN0+5)94V zOy-@m^tE2_v?h34v{_)<71&@ah=Wj?D~JUqG0on&+0Y3%EHV4!$!xYzR%VuR{Epi+ zW6oY84U)Ow9${3@If^hEq#5Nu5B-3{lC+bd#FPxWriTcxR?OJWcfNuL5Z+J^-$Zx? zg{bl!fSbt5zY5A4>W%VWS+3yTgvGzeAg!2)5U!#E3SLCGHc_9k3mK>knlTgj-0;pW^Oaj>J9s<$;G_DEO}NIz6WVw zSy#!kI5cu*c^4Viq)bNN3216SQ%_P8ketAZpO`YOqD=63 zKyrJ+rx6p}9@OUu)@N}F&AS23;)6&Q2PADM^-Uq+w9c%n<(%wk>iec9Q62D2iyg26 zCdiwTpmTAj)b2QBs1Bvzi)f<)UT|&}%lI)CZ3yFo^AK>(m#$qLVtd>s=H%V!X4}vN zprH=|Z6*~Ew6=nVmX5*6^H+I-!HzfJzC@h@VT1maNwt4;)JuGI5&)RW_a7QbEy zUq!L1=o`ZMQ^HbF1?OOSFK-C7SMaG^?#nsm7rX^5nesLgsYjyAD0M9-)wY&H+fE`A zv1Y<{<{~3WF8&nvGo*tluA4H&bwif%IfU58lA>T*yBIx?vswealYe)eV;E-^|Fg5& z#37XYKVPo=U#(Vd2^q;b+pO%$^LT)Dg!w%iF1(FVQ%8zx*baZe0F|Qzh=gBTmwbPl zRnxm*D>BcK%(CzW8vvXuKt*^R8I2X7Rrp6TI!}P=@RvjzCqTP!0lA(pK&SBbEy>G< zSv8eA$#sI+86#Wo@C(G4Xbu1{G%QoKN#;NR6T*8pBwyHWU2U!1kQ_YR>JY+WYx1t) zR*|?kozrB0p&h1+bMwI7XOQG(hw0*U1`CjDq{GFTbVpdN5=R#z`wOcX8qvMp z`PO;|{XWvIui<7EpYFC6gVR!Vt$}lJFj^0-p z=7inR`zphnu)~$ii9qKtC+v>iR~hDn-O>9h!!QjILnomvGUjq{A85|OP__!J&ALK7e3`qLJ5)Lkww`BxnF>xe z8m%R~9f34NLVIX3f=(N$l+%(ZjO{H}8 zA&$Jb%o^$F2l&TGZ_I(EVM#EstN=F-EJb=?>2y8$7yC|HB@(@E5z=xgYkKq%97VK~ z%+HAK#x6qpZl<%N$1?vOrgNjW5&vHDNfa2N6)dUC#Z#6(8K-}W?1woWiyokWM@V2q zUm>GMmEfC*{uuMM;CakXk9OkP)#J?1h<*S*_I#$Zm9Qs1L^{_9UBZGVXHeD#Yz8by z*vkfEB#G8g*t1I5gE$;xKgYBk?M}hVnT|x;Q0wQFu(O$df%zHH0i=DA>Fj7aX;&}} zVH+XAUb&yb`Xj%{etj)vJwip-6UT@qo+t1I0XrIF%{DL{iT*$V8<|dz)>4U0OlL&f zvI3i#&W_4$C|h*R22k=g>>e;87ZKmI-#wY*(KITtn@J=34TZhmA89+fgZUqH$H)O` zkCE$#!;nso&LQI)qF=z8UHN%jg{sSW_`Q{5QtUFnaQi?|(^T{=s9dl58EB zpS1{LWF)8EjO`bUi0!DWk0m0uqpm)dh}e$0`dA`jJ1G&d9d+}uM8tMxaFXguL~KXh zd@K>Mo!b{cmgHpKi0!DGk0m0uvy8GV ziHPm!n~x{y4i3W?$DWLky9aNZ_9Lt-Q|W?1ojM!r?t)KMrN*}m(xDWn+j)$D;Y3aD!rf};F#?9uz#Ifd=7*3iw9MCR14-qiFpJ^TGF#?9;nZr=u z{4vXtU2n7UEJV8=c$_LtC77jvjgm`9`n0tUWS4Or z->hSx)>1jx|Fp&QiNGtmaMXCrL#;(oIBlU4WZ^0Dd=s zxP`>fXkqq2yoNqT`De)fJJh>BC^9OJZmIH!nwS6v4eiHKsmkunpjld|CyKjEg+2vQ zOHnK>B++DhA0ld{ho~0VSLV?^MWLHOk}o8~8v1o95|W}*{kjwjQ5V4FcLDt+DAlnc z>K`aK40lQEH4IuQr0moC|p@3VYz=;cx(V;%0d@^9#{dk>+_9>sH^M<74U9a;lOv#&} z^RBJWt5|@%9olXa(L^O@v;X~QEP}xZ?m7oa3=yTyMbiC$kRRx zaQS8}>t~V0;JHDcdly9?LS!TG4cd?)gUdfc343FUpk%O7v0rlvT6Pgd6gWt#!6JD;o(dE!mIq`67BgFyvn!U#1L1@UFzqUD)GB$xT~=PA9TI=)y(1qWpjYGJVV6EDG!l6u3nc$QlF% zz5_aCR0A23olvfpQDap79PoaKyuY@L*8W!Chc#~ufnTd}56slK_l+>W0&w#Z;9n<< z59(tT$Y%T97Ze#)Rmc-Vwux|TxP#+(HS&5&RoY@vQN{GUgQLT9VBS_nv&0=79d5)1 z#hMF8O)$*6NM}?X4@!<^RlSkm*itnB2|g=TgOFgKtQw94+o);`5*+@k#vwtYRZT!b zDRUo{Swp6ik=H?#SrjOf5WA&<2`Me_P!bTFz~B9EhfRc9n@tdunG zS(R*iFKTs?=5T8YhXIbSpgEMULV`W+jetPbu4x@G z7q&;eLGznwZ5JmOon^I6OvYD`J?3ZRrGJ9?@b&iihFE=wy5x0{;6}GG{nu(1tRjm_ zf30TWlkl(AEaShtt@!`<)hvkuI~L11e{93Y?7>lB`Nb2gaLFZb_h7;1-xURRGo=5^ zqrmPUQ+)?qC0^M7_9(D(f+a6^$>mhwUl9ei9T@phU_U2Q6$LiLcW`tN-VE*vru`_e zO#4w_nf9Z=GVMo!W!jGd%QT|EZceTl7es-*o+9-na1WzgMO)qa9Fl6u%iYGb9|iVp zr2Qzc-y-ctfo0l{0!w^93M|up6j=Us1@`neRt|W!jGd%d{T_mT4UY_5!^I=KgAu(2oMki)a3`OW^(=MS-Qr zAPOvj`Y5pH0IH7ydnus$D6rE2)klH77LbktJKj3-7##)n8dR-53hV~p_)%c_#QftZ zur~oqMS)E&7?06Jmd3JWX)HTsX)N6FZ6K!ag4e%Qr1mb%{-digr;M~;h@=r+hsk54 z1Jic&ccwcsGE_t^1B`THIz76B_=hr`5seb(Fs8GkS22A!)49=GTM+0>pddPj=_8mf ziayKqkxZ9G-zjpFXJ3fmw^3ZJ5jomHX0uF`|cM$bML9GL7k?1zsM?D@ztkh`A zSfXU$drXDtHHx>;Ag2iNauikx@phjWC8WI?v}LcgNuECe59oHFor_@t#!-B*YFKLa zwIW?hfnJRdv0tdrQ*7luz&%M=UW2dpa#_fHiX3NyV}*SCKh0qpcjc7*4BScJ%ay5m z-Z*eomR)T`u0Tmwmc#LhnszK%S<-M1hlo7B8{6w$ZfTqLBLz%(~YzAYa*b z8*RG*%9V@mf|xhbFb<*WZ&LHIfXZsx8j+Wwz`gatTJ}KRyn48-7vML5^Fn=I$w=h+ z3bi_%cD!~Bvf3GEQ;)N;=%UoPmTJt0q;d&Eecc*N3tl5Qb_YJcujjb%6JrcpKtoOeQjE@10h?xwcMrWd2R??u@+DD#!6`ijcT{S~DAv+=`K zi-A6KsZTjX7;X5yZ9ZfYvkpvh1#>4bRqH>bo-3dh$!g|9(oaSME_oE_T3*7a=C``J zNcb#40Uj7gEQU&o&m!S-UBG9N@OhMcUQm3vNQf0DE>=XO+0Bp*>cW+!0Jns=$e2x2&)g}|<5RBiqmTvSUx0)J@(YPk@{U)m|P zTn6JWtzRvdr}#_jU+XVfG`Oa=1XkoP{q)+eQOIBVS+&O?hrje;wR)ukr;A6`_C#y( z*RW451CsOCU~KI|xPSO-*w6SHqnvHDufV@*@#2tTy%h&odUnPF@%{~s(~B#e-X zCo&pb{@EkR|Jyx&Ds%{!eLhV7ez+A$K0g{g@nU@UcQx%(cAMzLKXDPD{~N4Z^cEPw z9>BC6y^X5}r!pOh?%?XdX-ua_pJn=VrZb|)Gkpfr+0iHH{2$14ZuAna8Vq8(Ao?3T zPWE7?i=yM1Kcp3bvS=RyXEI$8ZA#*yO#ii%5uHP0TuEbej>;O&Rcyen(a+c-S2MqR z^kW+Dnk`88jJ~x97oOSIvfyeX^eMWxeSL<7wt5i`)gpW5HC)sQvuST9&R* za|~lebRIj!r;j<9X{I%PDp_={H7TnZpVu$8;&l{!=O-6s;#mFvyPYgpO~=4;IJ)$| zawiKTx)1`U4-J<|DtaR>dKEdB6B zuXnQaN7CQPG8$=rCkylaoh(fIJ6V|acd{_8zT(?74ymi$onOC)oM0zQFTC~tvz;ti zsXJM+Qg^asrS4?OO5MqlmAaE9D|IJJmcNsQBGa?=P8I?qt!%xMWe}j{cDCNhG8WKQ zJ6rE$xe(9}J6rE$nG9%`osFF=Iak&1WVsmijbkUv_;^TmvLvpm-^s%3bMo{~mOV)4 z%jbH&e6HuGe6G74*Q2xv9Yf}sL|lcbVG-KEtQ(E_X@Pt$oyp`{OdJd3&W@pcz&{1p zRQzIc^e+q3ph7rK(=Z<-8>89ow#m|~t>S*1%$bvz_YqiQCJ)xT0@jnrx*doW>x;>{ zKS0HL@?4-_p;;qLl;Zqt+vMF>TLsrM!)Xzwl*cDSk&|YZx_0Fk$SH*K_dC^&EUCs=>dm zS3+`2%)$HPq`y_w4p|{H^1Fwq(&~-~>xbqDQdfN<3v3nY=LMp+C93sx2?}e@5h`~L zu9OJr+b7anprGAVEWouCi9>-q<3*6Qzf&cEPHK!f zwcGXxC^)$vs0{ZH8toFMP4_9LFJ;hdNv&f2K#ejElU$~6g^(V=sB)CwXw5=)5gFALal$H8H(I13 z>%PT2ygAQW0W3DXS;s>`iuXLhS_s~aUPbXNkYwRFQ*b>*# zM9zj7BcqCarJ*$z8Tc-TeHH|A8cHSF5&uFIQ?2Yvk)Xh7z@o9b8ky6O#dgWO3kfpK ztV1Hf#B3%c^>?JEi@=|$;kVXuWONmQ7Uqdc!EZ%ie+pcUV)Dw~j06R8hdu;akrJcP zZsgsGLc$Y3opCuU5ew}Pcn%17#zJFQ>nq6AZKs(wLV`?>0h-UW1bIHwiDcTDOt1St zw7m(Ok5&6We(#^p?2B2MvOK_I@h_*zFg-%_qmM%@MS{Z zs8afvD9Kl-vxBR%XRdu_Vko#ZIt&#?}^ zHBN&s+vJ?8RD3H^VU9*BF2H*yR7GC;&+vyCKEPBOyDc9}R3{hy0MR-#slbB2_=0nI zAC_gA8V5$8$hK;^0m@X?&s~s@7E^nf%I&>Tpd)<{KPu~)+eX!#qoqpBU5x)CzEk3i z7qKT;tiRVnKz|{)a>kE)pM5Am?Ow%+zmIY;>JV_wiFEMVBU$`0A{~z--l#F~Zi)6* zibSH!#FkaWUNG4=A`Spyn~lJCMZ6prB8~!K-;emDvEuh~fQdK_7RRHAvq1ih3`Sf4 z!oe@%dmwC|5to6mO+{PSel@hB*QF)(2l9D@E>LN}Bxi)$<^NHJVAGJ0|QUf@4m*HD9liif)^)wRV`u^_X0EXfZ^q3q5ic*P_Wu z7SYQI-OSO9uKQVIFZyvn)abSu0ToYi$rQ!tPF%HmBaG35xMoEj0N#_hZY{<_!{|la z@H|kg7`^uZwX7Nx??c>ceM-D9@j&Yfs=xnz;K5c$@`n-+w|=F{F~EzIC~F}K%ot0L zIO{O=k0YL7wIzQ%ai7(a{0YR|Zsk}DLgg3*=!9X^_R&{pr! zYx+|ea3K?HS*IB5BH|t^mv|YKc&$cMxcEE@Bv=VDPQukZWZ9S40JAjXIet07Gk)l3 z#aP)IsA82?ipTEq8O`)M_8}7MZyG-hBgAOg?3hUkm*Wk|<>h9%koZkTK_~X1BM2SmSX+ zo!NsZu0_G>$n1&wKtl?fwB0_@PtW$>mknm`C&AR^y_C9Fd@xN$sn$usXcPK3^fD!M zUVTP+wjQJGE2BIJLe1gZV}V|^Q5VQsYbn*3i(thHCZ5N2EG}kRgrulVvLR#kGt_3& zfp|vvu_`QJ%_?C(qG;3>hmqYJeEGczrCaucP(&%wK>ZkSd^E{h2w}C7RAf90Ay8)o zDPuryuT>>k1iIP`q+1OZ{$oL-5jDqu#3x9+dRimypAC(*fvA-YQO}VFY6~x%C{xlaiG3siIkgfRjsQG*BLWaR~I3Gn=}FmIQ`<8OF00_EM9+AA8vu#af)TqL@+T3F!+4z9-I%Q8W+?Fo9j@fG!mA4r-&0dVotloq zmqUnJiC3bP$aajqp-%h>sH}FGSRS$f z#t;EtSp;5D$D>#TE8!`MAZCY)CC^|wGBqaT0+{l!?n*~DeW-diLRTBXipkO@Lh^0W z!Z@m>`B{hO!HE44;n_?cLTHqNHbqlnuRFZOE)TmUk@-?i$RKcXHv8bc+M~dI@av4u zga#KN^G6@1A$~k)VX)n+(JvJViNt__{NJlR3&sU7s{Ip#0d75DQH7U)zCqOkh3a~w zMJDbOnRpCz6hDfrJaN3g>i=2vAQv+i!8o$TE(B5y$R9FVQ_n_URAQGp?5IGeYP*viQvr4ogWyP~s&=w63i?y?sM_5p{z z!DVj}>~Fztg|u+TP{fC?h9E6H^gw)_M(wGWZbd!(;)L~IaHV9bu7S@_2LC46_)u-E z(oFGo0xLL3=#$}Nz+^vfAenfLL`Guj%Y$UjbClS02(d6bxI!|H+F&z|0bpbN>#jCZ zVso5GN?cJEpb|U5(eSv-mIX4!VXt!8XGG5DfISbDyF;*hXgKj6yTB1WEJX!xiNpIc z2*)8r5nUAFp9Y_^;IFrZU+M5X!OT5$qG7Lhcr{!e3Usr>tMBsAu6KaP{I?N23~5Nu zUWeCT^8BV=Hik{d7TRLTRvRm^C&6OHtaT-2nO$%caBEa# zPL|pC4*L_AEn15|Hncfjoq?7gUA}C({4{rL4E&e@GsICPD=-0UriGvKX8q+Vv8iA& zE%jYVnU;JyojCRFfX}+sKA-vG5_3kMKVR)a~xi*iZd`C)@Sx3oc{jCJc&qBN36_tgy$<(ARVC#xK12${yUCCy*z5rGyjz4E4Pxk9S!DYWTaVSYt%zyT4^mKG^4CDo}XcAn( zED=#8*o>&YWV36>fyG?5cO_*mCkO?I|2~)BS!kFJKHI=l$wry8hB#Z!79sHVm*Yd; ze22H%RWeX0Sq3&+!cM`K!7Cl!5tqlF?01-FT_#$>R)_bC%R@`p2_9Pl4`;C@Fz$U0 zFH!QGmJoXc>@g6{7i>0Sj=EE3Bf3wV5Z&Am)BIxKISoEbZK&j{c}nbAuvlsjxuUYv zE;$;Ox@_4tlom31FS_hf7DJ2y_Eac|4R-wBb^T?djBJ7R2P6Jm^3^7&E{HPXpIuQI zai*iei|JA{3>k5@!%lYDOGWuNbd=<|Y^fyQk?!KMH~2+5i@=|QIAhuaSqwx;S-_dQ zKdmpkeuWit%I^)p7)Yy0E}>Jdad5>LysV1hrdgdlaJTAvyt3^1NRA259`Tz1s&VOwO{l{+52xxJ3gZRt_TR;eL+rH0VKc^8!ygv0H z0$WLquoSjlZAAOa8VN$P_Tyi-p2I(kJE1BMMpXa7v?+hDIs?w zk%u4`x)ew&>;*BUPhNp27UanI*YNXR2iY46Q7+gLRM*@9T_C*2qd3GKhq{JnEQY9X zu|2$I0Q}p43=D6ncn2dezkP|EViXGsa(0LVlUmw8^~7d;J;+Rk%oFNf)L-_C^ zh7w^gsgd0GR6nNjeE6ipRV!B_lr*|OrAIMh#kUz~!v02jV3pvzRf50MV<`or(9vL! zC8)JP%!a7U_YV;D&Ih@Okq7+1_K$p!Xa2`(Vrj$ z!IKvKIUItS5zUQlf>9n_8*&6IBidgEm*B~ao(qTINsr!$Fa$F_x-&QgD?Pdd4#ATV z&5d({u{4@H>jdKoWg1Ontkzr(-)g8R+K$>isgP5P4#;}#IsTNiZEvn z*4cQKUCsT*nR`l6ypZXBZ%sZDHSWT8nwqr$W#&UU;ovVzYYE6uB*@=}|J%I!14gczqfb$%{8SUkUKQ{iIPx&~lO+Jf%015cN8RJ>P>da$E zb6bQ^D?ehwPl9B7v(5rxd$TS9VSBT*R5^tiV8kA=@*WjLvvh9*lEfc-_fcf82ZP>5R8Irf7nh?&Urj!MM-s%%Fbs zYvmMF7)t*f{LAymGn_IN59#4~e>rxoSfEQKIeftRpE|I9t4N)`Ty^=M8Rti* zy0bHzT#2aJxyZL{Ht!)COY9bB6WxcQQ|xoA+06RiL3)}&m_?6w_mK%D;8sUb=3!ZE zDBs-5o3F8K{BbYd|<7TW^J7QqlMwNWFCtl{nv!YRqHQ>8t5E2XaRCD@6H;C?jV#Q1b}?PTs`Zw}QSVd;$a8^lX4ZQTPN0;UZAGYn~qK7nghP5h9TgX@ucYh(ra6`#fJ4 zJ~2W3DwMfT_{0T?J3ZeJKGl`rju-&U{W_B!5^m+S#Wd-B(CHl0>3qcJao!%neZeZ!7cfOf?Og&760g^QCT-`owxpJ;Uv z9a`BDwRBi9q@ znDS*b$A~6l9TG9k(SQN_KeYIiYI9mC1>XGI^uzW0n4ne59RDwkkl8)UuMa=x_groEmWzYephD_W9Cax0_7b{j=6Idiu zLB<`W`Vy{imXj2ZBPKD6JDHQSP&E+I{NUt7KMr#UvH3Oc6g>WhZ#N|Dru5|+EaI{g zA^}me1lRkK*|SmpkebrX&F}tKh1Y2EvN`_|+xM z&~d~VBi74H>1FB{F{sA8!7PtW#h=&oTUW<&rtjH(PA|P=AK_Y4+3O1Pc z-BeSN65kB$5~g>c;~y~-$ipTsNp7l#d;cMX78Kh1nxaa0oGoa%bs1Wd_^la&RjDTN zyH5mbmYT$`IT0+sn#3=G5v)=*iJ!V6Sku(xTee-YJ1Tn9lHEBWAU)ZAOdpt`3`3d? zWo<+J`{hJ1$r&s=h`~}(mMll#&-*`&mH)>>an7*$mox5vjFrvtb=$u-tGHlNo9#o% z$?4%Ol#q20WGGUvn2C9~5V(P!(1A9jI-E6rs7kgyy0^C#j)1Gfi{Q}^JCp&U1>=w; zE`$N;6@7d-1xrB&9x1ecX`rV!rJX9<&(SJvl~vl7P}@^g+Lln;P6q#^(C*d{quSFgss{dOa2=%5NoNK2|I36b=F=Yi zFlQ#_q8v0awchoAF}Ly?%0}F3hLO{(m|NvEyPI50vvyH)y`l4Fli8~p3R=9`WcE=} zQS!|uvoCS^W|MgjartJG*^jt`z?2*<=nNF5hf22NIWWHktPkmv1(i zgR)7;H=E4C#O0e!<`ClY%_j5y7~tZPmgE9mUeaO~GrjVX7IQddo_2D%1rBJii@N^i=Z015RNZ%bZ3$ zDqsx{*FQu&E+CU{U`!{T5bzrD8CSUq^94MOWsEtKiqZp);_W2!VG3mh)S#kS#Ipn5 zz}rctpLk9{1tXhHJU8HD;*Su|7jHJ1bBN0~o6JY4Q@+`RlM>*|H=E3P7I5dyCUZVL z<|-QBY%&+LK~c#!o6PdvED65ZWIjn5`DT+@L8bD_Ve={SosxK3mISXH#(ViOh%1N97a72bc!P|%jN&hm??k*& zM$9XR&6mlSR}Pz-h|4R7%~#kg;uMWn4x3weOvJfz*xbF8k@3o5bFYkyR}P!66PH&G zoBN2%D~HWDWMsUg#oSN6ymHulleoNc*gQZSk@3o5^I(1Gmsbv(@84itymHw5fHKaN z!{&!1^2%ZJQzvJNYdw9H6R*6y*IG^wd3mq(3~`^hyw?(KG+oguP?Ofm7;1H{G8u_y zC(czSOpv_1*IGwh6_@u~>xpZ)yca=VB(4XJoiItUHk<=)1Z>&qhsR4KtN`BTYi*>E zSKQ`ny-Ykn+~#X-A|5Di^R-?f9;9d;F&tQ1zhZ*KJ5bh+*O?%BwFzYuahtETi?}Lo z^R;%L11@j#we|qVmbAFd*V;=DY}Ir?C$L^Gfg<;6lbTp*I9Hpr=Ay&7+Jv}!wFz`6s>qPWl3dgmQR>RfK}H6#KGeOSdE{~f3z-h#4D z5O*&(i4S0;t?+)Vb-EsK_i_{B?&T(w7jHrRT;#k3Wu2wJdzT4u@fK7{8|N)3>-(Mv zGF26XQ- zSsn=Gv)BUulr=Yxm3vdvTr}T;!rF&~7R|SyEL9MC^ceOAO%O&j--5DqL735e3(7JC zVMX&TD9cpYsJ+qREhx)U8D($`--5C{f+&U=NEKd{hYa=^F?7B>)Rx`wx*?%5{DY z{s|?Fq_29d&^3pXu+~e&aiD%G1D%=zBPEOC_p0=Xp9;F}ExG_S60r=blADn|Sp>^! zji+(&Q7gwIknb=fnXEF4gAu^*6WNraYrsgHFcinxrivIoqsHV-3}LIafDeSOF+$fN z>JlYki&%bxs86iwB^@_SQBo#Ayd4&@skA9?zzR*}fsqxMPtqQt((ARjCOHf#xysf< z&`X4p>&$Rd=*SSp?F~kbOHGeMl(|rnFEfLCM54}sIZ7m|AHvH-?GcIU4+Sz&dqjq3 zFj1H9NE9Cxid5}ms^Y2jdq&o_$W7GqRf+nZh4x}qqAtlqrF4fVQ%*HF9goEAq?*q} z68gb2OC;_>RpO-2r%cS~X7K;-B07V_)a1hjb;4{26bl7o@JKy#0m#pgjI3ovc9#yY zU{`{Xjw0a=!YF5LvWj%zG883iyQ8|7^r@-=&HIp&auA-~-Kxn7!DCCg9Z{2yCe*nX z;4SN9143tA0yzNE%Ux9rp``p$griO)q!yg)P-^nAg<5fqxf_Yf|Z7JC^_b1$PcNm1Q4OLj2GtiU$1cw(UF_Ew~MS14KG@bt4G)|S59%g9P_ zLfrLVAyz7x>F}J0^k&uu!jLI>j`*F*U&NIqd|ab$XG%IiW&vEaGCADM&vxeL;fK(E zGsIrT4)$=|I$TZhWr)3u*O)^SRv#E*FGJX+rall7Sv{d~CerbZn@CZfS%bi48@}PP zsZPn9>#$SS0(ndpH}Z-a!}n(rDWYWGz<{a-s>dK9Tg&%IYTa`7vJjQHsjtN@N>V2_ z%}~)aUqS&V{f%^(px*^u_iKg-7qnJBK1ozZgy?2J!#nc~^s_@`T?N8M?_UGmY;on| zl`NyJtgW{M@Af{A{rMChe3!}8<2?u2{<#hno@aryQnGjO z1=WUXF2eT%N3DDn58LJKI1T-BPMVIaJkPA;s^=h*L0V+3v7pgZZH) zj>G5e4gfj`ucQX_xeIHF`onQaePH+80F0?Q?uJxQvm9QOLkJ#_lZdx92_X}5eqU=U zgwVPj;zo4}1Wx>XD>)prQW@Pc*fhA_zDSCv~~~&u*v5=ajm9S7Zb^ zcRaFmo_KI&ediMozjGzae?0Nv6GFAH3>AjLLMwU;|JE{)Ymj=yx7m2olm#eJL;3x-`Y*?85q$W`(|r8By$0vRn*G1r($r*A zmiZd|=WPq}fmo2cBldYhS#Pt_B@Gy zFW%c#JI_GCfU|u0wktb`5-=3yu6AR4Hv*=U-@P|*ucGZH(Ss2M8k*YQzkwmE@kQZ* zGNf2j-AA^e1ThfXZt!{_H&G?Y3_fT+SRo$~{X(P2CA6ImaMen$1~Xe6bN@o4zJX|3 zVF#F)zYEC)pu?|0ye>Od$Pdk_<=A90dkK19}XS!4of1!zhg!S|UW4D2LG^83Rd+LHRNo&HJkoJ{`OP z;DyftGLp!0Ak2T%s&@9#+I-sM_$VRQ8RtJmu8)CPD00JB7-epxPc*KR3l(+tPf;Gd zK}UTH@zKbt?L>`&h`0tGYlKM=Pm#o=8S)b|k|Hu5-d^!eXWLaP7h#J=#*!k+>}kd# zsxn2C$yL&#b);&PZ9WT6q{I-DD#~Ow=$N49mc6x(9uvD0RIC_}GKKVU&`}NB+kda4 z$7QrdtgNEZP{XuM!G8~J8j7Yjd{{IQquSfmv-Jf3DyDUvNNXAVMv0_$;bzV(>BIIH zh&l?L$D&&&s&@+Lu<@i{6!dD);j0l`RMG|@tfXsB?5w0s;E77w0Yp^NJ|K0y2pDx3 z7++IphFFo>@KU8gx}H83#z2J@c=Kj;KAmf*gdcN5o^uc-j@$j}FIPaviFC(t&tJU> z99s=6^LXz3t8&p4e3$!vaXSmy5f4Qo{Gx&nAQ`f#BUGTuCH%>%h)`J-akG(M(IBb` z`L>{=;-HVy&EI9RIhRDGJG`rs=P6aNHf)ffL>0k<%`6#FBafy&1ZzcP%zm}59^voq z_zIumXyGFoR8LWLaxE6mM;B@X(U(UdKs_W>t^A@YFAYS}<|1h_D-A?eR)dZaRoO`1 zEzl=SRAjE84!}36gxZ*sZ8C8@#vV1(;T@4Y zSi4DFCE`B6V2D&biL}zH9zS|Ae96(4Lh#kMH64`-#Jy&1}peEiEtRQ+4XIe%#gGKb%Vjd*nrwYjzE z`^%Z09p-;H^YN4IOTjSwI1;61e*t;J6J7wkE^)8t6#4at2g?aYdzme#{%UZ{aJ3;U z7RBm$cTYvlrI6wYXMo01(Y-B!=SfA)skDhyq(xIG{|D;us?Bl0&EA!w*U%RiSoVn& zy>Xb%fOiRD&xn?m9iOV#t;G-Hs-35!Vfg~PQd^gWz-Oz06GdBI0b;8fh;t$K_*6ai z!A*>#he(JP$TJ~oPYeTCSOyMb;9f$z7RZmos=etG4l!!#L!T%ukcULnzVwOH0{!&4 zhdv2fAPvbzyd@l+6BOdQo+ z$Yd<}23o>akpCvT(romCe)O*_Md0vHDf8w4Fq%GsnZYmQ=%eC($#aq6JU$ zN~%}nFg&nU4>F!f2&PmssG*uz+(z+;rh5J~;_)J>YGpkP7|}xU*Ob!Ar$h_Q7Z_YC zpBya`?NFsLV&x#tqdW)Iu|GmjG?MTy-R_@`4tX7PI7e6x%^XbeuBUvT@<$~;^lrRy z2haE%7a8FoN_8IaR9mU%z^FjPYUK)Os3GRuRw^^O711S#Ik%O{q@4ks_!X<_)S%WlSG7nAcEvUY>AUa z1l{L=7TKR7LOujq3H}#C5kj5?6~QCTNNe=HthZ-_kQ7tDf)emid5UXo>djJItH4N8 z7O5N{b~mdUAhse-8U?$Z0pk27Md^vf)}2P7V+lIupJVgguQ^A;5oWcszCfdn24OdKpPHhiW^(#BK$NVS+FM`nK+@ zn8kwddN0stxV8sU!AjsMQX{nepv;x{3!>emc2GLG_wNMr9IDzd7>q3V<8#I+nvh74 zAwZNMo-tK>=XSz&T7vKo<I&16=h4|f0jK=XL-lc|I;(Vv@X@GAxI?J1=4KL zSX3JoS22`}r~*T|dMjmT@0KaMhLmw!S5CjGC?i`VY4R|#UhO@+kx&f*6hpocpz{U@ zEv5@O^2meXv<}_}p;aG259h#X2DEE61jo7Oi8n-O$+Q)r?#KY#1LgJn7OX3JzXN6s zMQ^i4s^x)8+#R8JWiz&3#MKMkN9oZucvpnpqX;tct_Zy+adB6KJ$sZM<>&1XdY=Jc z%G)9IzQpD25c>Vhpu8PIA4*)_4xx`BE-#1B#}bbdmqX~|h|9|%^zp=f;&KRm0&#gc zgg%kDxEw;!OZ;}V2lNbaL4?i=BJ@&B!g$f*pxyBSy=F6B5TP$*iY<#5MCgl%%L^j( zGAfc6MCgmT&A|&IxH+PG-ZJf%AJ7v!@g@lUd4`cULFlW9%bOtd7Z^s~1fg?v?py?+ zuOTikg3#9ymlr`)8?865-WZ#9`c5*##T63zF5*$RLBbw2T2Il!+S!Xn>kV4*+6?_Y zMxa=`nTR7SRl^!f{C(oc5M_=sLAdT37mVm1K8PVJz}kYk`}b(Qwm+2upT>h3s2!o+11Vd651FmV}0n7E80Ok740CN8506PHnhiOVR$#AOs=;xdY` z8BiY_mWE;t2@{u5go(>2!o+11Vc)#r$88j0;x>vfc^ie!+bHxOpGJc8I5cu2oQaod zGpY?_+Ook`!WJFIXb^S}`$3orTo2257vc!j3L{U}NJxg~cEN^%rOW~zF%b7}5aD#C z=fT4q3T<}U7(HEUU1Z-qMz0^=wGBGv>(MkdVqfk6ysO4y9M#D#AEU<&dv^||Gu)8^ zOOEV|Aki9XBQ@2T$k-Zf5u~3m5=+s!W5B}|-0+T@P+CHtbl|lgb(HiMf}KDrHSR*< z)TvroCp&m77HIRqjGJ=*81fj?t3s%v2tu>83aE|}s!vs^o~1pZDawheV6%kogt^px zgSuC08xRbevPcmY%t~DGB&-_nDHmjQB*fx`3A<9G--6a;h-Jk}EeAnf0v%thsLzX7 zz9fa)G**f11kQs%kWq+m2{l&1qElbe_I0u^jMbZ@G=?9;Z4}`qQZn{DMYah$_i!h> zV4NN|paLE;{%s=u*GP-#w~6RaI%>9y2-W#AdPyaNNTuG^);a-SIeBcK{x7#Sb9vREza`7IgeDR9S`)y8+Ud)LHRDL8ueJ?8g)0ca=}lBezkHm3?q@EMix_)@t7M?uEknzLwZw&P;Tv|N^I}NZ4Q~P$d|H&|`t)dK zc-lJEVmmlR3MD0}kOiQoVk35%H5Q&tNKURIr-Jm2a`O6%7qb|XH5CQ0&|!kc7K6TN z95)zL@6GB{l~feR3!RV}+qwX$38FYYMjGSBj2Ne=%Pr!opjj6DGa`*gNsliZ+YcfCrwAb%?|#t?ekLta`=&^3Bqr6kN&IZ>0TF!;=%jIo|7~ks zASTT6QSpfVZIRMP;K$NFBqaBd8tqb1i=2f1O(e7>$w?&`7~c_%pakF3o;lm$e4)# z>dV21?Zqye6U>RHxT~Ew3F++sNzn%y2J_LbA7nhQUs4+f<1zu|InpJ=QH*&a*m2Nl zbCBptE@p!a_M0GsCsbq4voQMURKC0_SJQ$W_4kTSe-pve2Qn1{MW=6_u>|_Zjleer zb!KERNfF&3p{NA3Z+ln!vq^fQbrM=%Y+|3Eq(_A*u@_lXlZDi!u6A;%9^1Se(#Z?C zO)*tyKU}44x{&*s+HOG0H^|uuq)c>R)Mscndt#|xy>Bt6rDj2F+`ev#cL-dy@{tfH z3(bR=+lEgd65cVT7T{e=MHvLz*AUXoYCFhEr5F|PK)#3KE9hpQFV(C0J42*C29EJk zL@)go5f5^h2&0$I!2%=pIcBSO5KD13JdYzrwQ_Q}+cx?Hv2FMfXIu%#(!N2gwN*^h zP@I2J?-7ar6LkEDv1l#*1>I&ThK2YEg67D!b}9Ttdl?{_OK3T&g-bGg$T|}-4z=!imGuNlN;+x>` zx=Eg1`VItVg2`GfcA3Y)-04U@+zj*!mn|;$G|-2i0+b1t+b`$ z%k3dkbkr669D?n^WbEf%<{2={9LcLL^BkDV!DIssM`^oR9e}$(RK>U6k&fPha6s4SFi$tpE%56E_1n|q@L+v-<+!ZLYlLue<1o|@1Az{G>kXbQGIgS z|48tcSDUgI45qw@jQD%XTA2w+^4!Q_>JLq(=4wCdS&`A`Z zXL7hv@9~Z9h5I8=ydJD7S$9+LFP9u;@agQ4+^H^?<1tnkvA?pr#rq8=<}?JkEvr`M zR&z$P>SF9}Z@bk|!(i%O0xcKB34+eTydml%S-f_)o+RcZpTS||8&YDlP7$$md_5J^rTz#3#3K9qeWGp2Q8{BMpjwhG^LNMGGD_!_TU*<8F%kvKQu#6(u(`o z&&<$kg#3-G^EX7P?dW5_1(Dv-&$_94QxyGB(*NWl?SP& z7L`sa{w8Wx?d?0>$F4h5Pu9-%vAfRH<7!<+s?wd(7K5Q^O1!O2iOuHlyH#W-r?36Q zOtjqRpfI@+3v|25MMdayh(NUD*F-s~ePp|p)FAkZuDMebLJanzxbE3)Lc5X+Ds5(8 zr%=`dVLN;%TGlRV&bJRdPRolO9xljKd>{6;Ydx$d`A@==?fl&;PxgR^5bUB8?29TN z%;xvp>J_rDKvIbf<9u~h7L14l=!*+ z`L_tU!pYVR;r$^fQSpB`74I7X&5vQQ7!SSfI4kCyQh0Cxe$?@pg4SCB-bJ*{TY?Rc z&Mr*nbq~AkS_mA%6WDgM^hp1CL>4C|?He9e$4!woChevWPJG@;jC@3^-V=YnqN?5^ zh|Yo+SHF^alayG;>%#X}(qbJi=?7P#VD~%eZ51oiH#HwM7}XEYpu9lRfxL!Qb!t~2 zcV>Z&X)}kO8(wB%R6je^kH)kJN@eR+0?2<8v?w|?Kn!zzGPJG;r1Jm~mNmYaP|Sk- z$cdkAqzpWk;nxmtG7_-iFB34G2{2 zY;BZwB3Y7I}kK8(o+~`3rL|mN$L|)T$uC{ zcHj+?w7Ml(upTKr?j&L+;$a`2R$cL3b9gHy?+(MefAwjwynV(%tm{$N+nrz-3eqAS zo)UYIBYu0)cP4;&QRL)c4W~lx61VeBKwIYGDO9kc1J{a=JY=M(xX_K zu7&`c%&aN_CG|LX+_+)@WK4;@pYvpeDD?~QD^S^A`X)JPe@ax(=Bl(V7lMD1=92Ci zad^i65|fTy6Y=8tGEnJjFw4d}jD2K?IYZ=sh+l#d5OwV~a zB8>p;t3Jp+J6BKC3I^GK%+=HVMT2CXo=vREgKsW)zhJ(6t%}D{g#+wz_^^oHtMXCO zR)fc(-nR(|8^pJcCz`EqA9&o_QcwUGfS@D=2Wc^=_zuJ8ViX4Rc;Us;+l%Jw zHMCKK?G5wwgzOT8;c~MTB0!7KOJVHzW;)E?F0&HMvcdM{`RD*E;CT*xUF4maz-*Dp zOS#luVn1aQ{QDtKYGqTOljz>TY_M-5jk5js31<7ZUWWI0n(%#tSqLpaW3oaf?-lZc zNQ>1$|KM8ipGdl-KbHpsL`>_zNGidsGf?Qm`4ry)ME)nLHW5*?ftQymsh7dyjtA+7 znQX0mjGJ!W`K8Vg+KeIgxySU_hP$CvCUdS(%aK>^M9dS(ECMZyet`%#Ws_ZNfnFz* zPhZ6*Zdoweh+@2g#7*MXF#4Vk{R4zK5Jyxl|W9~WRDerSm8eOynB{?L(GDP`C&FAlMrJ+3Fk zT!9xG`X{#qZ-2i%?s1F}-S4k5{ zcgd~ru9MmzgwFvALB|c{lZu8RTs_PaG{{CF47mrSEVDeJ_Y`QcRB969XVcKid&`A) zJ};Y8{sr%Ju^}btTAM-d;u^MT2%lMvBK<1o$7Uk7GSKnEFq27gBfSq(T+&BLKTf)N z2#vg-LHjC@wJAYZiOyZ9N7g{exZY@6PYpcQqjN<#511&<~C55c>wgg3#17Stb(FstXx zF#DM@J*oc<__28NQD~w%Q7t`jx2}dkvRGEkJ;F=syRBln!dq4hSEX*n)ELM<@n&>c zu^q7(W8i1VPaN9YThUw+{st;(D2n<}bPh-iFI<8BEt-Q?+%_a@C6u!MPP)l~;vQ-X zC3PP>cDOAhSjNWbrhE(=!&w83;Z<6zNulhGry*SlovQufVm&;vHB`$gO%>i_x7qJ4 z#+i$!$rn$TGD6whH!}$r5SGpTq0Bo9e+(WT50?eJO!(X?;Iue+aM1A81M(|MdW$2$ zI|F@m40{qBgK57r1gUbr#mH+mno{_MAA#aL^WcZ znOxIp=Ho*-&b(EX#}XmbyFAm!DMzNL$ot==}g|# zsAh$+FqcDOl;~NjvYaSug)vI+c93FEpmrDsn@rHMnCpsw6F`e3)C-eID3(K4{V)z) zYbiZ+7(W>x84tgTuCNP72ORoY(tBB{IYRv}pndC|d#jF9kB_i@OR+5YptD`DR8LJ!+~H1s z?}u@Y9t&D#{zH-ZcX!ycm+A?c^_snMsa~vA?`Rw4dTM0-*Ic=3;Vj)Qui5$KdY0C| zvpp34sYBo|?X8C4w71Hti%Ef6eaq`RXrppKiU$HSSZke#lw zg+f_-&@z#WMaYt!_T$TN*dcPHy=fU{zf5T2Y}*!kSi0qHmDH9a?Q_fYX#Z0jYhRWT zqWVsXMz|{5jc}8Qa6KYO7MuUCgwyY1(*LGGzB!x^D}JgNcbC&dwuj3mGBCX{ z7um0dvx&@tuWT}}h8K~sgA6gl(4w_^B4kp=$ZbF`j-cVF-yXZ7LN9G%uq)<@0G&}- z`{1QkZmH*%LgNS)dxJf$>U<$NdXL@eDLo}*4R?2%34JH_*khm4Q?-F3?eeGeWd9VW zF}nYeeMH~tMq=7rF`}-RpMfGkWKU`%s7R#h8<> zi!{yOx9h=Y^Z}}$SK6whqR&7rUKDU1)RK~`xd(*L76gtHM<_>&N$dmCT>L#4%@Ohk z>PGg*3D1BxKq2$v#ax(03Xc$90j%jy8AH1#pyc*g=QXyTZcH3CK~idc&Jwq$^v{GYuu9CI02H_-5Et9fp&a z$HaV#+`aZT_EK>7)&KT<x!KMunRl<3iQ{IKGHLJhF&0jl7)6WGdBa>OBzO zgjQ4lS1qhO3db5Y3KbP4yyl?dJYwk;O|uxMuf3?^IN^5!ekgKbTnWa-QTE_fdfd>c zgJ`xBxmBF2(%8b+1jgg;ggWGORv!_ZeJEa>X;DYvr3<{xix6HuQhY!t%0U5m$5oNT zNe&x})+D{RziofEO7G(tGZ9bB%2fN}`+7h7osab&>|^ihwRaUB)!Q4p>?3+#)qY~1 z9&HzXrl;AL&*?+#`@YswcD?_S9x>s1@M zh};$Tn!ZXENwbl(%w6y9roiAg^;-I_*?V=v5P946(>MBD)$V>mZ^mpc)#|Bs&Nq5& zM5L2Y6A6vQ}{L$ESbulU+ObdW;}?+ zI>VlDRv*c-j6oq|mpWb`d6JO{rsK|qi;Ws(spA3_KaAJV_X$*p zM}WBI7XoX+EHtFzws28)3Qk~(gWMt%{(1v6WdT+ZG9hE&9}M0!xRG$n;4(sNa0&ie zxI;i2raW$$0GEBXGh8MVd&1&hNr$5;A0)eqdqaSe^APLK1e6N7GZ2>vm;iqtcuV0j z;!3zwycTXTTs*lDB^B(r;cyGV?+%x8{qSCtINv@SZd))8!DY_Rz-6(!zic3y(jJMI z5odX24s+r5gI^ikC|4M+tg;&oUHMgx{3gfU4VTsKKkgux9Ty)M5*}HO>*}loqA4*L z{bd5$!ap3m-f)M&-2t}(ZihhmeafsLY3_A~n*}~TbuBW6i(kZdX?Me&!}2c(#tn%O z=nS_j+)B9p;a-C~1Xa*4ROSqKd59||uE5;{|5agl2}!nEP$&U_ru=cYo4}|@nUNg0 z>G10fw-9axTvnsE8vRlK=>k!dLdV5*6W&w^%!YdyZigrt;T5=NKp%_7M`Pg*iNPKB zaIe8_54SKDZ;r!tf4NA!ro1-BO;AppOi*XItg^Lm`I%?s7!XEy1@3XUhvQ`g{i@57 z8RfWmP$OP1J^;56a!L&-fRhb35NLvZ=XKuJbj!p-u@H~xpf#kxdU4ws78!euwy?a+sOcIr-} zU|`adZW(w}(Kf>im^=W@6#%X4ta$G)6!fhyGjqd>b^WOJXEX7Al; zB=u(nYs&U@Zaig>)4=18{mlK%1)?c4*1K}#8_3a8$kUHM=72xyj7qnUY%!7w)6?bK z8Ci}?e-=4^hIB+c+3<&5QuUh&-I_9Zvz@ioSgs9Bw-0VL>ZM0zI8D=WDbCK=*`Y_l zwF|Zx3C3Ip%eOmkGrV@`HY3{`C3I%JZZFtuSHA&VQ%bR}aPxypRlvKJX|LFBBx#3h+I!)KT&O8W zu1j?7KeijO_KoevKp29Tk!A1Q20h)g?9aCuEhEQd;gtjM zOW`ucX<2sd?M6MVBFpZ--N^K_9cs$tFI+uqAxAxgaM_YJzzsx6?x>@9JAziS@#zXUOW_u9TsjIQmmYOx0p`GEXP5?; zjeaZKbSOApPkwKYqWo0=G^OOKn~?DOvdQ}3#=tKhZUWri4!;;K$G=j?oeMXH<5DFM zA3XNKWt+MLw=3L&9I=0;T!uRh^r!|-LV>d+D?!J>-2s=1-Ct)Qnld&3t6;%81b-i} z3vk^&MfwV9j!Q;EIV_|*E?E2kQ`PS%Ff{I1S7BSo`M@iN%O*GhE~{WJT;_O{<9`4y zJCFN`a`!9b?svxd;c!0%+5*Xf>we*TALv5RHyqdfzP0;FYWJhi3cf|m5{QFKJ?__* z3qdod?pKwEfIbJ>{fz;lDNT}*A?9DH`poC-JUP<3AJTL`cIkd`vU?MmQ}-i~?)M$t zZ!!AvF`J{UxL?H+%JCwjRyi3i`fMfJ+eQ0A6l8 zc_YX`xTT=o8!^J$Vy^qXEW_|8!?ndmn=EqoZBF+k&fHFNAaLK$l%dc+nSV|BbhsPP zeHqey2htV1fIg%tV@9}gqo9x-yc90S)-t$WxD{|&rS3~j`y9E$aFf8l2$uta`~>GKI;TDT%8ovAn##e+z)a-QJ?rP3rNe}sZ zFL0mO8$&Q@K)?IcS3Z11mdc9dJa`&zB~t1>u30|Z4%}}f6}emW@De-dbaw#Uy=2m; zc=4m<KyQ(ycsqkLBVhX*r0X_@i|>8bIrE9Dvqk34qomElUC| zOTrQWt;-bvH$08WLPJ6kTpE)!Bne}1(N>iptw&mpgoOZFk52<=J<@U{&~hYH2he(? zgp+}%W=O&0a z4Lbr2J3@s6H0%hp>j<>#2(;@4`tdglK;y0h3_1c0I|2#Q(3YbeN9YTCjkeqffS<AH{OJgn%Kx2*u z9J>^Oc+cBt8gn${2sGpfwBrch18B?9jw4J5(3XQ8*P4G`8gjyz^P%nlLmYS-E{!=F za)g}#8gqvnuwbs)OAlZzzw|A`+mF^9Ejhwf0Ij)Zsi;|Y7!0mJYP1dq0u$Wj)u90zELxiJu@MMecV0R&GGocv-T2f6XI|8E_CbLY8#u(uW zxk%6ii?R7A60R99Bb1NHTBY>6`ftHrAw&2p*qNPRHTo=O@ z%`KW*giu&QZp1XNXj&2S05q>?S`lbk5lR3wujT+~UabJox}s%8_#Qy(su?UQT30^; zXkF2=B2+fOu;u2M<`qpV!a;zW5Smjor3f^kTzTR~LkX#H(*Z>Q*WV4_7QA8yXgLw) zIO5T}9T(!^=#jIn=y->BxNZ9~`xa7BpIgmJ5#I87M>O&P)&2WZL=XtKEBiPLl; z&~zctbRp1kA<%LG_`Nh;2sB*?G+hWZT?jN?2sB*?G+hWZQQQcL(^MhQR3Tgfxc7&&QP(6k`XvLKuU(7K>yLEz~uf-;iRR1N<~Oo{-vdAKflG$vfy zb;;wA0hcCDlftFlS|pDq24Us{w`vz3_rxZp;`tW)#JZy5T4;}%REQ^%dWzRfN_Yv} zlmIj7Toc?Gl41ZEPfnnj)bc6H1bOgvT1B6ynI3+oUVlan)&oM!Ky5dCgEiB7943YC z4K-sre~62662d(7g0ZFM=`Q|H@m~)K_tXd>5~_Rf!9jXY;lCO3`z}~X`U*9|(+75{ zp+Boen(;3bky)u$^Nc0avqgB#*P_g<>7S5sF%bzl+9cXcO2z9XdTNZB{7pR|nL&mp zY8CaQ#d?OVC6XRzCVxGYSVp|3;RYanJ+r!J3bJkJHEVeO+(;xV!L#*cBDE4dzi%Q^ zJIOO)8<9H6o}}$WvVERtob)pEx+$I|yNJ|F_4L?Hq<)&`v%N%e(mfS8!(r$RGCbD= z(lFDrem^;lYI;%+5%K3{d4>wCaV^i^-y{V)cTSl_we5^^rT!fIo-x_1wFa3XYx4|bfY{^Ib1`}ZQ^-F zAo=;8j|9@Jsb~FpdNprmCT`Ei5d*!TxtVxs94oLzf#-T$Bd&X@zD~|Mftsw>GWqn{elnUZcqKEkk-f`ilQszGf7y+n9+1laTndww|BJ z@hrK3e?#|KW+LA|F%Scu&?{rGv1BU42H~WGa^xTUkAEAtUn$Lhrtb~^bvjFfh|FUI4GF-n866nf-W28c)`2bbvMKl>Wh{bLm+)3D2iZ=!A{{*O(>2gg&giO&Du z2U5f38T3uP#{XqgsNsuH#`X6b#5Zig6mKf0VSAg(tbF33`0}x-ymOe|wO0uh3B(KD zr|$zNC|P?yITJsi@0nCa_V<~@fBl^QdvQ}0ZWbz`{2tT-LNz5GfRiAm@@gJseiDfo zlZO|zP35JU4DcOpwlbB2k5F{_4vJnlO#B^OLx=JRVz5w=vl)1FVJg>h8S(m9;%6H% zY_A`P&p$xC01v-VHai)rD2g1<75e@gr#wvM-IK&${=~o)$V&4KR5T=l9`);p=wrzF zV>1KaGoAST(}?dsOqtAP3>TD#9xYMsP&@0Hg|=re-hZ^61I<*n#ZbjpJ^6pRnBLW2 zq>Aq*k#j|q$_WqoKRwC>4c|jWy=zcr{%Z0UkEWh|C-^_K3w?KGk^d4llT4+@9O@bJ zF8O0OlD`)toT=3Fzd(;g_!yQ^Z=K`8pXC52ioWq?_i`2Wga%1pq6)n7r|M!aY z9(jrUh+z!;*01DG%%F-NS5kEYY;c)M-{X`yxt{!@Ux+{KA^*rSM$mOM@oPT%u4_r( z_iGW~yq((SHJ~2vT`JrE2O}8(hi37AeIox0L<=AKE%7=*6n*P+;@w2=FP%X7=L*PQ zxP;LxOD6uYXeDPc37N_!T(e>-TW|>^iv293Y_*1=*6*g|ub-3OyQ9wbKfXT$)WrrK z28Rm%XI!LrgE->l;Z)QslEJ>m4Rh$07z|D2(A&h9G$Ova6XiSpOwJG2h?g%QzVu%D zHts^shSlT*i?RvrPEP*<{QH$>1~Y=e7{S5HVwC0_;#0d3|LzQfRmY5h8pR!i7!yUi z{c-?RtPy?WA44eF`fL7|-OK-S(S!r;W{w};MNWlH`M<>yKQxs5@V3PLEz;@n(OO0@ zKATAy@g$>J@EQM?%p-sL_Z0o|Idb-j$|`=DD!vvY=CTsvGv1_RH#~aAXdxn=_W<#y zCQ;|F5Ah$jSKCJ z|DIPUzfrXRCtoIBhJ^%L5@sIEre4Z?G=u*;FH=uaYsv(Q4xcNU?RQV1{!L{vp4ywr zXslxpSwH@7okGq{F|NHdl!2cOq2%hR{C{8`|3^)yWYkgmmMtKs+DS(CeFCF@S48le z=(OX8QO38C|8F+u|8&tjr~U$~0W~UmbN@W5;O8wcZi~_C?T4sn-!tSNtt%o}$-r-m zj@o1pIm1)P>CuXk51eQ84_>8)`ccfl-1_`)xq!YK@sJ&f#yuoxj8jBXa7iih-!qnq zw(O(mKlgbG#XiLU1v{Bu-*=Qe zy@*Pe=TP0@9`xOC7dbgQ$tit=l4C^)UG73oYcWc;e~>ye-lc|;ZTz42JHz@9l~br= zK7%ET$@d>}h=?WsgEurRWq{?~JOl+}ei#IN!p(0Gbjo9Up=N4%G4N@T?}Pu)mP7cT zK65)H7phP7hO(>#;3MkCUQqMY(gz_rU-d_2gM3WgeIDcj^@|i;v7S}y{u>FYRHw~A1iz@g znjneS)V6;@*=+4~mghWeBbLbKQtkRnkgU+c?uOqAE#VTF8@1UNAhK7Rj;!yJ^pgy!7Nja&$!0$A(1_He; z5G4E>dujF4naE2@iw+RUuXhzKu&J2{ycrMHTFr-F)D*4Y0bD_BwXiDT+j8nP$hZ3K z6ND}N7ynyt=PIq}75}G5f1CJr@NWAimb+%Vk^hUO?+%FSYTg!>g}vK*@0-LlV~VM7 z)WjqjjWN~q7>y;SnWoVsniU1Hp#lm5Qltt3ii)5#=?F+iK)Qe+iZtoP-!u8XfAVBz zo|$uY?>*l|HhnKVE!?A5dYoY@B*f|+rja3m=8K+ zr$O>@2voup?BG}pDa;`h&(qlP4mapfNO3S<#+X?S%QrgCa?Wx1Z^pR}G-bPUp2L5W z&Ug54)&&m#O}o&6X6$^;fu{feK7L*eA}Rdd#UL848gta4-GMJ5$cRZiyn>RA+4vVz zXR+sm)9LxlaRsc;9i#90<*Z%c%zumKzVID7fZzOm2mZVCm8&@a{|m3$M%Tb_mM($q zDY^oW{f!I2et!1%2($1hSA zq)U!FvLRh|oO~4{r8=7D0IoV_qrzhsIcBeiU$NuUK~UB^mb{GNZ#ce!je~vDv6oJg z9>>%_0R4_1)8RAVIEloXb@6jClr^)4uRtW8uTRI|M3g4VD?v;O~f zp;3BcB8g7nrw~2MsbwA(750Bz^qX|-Utw)q0w`d~S^4e zCxr(>+;pm;`{1@y@A!WqX0gNZFYCcj;_%=(uuC1bxMAor2Mx+Vc9rAWePCBRR=x?C zbo}yB*rpsK83deh%(MRj@qwe;T2NhCZj0c*i*@yLDBV~~dg0;DD*6_m&V%JE0%b2t zR}MJ9`VI9Z`ygwI4!%RIoFXU>v%dKiOfS|iv_pBbF4FgZlqH{yn8#S6Wsv+>UwOdc zIIEIw&;ZuaG1`9uS=@Ly2C+Un3MrWN(`7&?>k!=xCt1UEz=yLm3jq-<`b`i!inS#a zQZ(!E2E>kKy|)_CPP2|vo|3={PJ-_+EQqbIBlW=)!Qj) zJ;2B5=@U@;I=Q96(a#CblCgC-sokIqaEhcV0TBTxo84ZH(~P^U4f>P|XMjDZsF zG<*^e;dGf6a+FguWysM^F|;GcIJK>zTgS;>M%i zNWRlD`VtD9vT34Motlxgv5TD4bQ+d8^~qqn=5#wAQ0BDg2@Fy0bRrk-l}-<)K&f&% zw+O@Eb}H~dupTFWsz&ZQnfw90PBV7&aM*oLpCTV&_dAJ_;5g{?6{VI#P90%@5vS@C zpo}`5e}Ew-oW3{>Y0}Bd3(Og(6gndwIIU`dyDPhcZuwp8$A5$5#?Ggk&7B<-0!U`} zeu`iz?0%|4QrShf5iE`U#5t-zGuSt%mdj+joCjpFf3|^|&9?c$mdj>QMU%%Cm%*ce z{q9ou7qZJakc!ypYv54K7QG3FYwQh_r^*S^+Q^RC2yF{HiSp7`b}-cs?QDA<96Q*82uQcs(R9ytv7gxm zxXu2<2h1M!sd0QIKF%@kA<9wbry2mh&b^f3`#Jl*0Y87|oGDNOoc~z}>4ftHRZ>CD zS8x*A*&)swkz}$%oo)XD!ko*ghz@s7TMdYGZg~J|lym?80H>Vak^y3z^@lLg)6Rx( z0ddZ)^gSmyUl7CLjPue*5GB$1he?cd&bb-AKlTOZJzF3pIp^+xE!lY!mG~*nKHoyJ zUv{3q8e*#RhH{AM&e&FPMVudtfpo?B!ddudIlr(JkmIbST{_qK3vWpI&aMJb3YBC>AKcB`}!f+4ZAa+1+l^T zIZ7Ryosa3jX>s25C6qUvw^8EP?!1!ntWM__3ZcB^ta}L2x}DpSV7u*1f0L7a$5}E5 zBi(ggN4fJo=a_%N>~mH&AlQI&;|Qcd=eAG4yzd-KUA1B7ALt++b+&s`S7*$59Ua{h z&b$dolg_hc@Q8GIi_X9(mm75J#kgoLz%kZk@v9gj-o^7M=9J(ve-hGJmsyl}B)W)c zPUl@_X2IctOA{3Z7hN9D10=hsC_}sK;T-vY>2#MPc1lJwTvDHgHp^u;WuVzE zE^84q&*kASq0DzlScBMwF6nggTy@d^x4pX*^?_O9l18OtsmuHnK$(l%TtJ1(Fl{ZB zEhYhE(J7HGPO$mrc}ksB;-O5BCO_rx#KF(daU<4Ad4E<|jz4E>bFZZ@M^d zgw*b`bQsi57k5g+Zn>CxA$7aBha=2wmrVM)?zqf!U}|?=d|n6Kb2&)4NuSH82o3`- zYnFpK=yHX=r(u_61)z+$XlN@Ocgc3Z8?WpMmpO~!G3}C33+jx^#-9*$C&%d-NUofv zRM_w4WYaD0#@V$JbK1k1PYc$Ab7nu3`#2r+b?@f{9f$HDr=lApd2-Y|NJlu!C|~yC zJj2BZKAhwV*p70ZqqF=R=UF7HcJ_JBk5o(~abDXA&P9$B-3ck2Oxp7=af*&ZnZ}tr zh%o7#IRZ$ToMt-lu5g^Jpk#9fvtY~NZ1w@GxvaP({8aEr4o0m?4UgTtWQ<~%`drykDQ4k+(( z3g~d@wR3d8!lRF~gzk@iP9&X}`3SeTSu$^FDPJk4|ysd{6!ZcD(DU`9Vq5V0G zS#<`n!2*y0&KdWev~d_@`OS!RTzXZxUsb>?eFT)NjF@^tMa%*^4@wyPvp~48jHv(vmNSo4gIU33KZ+r$ z7=a$PY9@vHt=E~#2vBO7Pc)#^GgW^9ZZNAlDgPW`uF_UI$UN2okNZp@ecoXvJ`tQz zW(nOpW6bsgkS3VpFTpm+ymA=IX+}+l@eK3kdf0Yy7f|QemHQGM+q=2Hwm@>@?%asb zd$`|S2Y7I|_rQH0_otPR_H+Mwi_X7;+^1;$dUBah;BlDiP5adm?p9}jH@AYWs1Ns1 z+LwK~J=Ev&<2naG^5?Flq%?s0;3lRW#Qp6wAefu89DbqPbh^GLxz4>{hI56>0TJ8_ zbU~xIhuZo11ew;mp`+;%i3*m2zZU7*Hu8M=|ra094#JIh_hz;=%79EPCh zxrNghH;MZi-8vV!8|WIOaQmJGT;k@_cah57D}i4c*UATEaN{eW%;c{46``}ZZCjws z<~~C?t-X{RejM5|?xQbYh;nWe?duiX;HP1%oY>#!`k&`0*TDY* z$L}g2nPa9!kiz+B5}Zq%blS)+bJp%av~{+0tfdsGkQ0eEqn&+~GfJI=BF;OP5VV-{ zwHeeB&c9UWUE@>|wUp!F0;!B+p~|eB<3-OUDmWk023pBEmxHz02D9^Juacb!yQZr{Aeb5%p;^Q#3auWI> zwQ)Xw47Qt`9O^K(b2d^c+QE5N3|l8>D>WZ)an?_Q(#5Ge1xhz3oerqm9G)L2J)FrB zQ0{R4ejIR@vz|7DUQPlv^ZPk|Z$TQcbJ%qd2RUrY9)~!2Lipe3cs{^PhdE201ZRY^ zh^V8S2s-n}IEn!{OmI@l0F#`@{J@;z{7XsEG^d+Rj~ULQF8~iXzpQ}6PDXhJHdp3x zdg!-{aikhf(Qg#$j?J|@lw9{ZUH>WUm- zo@YZk$n2(M*^?RM!r>6}#TOXjF!K&QN;$&3L!DqRCjAU--b|-I{Ct?~$MDH~na9Ln z`Z0=2U>;|(?VS+)nKVjQ1DG7jt%8_aAHgG->7z|KgsG*IIF$Kj0Jf9NEc(0=%voo| zj%Vtf5j26>=MLo=W{m2Gvy9^sKq7OJ@`!WH4j(YjGlO)3Utor)7)oMJeha^gOwTv8 z|0XjZ=3@91rtoV>ml#_lq{~d-W`s#)`h(z@#*|PdoX*Umx-^5yp&~hxF&zP1VO*#Y zo5fTe05h9;lvZ*M^B$#Uxy)=dU)g!gE7bPLXPT%ODq!+nhE&M>@)-KxI8)vjhqj1` zr#-ru*-DRjN*KIZLPyK@Ujj-QJzdc<#yKCha%P%xvI^$eeNa|1_Z$FK%+K@ysG7;7 z9(N71`~xtrGyRtkvzGbmL)hw=;CX<0#@!Q?8_eG*D(vhA=6NH;CdPdRVVW6JCpaxk zHMQAVnac{;+L#|cL$sUBmn$H(Gm)NfX7+P{yUd1qOs1EaO9k{jrk`?NdmppqI;j0jMlHkv=EGMY4Kic55p9V1h(6(c zCSn(q!_2nF03(d@62={6nu1^(WA?oR7-u{v&7EL^De;+Pln+3eVz$wvtZBybJ79*X z`mb1J4rX97JGpK&ysMqNnGW<_+==JF+0E@S!O@L7a0-$;*N^(=d${jY=HkKqXBZwK zT<;brL%Dq{P)>3;2SWOK7_~#f^7^GKPCk1u2%>mx?H- zxt|0;isRakJizer+|5IP1g>%cv}d@}RH&WhUbzWKtM{~mfl8~JZ>r-Q2E@KRFDd|)ek@^?uL)x*T$XI1jH6O1uB4QGnERC@q!I34bYhKiJ?I1&<34Gm{AZk-Sq<$3H~2AVC%Jc`K%L@# zdKS_&w@8U-Gu+~xkX(6p>9*d*+q4>a#qP;_;xmLf#QQ23u@CbOML;^j>!ZTMi+AiC zz?)Y|-2flnBoEP!@>Xqv@)+;KB~beE?z{*;KVIue*pBm#(01j|i={4J0Pl%*Kp=1I z6(~>eWZz)iAl^&Vp9$s#-GyI>owtKFj8Hg2Jjt6wSw|S}@(Yl{d4JpoMDUVjaE#>n z&`OQsMbiVrXr440aEj;u13uGfo}TWR1YR6HOi$!}LZ|2j-n+ChCi6a|lI$|Cow^t4 zyt;)5mce_JN`*|GJ?axsukgxgrdd2K)pFUq2`iL2yyA}`Zt_B2>J2Eb@+!6hig>GNV=d-wdmmB>?|D1?uJJTpP?qvuu7g7v&-OfGmh%qL zhFZaU#7=FVO5X8lXsdYBv4CpcoGnn+@bYwk>%611ZPoJD(1}^c`!gOv>v_*nw$sS- z8icZm7x_OxGw+oW*jjiiB=BqHB~o70#^a_!d6RdX25RT2+#z-FruX1Obn>KUX^OXa zMO`p<@j~c+?&j^^0JzQTqXt?J?<>0YcX;vV5$!JTPd1>J=V5{WJ>I8Q`1SEhsUqy> zZJ_OHfVX!$D1*Ex%1DNIL)13B&--X2q+#BwRe%xR3c8O+d395?{>FGWo`iOsw~xxy z30`gr<}}Is&L7GtUfJi6rg@XJ;%0c+tMNe}@IwDfW%%2QK-tabH^b(}522=>JAcDj zQ1dsx$NAN? z=lk=!H$oY}-$y5VAm5J?q7(c>g@7RbGtr1?59YrY3Jl?&rNb?hZ|8z~lK&%J*f4$p zbrHk)B^j7g1V2^=h~zJzo9z_8b1Q5y{PkUsV)>%w80j=Wwh_uWerXYgi08-Cn{x^L zRg|Zn;fI`r`&s_#oO^21&NGmWpM<(tm`iyGeqiV4g5822-?WsMYn7d|F;Y%oB6RWfENA=>JYZ_uk6JLZTx2_ z8@clo(*z`vJo zYytBg|Kl7e`}nu%bm`}RhKK0v0sde%Y=itO8{jv@|BX7L_xX{JgE`D!O!d$Re_N0p z)KUJL2pGrsA84Q*=Sye-Oz@@j7;%!{p90DhKVSu<2mFy%NIL~xv^DG#xX{M9U+@M! zOFbZv=-_@(@DY`+o`Q9BmmCsw(4ssnShNz%BZ9xOVDl1)MqxWDSUE+{pN!#q>}=_oq#Yw zBt2{i7hHEnln8;F2Ov@~M3*T_5Jew1S`e}y(ka1j`|}}bTOhO2wr><(pkZK|A3Mxm`k7VoZt~kJkJaKDRoK`oTDDhMZp(T%_j?7 z$st9MIDi3D1s;b%NfXSaTOeJKM(vIa!MQMiJxfrx7@Taul1PX-0ySkzxq`+c_-F-! zzo?`x6ug8=m3>t(d>YCkfr@sKV!;yHtV;w7zk%|aVB5ohQo-Z{Fv|p=QhiY_&~1Zz zh2TZ1G%E$dXW>vKVAJD~YC!}&vaYoYZv76d6Ie`etQXv&`>H`uz5vul!H0A>H3>eY za;#Z!{yd;nknsVaO>jU5TZh0_3aL}@%TJJQ3HHz()Ft?kvXyQ@$zjC2E!ajiTCbpz z%A$J$brzI;f+EU!`UUnmbczoMbbH}=UvPIfsKbKE7a@%Zw$X_h3UF1JPTPBl(0&TiHK8w^+oi(obbFNv_oqQwDRid|xk~6v zM_sk>+wU=MtuUT?6?MW`T1NH4VTyS}IPY%+YZ4C7I%pQ&xIp=5i*PGdg{{KFlumXC z=s_7UGKI~djgIrf)?w)WNwJiFCf#;y? z7apb!Za^5e1TZL!=tk%v;kWsK`$8Vgeppyc_l12-SU}yUap5M)$tQ$!c+gG?w{L+o zB`nwtXQB*IK7ep)VT6iRh9-*%1MbYzAL??@$r^7f!l%)aZlBk-}!ONl&I(Jh=<@CTR zP4qG)fa#(Ol*(j?yxXA66j>r6T@k$(gP2*Oe%ctbMYH$7mLsxUgL|$hkT#e+(Ua7d z%NKROO8u7t5$gmvg`)IL;9M2OQ17-#G_HW{nrM)cmr_vxWd>!Uq+Nh=(UXtBRv~gq zhEyr~U>HHGMAK*BS1o!w6;WzLPc4Vvbx}?un6;w)E5NK1#eWN^7rlHFlpCURyXgJ< z2GNt0o;8X}%Asu%b-WCZW>IzkqP2+Dy$xs;*_T1tCNj+e^QMR^2ega+qzls_`jO6) zPEiGI4Yx!)M*&@;t@Pz}i{=}^ye(Qj0ZNbPz#DM5Bf9U2n0H0H+^GN3Ct5|Vhkj9c z3SdBV?JM{Xidw0v8xpChOL1S6NpIT?i{9A=%82N1+LA^^-_U+BCR)D{FfKYu&mJd4 zv(f>RqBHbpdP+1v{g-LcC?$6@qK4NH`hn;SE#{r#w?75b?kZj|3%E->{5*`i#n)+j zcN4!yPqW;`{1({uh?lN_hlluIx-a&M9~L0?KJf>;5OlxzrWVWt;+XG2IVgVrHY87R z!rSmWB>t%%N-uG<1d_M7=6yK$hpCN5ZmbFIU~Mu29&enE^0EK7mKJLctL!O(xW8t0s0OviWSd*k}O_9?Wz>< z8rr2ViM{tix-5R}3@EAM1UucxY2q_VQX@AZT$I#QAOz~2>qF2OwE8vkOR>=X` z;>ag4!yNH~eell}2bjRj6GxSUnJ?ZR4P}8ih0d=+vEv%RRk4D)s72y^be9y1J?LVW zh%ZqoeNFttdv*jZ6?;0tSSH^1KK#qYLMmt~#Q&2ZcBOcG1C%x51%>dqE)Kj5s1+M4 z0CnOPe^BbhZv{cRA-?q&rq&=H{~c1Jc-?G-ZW4R{4ob6liY{-9*sB9ltN7UoK%022 zn)1(^;@cm?v0dD|A08dzlVOlL#gQK1+!7x>1n3gKeGx&s#b2^9wcFywnSdVgyZL}S z;y-Clcg1@sAL|uQe*x*9cw!9DCr-^l%zm-sW-tfDS1*DxDE8MvIV9HYqV;!Q%y}Hz zQSp0pvyF*EXoDOVFWC-{3Gv(1@R<}ppanlA{`VzJW?HOsjnlo@zR=H{Vs6mo=Ix2%D7ppMu5Xqgl zA%#lBl&GJS{O~Xw!z9P3%@!_MOOy!7kKKSsNg0)hQId6(=|)T5p@+(+Bt|-bVkE z5=Xxzx+2;0Hl!@cmyVFKCG+eSIOa&o0x?pqgn0#!Cz-zp%6v)FM}PuJ(?$$>VC+N+tW=fwD|e#KjQhlD#HCh2&`}xhf?w zO^8_~x#kV2T5@xt9ga1U>hIxrU2-=bjfjF4&qR3UBx~ zOMauIrA4y;2Pj)5HZCY_k{D{>-;~UyMtr*@z826S*%<{&rzGG-_}!A6y9#EPq>Nsc z>y|WJrt|N%>%6dT3 z?T#4^N?xZebVzc&5tRFqx_4n4mi)91(uhQc9FsjNai{ZZOk$zl*|_8}n)Za`%mP|} zlM)j>%$$;#=-_-HnL}ZAN-u53@UGHPs{eLLc5(ue7MbI{zlJB z0;J8#%h#q#uO8bHk^tAN1B-rAlsl|YJsiXi72~zxa8>>kw zq%`!bbSeZ=qV$b;ggz&2p9Y+ls&0dML0VObC`nTL3?0!IrHKb2CQB~`K}wM>qT=C_ z^pOepUzUDE#e1rBAEgv&(#2{p)1~MB03}2EEA4@q(&>4a#T98%Ka@GrqIq!0l}f0R z$dj(4wqCw;&VLoPH0yUrh0^7817DTO>>px?B5A-U@Gq8n)k7+gy6**ClX58;E|nJl z4^SrENmsO7x`__O3MrpT;!0`x6rf7Fl7pbt(kc47YNQF2uwIvb{1BA2(yey^b<$6% z8myPrQSNd>`t~32Ymjzqq^sR1J)nR`leFkvXq%<=G~pKMcn*}U(k41h+ob#Gz`iMU zUk_WSw5S}?EvbPri!NyveZk$*zZwwiwsa@Ww?|q^S?nF@&n8grN@b70uUDE)>B2o} z@D!MR((RAZ`sJjO{956?v4r>8p z(ieiE9G7NOlW{`oK%2;9~nCka8$OJ4v=HAV=RE(R~D^B z7(dzF1MoO5iz$MKzwEPr0Rb`*t+7B^33Z50$c!HWf@H3}a0r$q&x0*QX7z*=D(j%D zeNqAu3mQoCH zQC2_)NV2TuUrVYG-KU)EmaL5)40XwjR0?#<4*0_H zwk#tOlpfh~>IwDAnis?Uo-89DQlG4r9#8el?leF-AlpLe)u8MQ6eoAIMhg0XyZgDkxp$|5E31mwX*<6uaf_^uDv3eCP+*+~pctjeF$k!%%w2=dFU@ zUis05)PLD0k47EH-Y-|snQ=gVncjFhD0ibS>|yzj)Mh&(ulxt#CI27_N^ki?RJr-c z6R4eXRL)}|_A&Wldbr>#@2LjGPhPzo4#(xMQS#w0Z+{9>fc*5EfIzvJF6asQ^xtr> z2gzT~#<;<95w%Q0QA4N|FjGaF>(!kd9m{7^YA+@_o6cvU3HmTM_YsvLi04$Dd2 zO}Ayb{7Jf?8S>ebKW55be+mv)PBa{Ih)P;=!`)U3~w-={hu zUp_%Awm=^A3v7k*cRqscs=Uz|Qjxs$ETC8(mI40~dA1F)ugUp8gHkHrb_9N9@+FVM zRxbZ=6v`@j5-rMV`I|(kkxOK7zb@ZI`*N-Po}G5sPgk^6{s^^w+vKlCfO%7%%Y|RNd@COg9rA=zpmfSJ z-v`{1Tb_loOKwPkd$+uYwzAvu#xh!eJ@Q+5(B6@YX)C-dua?52SKilxp!ekK4}jVy zUvU(ae);Yfp&XE3rowMf9{DY7!}8Wd_>IWpDd?#DecH9g$ZY;KCn zly0~ytW=!sQG6gnP!Gk*D`4(b?4TQQpQ3pwDEk#-9{>(0x?h0ppdyP}oSur&8U#C} zcy%|FhZWCC>HV`Kibn@v^iptX#d<69P>L&b8DcrWgF-9SyT{Bi;pndtYVw_$_iBkj=0OA$z zMS+r_cs3BWGm6cW{+?B^=;?N%Viz5Q=M;~B2j+Q2U?gl86mzT?C`pmB8!<216_=@m zxun?tHmH{sJ7|MURjj5QGflB=IXLNxl!u|rP~7|yluU(*-d4Jzc%>YaEX7hvkFpiL z^m=QK;>RpNuHwbtpv+Sw9DtOsm`i6&f#S-a7`IT-N6Fz;#hV|(y+~mX9|osb5fu+8 zQ50;1_L|~4)d{7FXX$<}Q*?-7D_0~9BWQ&pHxB-lijGxKRw=GgSyZi9R060`JSv96 zbwxVu7qyBx_d%&sY>kDjL2>2*+#3~(=+13YJa-?;W`)+i5gsjywR@m#RRqL9YExt{ zhICUA{4m0_D{e% zU6m(jPuQh=;vu;2R%X!d;ig{M#(FAMs}S^%a$yfBhn2x}&>vB{{|=>>@@dKpyp`W{Lh@1OYGFI7 z%)ACTrhGpg?!L-nXmQ%ve#+$6F#K_)wg6Or<$NQSM;RPOs&5#<)aRW7Oq@pfHFc^kOXF=@-E#-QOX~mL+EH_z!6BNly0$L#wcH- z7AtfYd@xTQTYWuG)h)3v_MKx{*wc^q+CE5#bxC(T4|}u>r@7&DL;t3Z9W)2N0~!!8|ErU==9H1#?xk`Eo!-St=Y>#~DCc|txTai0MMtS}8y$*e%DGn{l`HEth*_buzx50T ztW=g#He98=^e>=V*|r$U8s%aajC5TYqzBY0jl=M$Q|8wqXuWa{B`r6UU%m*32BpUa z#BNlI>B2NA8>kFyR(9`%UyJg~6iBT~kDah}E1hW9x~sHZfU;j1Wv8HH%5wTk0TW8* z8$_E_-swWLDP;#83)9Li)D@Xgu6zp02g>GKkanu(b;Hk96+sp1F4bLnZogZ#C>lZC zRNvl)(p?p?3=|L5^4Ae%uWI8`*!HO+S3$X7HToe2I-shWruy%o>Ov^MQVyh# zR@FWS)N`uu7a{0*m7enS3o2_UY)Puex5JjKvIId&QTn~fiX9pZ}RPRtOk*jLLQ+am2%9R>&1u8$vSPNAr zsR?{lb-NQ#topnNVMR%ILqbEo>(O8{53eFd0@)h`MG zN7VSIq;Prd>c=Uu@mBx-2K;^0yB>jbRQ*OfjK|bd8aVo@T|bB9r_Npu$K&cfbea6s zFVf?l0ChYiX@TlDtKoM-eVz8s5Oq1-I-%+h{)GEUwF^C-icrg_e;KLvrn@sr{Vw$y zqSf|$)Qdi)uAzP7v^t2Ak2tly75?$+i|=9F1oc*`j?Sn1se7MZ&j~<7zNc|U8Qme`U)#{iTK#iJD)%tZc{#*j~67~B*h*GE4)zkW`SHE)&;th2G zo#GAZCz}waQC&dWdy{%8Wfslq8CrNP>LMk=w5r#PK-s2#iVfvWbr)?r?drUrF~bh^ zkRG;9wImzNTWZ($Ve3+VLk~}T)B@V4?x^Fb0K2P>`kbCW^r}}|A>LDeOD9*K`Wkic z`_%_&(;ZMpQ?x<#jt@W?Qm>~c-}lv}Vgwyl$G!u~h`N3~U{sxY1e7uL@KM;t)tg!n zY(ia3W&X4}f|8yYbs`@#e4w7c3bvh^BU@?xxoVoJ=deo?=m6?&O(EqlZklb>tasO} zO$2qXrfngleVWcEF#LW^&c_IIKw}t!-$BifbS8Uh(rKk0(s(Td9M+Umk#s~eOuu*a z(m2qAXm3sI0=WBVl3L(*RI}}0#IzsNJo+}Yz8d@)N8IEZF?EQKYs%Kc!(US#jxYh5 zb>ARNpeCAj@?g!DG(d>P=MHS48rw7+PHOIc4G7a5E(3&Xdg&8JXtF5lh}1kqUtW~P z!}5B zRpE@?JI0xoO5qW3>jHJ|+t zq0=;B|6gZ)GAFF9R$^yZ!HK@qq%el<6hU48{l87>8XLOPSZg3ZoMXfGUOYYEGd)? znm=i0YSgU$7SN=*Rsz3UnhNR#cWIOkV0LTXpf<{F&DVZ#@6lBL1iw3)io>*{-qpPF zI>cU$H|;m~G?zo*(Wj}Qx4Zf^ophcIXf%5fdr;#|U&)ZB@B=XKYhI>@pu?KD7)T?U zC+RbdYHqGWurZCF1Te1IN>${Prk(E2X^juH4rVm%v>`vxES{wEZ>RQ~aRhbM&Y_fK zm$sF5&E48}>74>M?I?X;?%Mn;IPTF3oxt?a)=)EPuhvehYM=H!I!*U$AEPG~2ec>_ zuqw2BsBh}24WVk`kajCQ>^iJ%r;W{9n?=0}AMM3D>c1S-2Gc?~roEa6qp!C35@P#l z*+uX;u8pKVroZ-|^?(4aZ5j}$?YaTx3GENGK?P~^OEGS+)@L&uLbS#h#17R?^g?-3 zJDYa-Fzo;v%y8{GN?Ibce;frwY72fv6#FTyBi+a`+H(qsvD&|yU_7n$qe?YS`|&4m zjMsYo3P{kV(mMfXw0oWaoYh9r)3rqH)0BLi)6S*z=)87t5#WOMU20b)X&2L_xTsx9 zuj?gieJSTk(ducJy`;^dyE>tb!f6euOy zUlstaY3I;OK&4vy7a90yWm@T5pq6X5(}$_hDk(#+*7j|KvPL^h>Be>KpOhTdYIoEA zSf?GKovB{?D%}@1w2yCt)S%6#-z7F`qv@rXChb#8!EDw#e+;QbTl6EKRlD66$~Nss zbY$GreviLDfuln^L78rcb_H$yo!Yf4LA|9td>+&;ZSx;c_Gukxh<b zYW?Yu8Pa|_0qMS0OV?*uyZkLEN3^dj0E}us_zkvkEuXIag!TzK|0cCRxgh40Hvhkj zW?EZJ-KQDt$Nlhlpglo1>n`2ARt&gX=TF6~o38O5l%Uhpv@ctb27! zsAxE#lO+KT>XhXGPo1+GwnMrUYBU|zB~W^FMCU?F%uBb>2%EQVQ#ZYT=A&D35P2gy&j=Y3F*>m2Du_SgM63I71yqt9TzfjUkZl)<{tP%uMuvO-9q zx;N=mI;ng82gD51z4JMg;kp1iVhmOwSWv=CUpWb zbvYN{ctzK{8d8>SEzJ_}b{ z(5#zFjldS&|6&2HI@!B`HeJLp{BG)esDaq7Tf7WXhwd*24Bx4Hem>xqP9{dME}h~C zhVRiW3j_0x&Q1@&dv$jGTL^PcSMwnp`*hn2Ar0t8=&B9sL_dNvqzn8D9>cno$*_&+ zB8vf|y6zo-G2P*ZF~qoT#{e8Abj5VWP3nf|osTKq!}LsJTF0f=24-|))ckVQche@c zOaJOMIJoJbw^QH8U4N_<;vW6ZhcVJ#y*J&n`}F3Aq1>;3KuzF-`ZuT(?x}x#8n#3F z8M^3)^%*lz9?{zT(Ow^|Y(D`>x z-#Zun=k-=^_$TSFQfK<2e)E^`NY?vqfHFn@Aw7w@q#v9Q|5W|}&epXf>DW{a*!?>Ce$7RIXn^rD=tJ<1RpzzF-8(YW<~;A=T*p zX~op(1E0kV>-FKZq2ACxas*O?{#%-FqyGH>gl^W)rmVrdZ*=+OW3DwLi2w@gsp(!WmK#V-AKYhmlwTmJ#{=r>iuc1OR`2-{u#xnczC)n8J< z@19=07|MQq;~Xdl^dmIjpx!GTFrEo3^%v`59MO-{^&QnmzXTZ5|9T5Bu1_3? zZ9*Ti4$-Fc4{wHYT7P6V{AcvBagbaM!2t-f%MkV&q}_&Uda&eXSYg1N+zoE|aQ842 z$Y9%R2>b1Ij+YUMh?hN1z74C|Z`?XY289Q=+caIzW3aKrC3w@5=s2RKoNOO#Vb8#sZW#2W615azUD z{nL=*3|Di&j5iEZ4WD2bdkV_421jZ_B^sjXt2}3T(E~Bh8(v=s>4G7Jn#UIn^C$^V zHUv+@FU9b*A2rP{8FGW6y=;g$3rI73K^bqci=AVd)^0*@l`C zFmnvwd1KsM!)59j+6`T6KE94l~}Rlsw$1 zreY=1xZo80qKqB&_(;*l*LDI<8K+-@GRA2CehlJi<4aUQ#Tj36hBn?feFQ-hj9>f% z>5Op!ou7%u{B9`E8A~WdJ#UN*hu;O`V(OzL8J*~8PBxCU!j@v}a{*j34t)lM7NiX06s5nJ#!EDrE5>>)CYK?!W zdb-wbToeRmhw)WPMLLZeXx(=iAG-(XwlV25*m{iF7ZBx+v7-ypUE_7yLVJxdw5a-w zwFhba^&1~rfN=+m^Qn3sG=4w_!I06Z9n!Edg6{kgW6Yb7MvYH&z;VpzehEWN8lQ9k zOc{TH$>f6Md-li3oV7ea; zIAgj*o90=QlK^nubnhrA7fd;9L`gC&ro{82>8WE-CfiN>wqe{9)14D=ykvUSA0BC@ zX}Y56rba3%GfbrhP%=&N)WN@E>ZW@q%an)gft_u7gtEds)5^_Y=9|iBe=RVb`yIAI z({{@9ubKoq;80{Lrc|%kWTm5~)U-JXP-e2fv=G#CQ(G~N6{fGhg-507?F0m^GDXEe zS#4UK3Tln%5bcQ9P5HD`>P#>E1HXDxeqm zaBnfaOYhmWn&uscbkiiGJ)zyyY##%5m}Y`O?KBxb0^Bl*=vhpc$%D$kZj<;Yz-^P$ zqZqKqRQoBy+%*~K0P8g|M!-GOK}u2kOvVRr?>G7Rz+u4jYde^OreFU{qD`;SI}rCx zX_Wqsn%2@2w=q+skn+!Q(~@`LKVf>7zS&7rFQr~nroW$qa@rLC4LoK{u5{8qFx_7X z$<=(S8?ehPrdITB^P)VAFIu~IMn;j{mIb!Be(&S~{GYcNx=7)Wt^f5bBL3zx)lHM2a zHP=}n`I(Icfa7Kj9Zvq{@K+Hmz+6meN}xHc9nuN&P9H#!d4LkBQ1iWh*iM?=-lO#w zX0Cc0{^4e~{oq8H3m?baBFzWpK^bL!e-c5X&95(k@|5|hE1<-hKcR2^w7JI3U^{EJ(Cv|E&ZC<0oH?Tq(gkzfCWKBh3+Saz`$e<%zpBu@ zcRf5(%yD#OE}6gG4eDj{I%+7Sn%B`ynr6O0H$;ZnPAfgrY>9+)#e8EeC|TyZ?FgD} z#=o9|joh3oLF`;}F{RXb=1kh(^3B_yf$ggKQ+iFQ$ozBC`G1gx%)tjixo>v0(_J=fe&Kg$N6gt`3^8hMrGjM4yowqb_M>$<4w! z4rz}iBnW;U7R@JM?zQwBqWp88WfN5^`z^E9LwmrY{|wZFmS?Fy=V@v92FgPg>l}nR zV&P^%^0Kt=$3(p?e~{A0;Eq^}=h_bvg z1pjEuDNn#D%W~SuV=UiL%OckD!VXYQTVmH`MDGinv2>Ai*0QJq%tT8Q z?ioA#oaNQK(4MzEOUcUxOJygdB+FDU;G$&-y-b&ESx+~_B@3Spu*;Th&%%~!`H8M? znq{aRGfcPqP1}2h<>A+$%(VE@DSXA!NLMS%@-f|rxfbahOfAn6yakYN`I~l2dx51Q z44gvCFwNqsWzm<=7Fn98*H~Dd$ z7FU&J7hSDt%eBq$tFg>VMa=7#?ZuGlEVT+q^_J=NVBWCEDI0CDTekj-FpZY~Nic4c zb0a=^GHhn|;ASnMZgQBPXNUV?Vo5_t&Y&RBk; z;_iWE&>KNrtsBn6w#%v?1njoXP`lXOI-B;JJyx$5LGiGL{sM=6)}^xm`>kJ$0}fcz zg8`n_#sS1UWGyd&bl9p*hl7{(>-XXAZ8h6z8T(juc`zQccD@6xueEzOBtPpL2VwKK zKJ*$q0<63Zm}sE&hZpd%gRCy-`?7TLxz$2v(LG}pQ#1s-|UrPEO6Tc>}4M}f7>1ZAOBd>^y8 zYF$gu21~3TZ-MQaHGDRp)GDKvaJe<+2l!Q3Kc~l9mDVsy2dk}j9s#As+CoXsb?fFR zK%Mo^KC1uft+Q^xtmI%3Ic2>RNSM>!^Qu+Zs>jL67y`4g|YvWjzL|*SZ)3vhP_R4MVVg>k==3 zeZXqU1rAzw{0qnX)_)TL!`8uTa2&DzkFwD*YgP~_U2RWMy1vWyRw1V5W;;R;2i$FKhal~-9i&oVuWjQnV4vM~pFH;4 zyy&F$w0#hcpoeT>U%}(BZAULSUba;-4CrlpPYv*~$-cvY$87#|nSE^@SK;SpJ6H#% zzpdH;Wq@rtACy4bloHAy+vsgTu;2vUojn?}~TR<5oVK#d+WdY%~xsBjN+CHP6 zdz9^w-ylWX^wiFbvE|)`GS*i41^iFjo}=VH-q!RA{1a@MCfLr{nrbkML|Y}bX3yF3 zMj@TIg|2Li!K3_*@o$5wsPB(2SBN?eX<3iD{XcWt-mT8L+4So&7%#`YHU~UgLB>XX*3*b zZS4X;oo%!m%6i-A4B&<>h68A@t*1J<(U!9jQj@K_1X7D_=q#Ys7DqQro9+G8aKC9Q z48{oUwm)THcGxEARg+GeW0ND+-~Z8c-EmPJUE7(vXrhTGn#5={7Pc?j3-%s0)@Y(J z)r@f&;n?(UFiaq*S3zogV}7mbUUEMR;~uTvGwZ)gI3#iZ(4tEZMV^H z*k;@E5+k+Sj_w4V4%;*xsCTvrv;n=h6`U}xgV^W^%1NA%4;5#zXc{ONaRTLIJH=if zz`#|!a1=D%#HXkfcNhQg0Vof#ViOEJ#WVgpp%gFE!N6PW*aIq7AMw|;?tR5|-9Y+@ zqiE0Y7q_?r0>m{`SOkf?J7U7Y;tui_B0fh?NvL>03#c%$ZaY-M#hH`^M~DL{P(+Hw zm!T3RUPYaY-QpoCXhw?_)OXz@&Z9r4ixJx%gJ!H%oJS44IC08WkbA{S^rUR!#bP=k zO%U7Co{=c_^a3P_)jxxoEcVy~%@nayHXv2JVIi1lVqXVbVY+zBLrf+^{Oukv_la}d zL1l_}P)@vGyl5K?4v5nzzsM3FrL6Fv*xKbO@Q8T$DCis&|4kv{n0Vkis2&&RY=-QF zcq3H}C&dTX;hIi~zw?LF0&x@_;1!B{(tckg-bR;pT0GAg)ERL_JiMG0@1GCzVzDw1 z&d!N#Y5koS`y>NO#B;)-StkC{>I~J3;=qX@FNyD)0p++CFsu+y`v|g1F{c*ZWpT!6 zP*=r#GQ3?A2U4@3T6~6n`x>zy-RGO)xmMWS5_dfbcqCp&vwtk^O`XSD@z%aDcp~;3 z26!s=p}yQRaZmu|pU=h0zhVDE{COk1y%clm=G2MDQlVTg?n#F~uf*QemS_+!90;mW z{1c_m&Enm(j9bJXRfG9PoI(x6R&mLXaQ0Sgrel{j@mD{>OS|}a0aV_JOA-O^#fPMj zIY?|eQ~v2F`NRo^PLeOah3ZbpBFYtAC0A)5bC>v2>g6HPQ47yg@+m!mUXo+fvGkU# z5rOiNyrtOdD_KLCo1a8!fTq8sWe`*XB)xs15-1t*1XPG5n??wgY<~uo2+6nDoUAsx zB~Pd@iI!~lfN+mQV#eHJBwtb;7Ax7(AF?=!!ylmbN`_Nth?jW$2}qEXP%e=uiSCAR zlOzwXV2ET%*>EsZB&tF%Qzb$4tY=6XsS4dEX{AReQ{qFe_e=7B0MmLv;z8ZiEXm&e z5FV6xQRbH|IZkz0t|X75ZJuN+9e*5_Y^2QbsN{fXrw;WFI zN+Qny?n$Q5>CFR4<8>H5lr;VKTuBaZ2K88SmKrusCI3)z`AqT?H7B1->L_TwkgPre zm6wu-%}}Y6Jf}^(Uh*lGMXw}hD26pkKB9AnCW$rt7z|%a44ELCB~RKwy^(zH0ynLa zMyhb%N`k1Q?vNBy?&Kg1GQp{%bUX!XC#eGkduORV?ISMI8PfqfrQcDr!BuMYho*-# zos!uA>CF}}cS$d3K?O-4KLr&mZMD*0E`&(qs5%Rkeoz35FlotOpu(kFX>CSI=TTM| zB~`wL=5A?kKR~qfQ*SW$NGDS66C+KhSMXz{VN{UBN&ows-o4ViKF~~%K1l#GQCdSc zHc5J!s@G(x@E6Qeq`DK7;HOGIr)n}yI;RQTbm@Dl8um-4^n>aF=}2n-WJy~nrW};| zdRBr>#LsCf?>~f@ga$%4sUE&AkVd<PDo{=ssD0P+G`EmoRYRvBcf2co!Z<*(g@0JPfO!z<(!qS2?bRwO`|^5IjPYE zszkbBA2csWzoKosR5~UYnq|@l^mJa7{zmWeR7x``Q@t$x!WqmesjmcfSEaMg1FlIw zhil}Y(pNM@jWmP$)HkK8V?o`L*3gCCk-o13byxak9H@KJ8p_TdN~Mm_d?elVE#R@V zEEBR?Y0UyqPo&k9Iz5w)p_2Hyv=em~UP#|e1M{WyBiixmq)xYBP%kYtfN6atJu?kD z4ble`Od6#Zse{ohb$t85ch6rQs3>6nz4 zYz{r8zOs{jq2nieMzy8CY|v;J2FUJw2#Z~^^RyZRW#@atAVl`dR4_wjztZy@ChO-7 zS%mCw6Cg@9pSH-|vURkNM9Ze%26K;WGUaNqvfC7y;$+t8^jgVYS-%r-6EE934ctW8 zP7aGC+5FY8PnNlKhf134dIMC_WjhuEGGxi&fPJ#d-2j=gb)5kFWjhDJ;DBrf?L1ks z?zG1pl%2ltI;q$wHokJS@wi0GBUwi-*M#S+*;v zqp}rLxgC>be+rf3vVP;hJSBTh`*ndV_b!-)G8+#-k?i(Rz-ift%vdBX)sF#(@h2|^SzqAe-WM9!v+bBEz0ia2?l1lN{ zvdscC-^e1rq5ey&YzY-hZ)K}PVbLc0hO*gq*>Jku9kTxMkiC=nhC}vVHctz1kUyFO zrlUOXI>1T(moE&Q<=K>hxyaq=N82giM?ayvT%g8_mwXuIc;50qwqbZ5xgA}gul$ph z(6su=Ewn2A!87Y54aWzVQsR6KCZhHj~E&p^6RAS}l)`5zXJKuxry;iw9B`@*v zGqiIh$je*cEm_{@KBzSL&l91OE_bG;OoseV+9~(R>*+!>bd+{E2tOp+n#`z@((KkujK7i zEi}kKTZC~N`xP=I3Keavu|;xWCd z5~%3+2$~^^h4ie3D#lO<4O18%VTf?WWChG46yF{PL@FLoqbW+!hnDDW#nR7U7p<5@ zt%p5|m<<4HjN+pmz*vP7RV#6d4Gr+NS8-`1EaDXt7Q-Sz@exIzWJQ)+BA#}R9K{$J|*f7?xO5a6urvhqFsJ}F8O)t#xzE!03v zQ_4F-C0!ZgfNRN6`XV2*+U!#frN%&}a>7ff?pGf1gz$i}o_Z`k=2h6W3ed)QlrtC^vjTY4 z%De@j9xK1Q4D(uL_bjM9QMUa9c&Z%j0NFET?t9GQxzcnB@IqOA6`C)V)<8N5t5eR~ zf|2T#9ki>xQo2%A)}Z_%7&?v0ceK|uDS!A3)NADriO_6T##1MuMcKO$)ElK2RWPl} zRXgGAtuls!eVfwjB@Eh?<&=GQD2rcXG7hT!Apl3!I6J5~sY0!kdO54^Z-W~bm8JpQ zovIwVZLX>>o&nrc6R03@S2< z;KEgdsD_VFMYzLTq)J0&bCl|DdMtOV7ONnORwdFOkL*!3Q2807+CiZ(R&|2*@;Fsj z+5z^eru_nyc-6AWkR_;;v>hd?p7y2nm!xw28^rA!4kL*=v@zW1vxjDf`gRZl-q z*{bmqK^;;xQALoW`ui_9%~jR80PuBNUQ_ZhjiDDs$}%1LdR6U z(d>__qN#&-Lgh#+=A^2v8}&a=sq(*p(*jj54k%PvsjMneHPcEzt@?xxX3wZjEyBFd zszPXO7OV7L@O@6@HWSo&)o{uqOH?<prq^3Thvk#r<#tx|b($GBHi8$N~3Rn@@ppsuMZDP_E_I!#CXH&mCYY_3+xdV^V` znlKKUH&wH#OK?loXB@oUR=uT+*S&K{}~asaieeOsaVMD<|} zRGzAaZ2|L{RaG7U!{@5y(;$4IN~W~%r7G=f=+vp4=%J}srELQ9l`1G477eQWQJ@-C z8C26YsWiKBO)aXv)O>!UD!l_)t16T7leemYhvBnL6-fQscGdX*_6k-15ioeC`dSHt z_bTh4k6__om)sfPXcxT*TqiqEiYd-^CpH0G?0)(Jv)F0(B^_M2+D)J)4yW#R zHz{TFvgD&BTgt3dhKJ*VBl*KWQo%>C?o&{2-R-5*qN2HFLsKo(@D`UPHs?Ydb% zf=-BCA9`6N)b5chgkg4R8zGFe^Zx@7ZC5uQvRJ!=6lmh@O2uF%+0CLhb*kOGQ!vP| zTTA=qe!K4ufqBqwGnKSCb}JLXJZvZ10XS;cW`XR4-Q~`Z71)g)0_JJE=>O`3V!PJ` z7^1{ZPO+iP&Ooh}a=QTPGF-Ovq8#R?-QIs-am%iv3UJ%5kam!Jc0-oI;J)2QRWNv9 zC!)^(L%S<06fMu!r$cD7WsKe3xZ&(kxz?X$pqZues>pw4b{1FgRXyQa@!(PZaO z@%gpgj*SpD+jYza^Nn2~?U=20r#O7SwY&8XytUaq8Utvz8$l^$uhC(%5T}>rwggT1O zmm<~k>%ojtf4Lj>(P}^H-|SH*{SNyWbRHq&weD9>KLtFX7SVBDmimMRP7kV=Q1X$j z7SU2Yq<&4AMUMKNH&k-f9i!niPn|{$uEXj83N-oZ5tL^fQL`XS^r+gO_UmKno9Cc% zT>X`tgxQUP{SJ^2x2r_{^n885J^tDa$qLUqxQ_VH=7O4ShtpE^18U=xsW(%uc2T`A0kTW#I$A2_>i%?SRG}_S15~Py zR6^y7y3P$MSJg8d;q02)x}W-j*VTtrAaAJksTii3%sc8Vy0p7$-^H-Ir*@i+GIz}ls>(bx z%>i^`;i)-C4NNc1zo!A-nrBt8@X>6eNbavOx5F?%^Ggp@J#l2MQy(^TCB^SEXZ6-g&G?bPc#rMY~%6V_jmrk0YX)0!5_ zTFz*qDF&8lEHhw#QIktOrAwLx;{oNG1>ulYYQ|7Q{EEh#nnBkz)BXWeYZ#SGHJXw* z_`azrl!AFn^U-2>xu$M@ooNv}?w|AXS?^6wEa3nUnC6u6?`*BV}kOmVvoX8}$oR zGPQsF2x`Cf{4J;)(r&OugUrz)UB@G&y+oy6p4MkMgom}sCt;tj9ZV+{N3?5wFw#+N zCS^Ovv=yTP1=`LVpjoKxmkL>twzU#oPHWdvv+s=7`ze@bwJ)h=Db}vv;VDo# zuiZ_Hqf~pNCv_>xw2$9`yr^AT4B;j1fzM!ArF~9+UV25laTQdqYHfCax~5%A8_9KT z=Pxm*8(L?|XsWdrw}PtCj<^WwruHl)HutpCTtVH}4*L}5548Ph_kXDU@hW7Gv~SZf z-eYZh4E_5rwc4*~!cVkT0aJXct)oP}PJ4iM|9WjHUDGS=i?M(P?c@c}Y}78;2U(N0 z>J`R)t?j-D(5(H4ivAYuXP>~lUHj1rP#s!V+K1k0$J2oCwOV>}%Rx7vZmgp&I`F@L ze^7T89ReF?T}~6YF1kw+*t_W#)3f8Q`<=%1(3$sx>8aB%0r=|1c7_{2T^_|=f1QDz zivV2=Jr}!lKht4kpzcd*oCN7cQb7`|TTGiogswaJjMPO^6F5p&LJzQYx2}|WQqj8S zzJNWtaen|}bZuhj#OnT_f;CZ>M?Ik=-C$ZO$+}xg7^diQM?*7J_ljEnX}WZ3|D@}p zW&tvEb(9HZ>CVvYI;iVPSwpt2kXmSmbWt==j_#W@FmtWCDJ!9xr;G0or-yZKICPHc zQdWUFraN*Qa9ZcS3PYUHHI_s3tZw!WP{q1waj-w9E2ad!M3+Zz|6I`hOh-ATy6L}x zx~LoN2lH~>f(+PI=z7s|sMJ-`&A+0XmI{@tI_vC#z-zkCkAZtb*G`*xwJyyMRE=%` z-OHQ0t#e_2TQ`eB;T_!@N=5GK!b4zpPnS!}>Ap^U7qSPs>S(}2-LG9>{z&)Q8`KkB zms#-nRCnGE2G4Zoj)HowdreX6rEZ9IKkVytP1NJ8*L^t%+*i7BKZ9!271I^I*7?!; zZPuACVB8j+=3~Gc-5M$pTXkMb0ByQ(N<-UqZoQz{q06`j=6l_j<3Ty-A5-JgS-*2J zCgY;-|2an3slP=fkE>oih1Q>&J~AH`?)rVl0Ur7XX zXdDcE^_kS4_S26!0H(h_t}7rwZ=pU_kp4S*bb|Fs^rMC7*HafYR6lGN48rt%sA3D( zC(*kI5qh6+I)8}N$53R9(tAY0Vz)k-PK~1VW9i^4RpPaj(dS-#%PK^@V5aRG2tZx{wRu8(;G-zW4} zs9A7IU*QAu0==4UccFgMWXOv29#kZq(HC3*^Spk2IdvLQ32oA^h2Wo*Y(k z$rF7-3E-Ljb}tP0LZ45nFZGkwL#0l?atD~N^v?7fH0b+KMc<@W|qKiC9wM}zefTDVSz&QuUN8=leXa52cog1OUh z_fuH78Cp~TcS9U484trHYO#75K8uE?x8aX@kog!|9O2a0Fm(^S_!%b81+~ktYzR~W z4HZ;01R3UZ0D=vfG_?>z)h)~^)UeFD0`_5sOv+)x4NuxYMHtK*p%ZBsPlizj|0pnb z8>(n+MjLL{gSp2LLpf87Vcr1P?KM~;;VseN*#f&1gO+YWx*;nEkZEYK0c06MDfK#J zI8*~?d4@}$0FD^u;rts9lp(ta_Qwp9C7_NQJT(xWFzk*5b<%L|4Td;nP+tPGz%Xt+ zs6xXg%5P2^7E<5ljNv+++MG3X9|-E4;lX#9+Iho~AgGiWZc#>Z!7!edL#d&XLTH)6 zuLzpu2KyL#|Fgod=@Fb(8gfk#UN(HO7^+o`OuMHkGfM!GLkFaPlOs6#Gjp5BNV73~D3xKzV z8FUKLVffe{G6!QSwMra~y(xOQ7(eI%=1$`P`e9s+>*-Y6&FEbUJ9p#IrC?e;jFS?< z^)x1a0@BO4f?74+#uz2s_!$4m0{9xIZ-9lL(L(2N{>G*xFawN5zrpt|W{+`MCm6;W z>pEeGc%z=)piD5%{}+&GoGXESlChK;a>+*2I(W*AUrbg+|c{5>8jhm6*h$(U)5Q9(B<*C?e5H_wTHqL8;?2Pfhzn?v8yi3i=Vq;)3yqq&8(;H*w zjXfuUSz`QQ6f{eXgXjV;8FOh*EjO;+4q1h9jFsA%mBu^tL|ir=tOZqNT;mU&E5>V- zyj(SYUJJNx{HGsOZWyOfMpA8j_c5rO#;Mddy<^-t9@GQ2a>8v7pyyfEfb7W>lJ z{S~0j_%IV*>Wv@u1l3?XN-?a_cxe;ep(f)8w0>KR4f%jK#);ICZZ&EK0p1$NQn#SZ zsBZ_=Zd^ijO^0#QXuvz;eo95&8|xnf988&8FodINB5m|eroNwo>1^smdyR|9Vghrg z>2?mBxtc=tkhz(*Z=m;IJWR`;fa`5ar&f)Rsr)M3_?os*Lgi<=c^;I%DTK@l6AL!%gzj7nI#F=Ej z0QQ>x-bU*$-c-*pe1ho@3POpdPF|R4lIhG%jGJsS{smczsg6>&RMTk69@0!1HgK9@ zN~3GqXBvM1cA2Ix0zmCIWd}fZz|=h-kYy^N6?4!u;Rc-LnCfVU%{5({4#+b_)0vg^ zu&GZlbn;E&R~X`m=^ZV#qo%!QK^-%_rPkkZ(@Z)JIAQ9#9@I%w^*m6gOqr+Qw7?Yh z6cST*39>2)wHs!fAw|Enm6}+==BV?45c5j`I-zFgfq*dc z2ej{on`hQR7GXZH2ZoX69zS5fD05nOP`k}%=#(nP?6L})d(DMya2jtexDAyAb3JJ$ zniJ`_Pcm22O-MEio`4i{Aw{%Q^T%nFf2Ns#>@x}u7b{avuhZHrRFx;+{(<8C=a}7{`4BC3iF^zpeoI0 z{(<3T^F6E_o2zE|P{^*CFNOoIo1>_TtTErCg?H1ueFz4;W$r<__+9hIX4pM2_n@Hr z*gTB(gQwwFU(z6VcdFimrQV5%;7ZsH|8JifZ1wZaSj%5&0UiKZD!GTaN2Gb ze+|tJbIJqwerKNfFJ$k{V;8{A(GnQ|%E^*RvD4YIcO<;HSW+lA-Dz1c4&Z8OIRlx8 zB{~-}tGDG#70}P(_X58CEe{q!7-(5UM=~LnzH~9679E{*L|6*xaBjDyKfR$7V+o{K zw%77?0PNx|PBi;8%Np9t(=DGh!Y;!y=`vuSrFT!rGA(26G2i``4Gy3VSWeA^EX!&+ zPY>il%lCBGvn}4cVSmVyNdYd$a+UJeT+2p^-FX%}>d79q3}dj*x4frdf5ftqP7{w> z2GRC=%<>&Q>&Gpje*;cfV*ZB8NlV{efK!%7GhkO>SxgO#Ldz~H1&Sb zaK>_f0EA~PODR7pwiLbwb;t~_DAUvaJ0{* zjo!)r0}p6A+b`V&%Edl{ZkL<=f|=C+bhrQO0PH>N1NVUIX}^CZ4882vbwJhI{={a0 zkG=IbFn#SU6kq-9CDhRKw|`HyM}U3a_n>y!58VY>p#95-&|1-=K=tSE0F~UuheZTq8*=^s+3Cw8w?H)ETP(4pT$bYIKFM1LMC#9L)M0fFy)nrDz_?lytxs z#x~HatKsaC36Q}0Q*caVPSo>FVglW{WY&{%sub3I9x6FZ{|mh2vT5Ig%46NeQvdBR zTXzOzK1+{<#SvDq5pa~vrIY4kY$Av3I6Fem`w8}D1ME+-m{pLSVj&YCD_~s*!Jv>m zr{G$|{*1?fr`fD@z!_FVb@*-eVhc3yFkB5*8#}!Nn)lepu9W}WXNwKs*0Y*Z;J#vd zim?rB3`NIA_VGYaO>Ev)P!2qk(rZUPgkq!fYqBz{{+{EpZ9|sUmi~VMn67z5DfkKijN^n z<{$J0r0|~Cz)a=q)qre1sVD3Y@hnPpa`=7{2JmE%@Ep%ZjY@J)B1bCSzwr#Quzeg~Zb-kpXoZ;%0=@S@Mae9AxR4C)y# zq-x?hxBf;8rTVOg0_s(DlC*h0%hR#BXBZl`E z9H`wAAUvhAc$e_eBB%rk^C*D`5~>D(3Km?bs1Fgg$l)bacuDDPnDF!GFbEeath8Dp zgz%Sn3%m7s?SkGADtxWL!7QQbE?_4qb zEn(_V2yY8hX&1R86deZK75oljqbSf3|G!P@t}ca_df{Ot zs8>REE~rK!_%sZfgbIIXI*ClB@Zu%ZxC0i89Nvtd;`{1vmXV)#498mP%$$_CNXUd9RsLw1$*9|*;3ta2;dU1uw)1h~OI z{{qY!cJBr>pRgt)m`~Y_E||_U_IxYVUoV+-GK6oLc?_sFX1^L1&ODiF78l-~E?_4w z^M%ZnUpWWLoo@}t5CMFoA2fIIexu+mkPB2=2JydaAq(cC>cI@*uW2%&ymBQVjQ>sf zOgImrA|rw?|DCQslIK$+A&S4C2GwqU{3_f;^Xy6Z0p2_TJ`eKYx4^_gq|LC9yMIaX zw}khn!r%gLZ-KCkpQXO(RlaT|bgpp^YE0eWv1#yqpN}Os4|uc%nh$yRX@DoZfO7Yz z{IVIE4cwVd3f}UaM78lL|6=y-d^NQ=I(V%o40Z}O6Jg*gB+Y?})lIm01n4D5D!}y? z+9-bv61LNB7A%aWD-02iQ|1;fWY8uYA!z>F422IzK(<$?r@bUzNF4wwK~Ts5$wJr( zK#EWn3P=@XQb3vzN{{J5Vdip7CR^w`0M2r(LO8VtjtkB{7~+I5;})PmSV|XKC|n)| zC=pg)0rP^OrU5SsE!6n9B>b9;ajy$5DXBgt9aFJruE#c&9 zFmDUTyTI;_;A8~66sjl(s1vN)u0U8X41EXHM&T5-nVWxPSy=P~hG-EI z{4vBE;Uj9zv?Ej=`y02WCS3S&7)(r{cLFv><+NDZt#-D{^L7`|cWH-g*BHf@68TW0cv z(3y{?3c-c{bsXTsf1&Npm%pW;?#Fv0EB)VXd`^G$Fe_(1Oui4HsQkl_o|w}dBPA7c zi@JdGBco?+UKqWwG2f{_J{Js`jL$`r&6NNCHRKfx{vJyk#M+$^aJQ~U4ZiRA%nY-C zf2K1B>*fULIIy@pupC)Bg-<7Dy#vabWh{Y)3p+tUbSL|T&b3|H)?F}gV=u*Ey0bM~ zL3yw~7T9^RTePuxv02zV@%}fq}(PN+jm>)GHb}>E( zDuL`Br6WP?F?Gg5SRY$ZIZQ?+eJ=Z$W|+q;wO|&oO^d-SWL4L|EMm7Z;iZfXqiej# zMm+}8dWkhH1Gk*DTn1pDpcLySyG>itEw+HpIBJ=RwzPV-l)~pL_6Hr{x3OZX_1f8< zS$Lf3iT=8`13t~Rv2bAd>omUGXEx(=$a9Lw!@lkU|HGRp!5#5w0cJ3AB63Qr&8WL` z;BNFr3NB-Meu~erTPZggH|J^bZG-ruW^4rW#M7!~SQ?9pCskiP^2e3D+ zSPGR*OQ`U0U_Vny=fp~KVeG>0HG%SFBWVxzV?8Mw^=Esh;YuS|sSzrX>;o#vqF6lx zGm$kM2bILWrJh7GTTbyIi-jMC`9aom3#e@N9Tni#LrgOUswdgE*&t7``P2(3U{#d0 z6tbdMfFh=#2G}LGdpBg|EcFdEE7&h?a8t>e4}*D`6?Oosm`H|exWd}0#CgQV(vJF= z?IV?1w*6~(dCtVN0$#9L)CPEIWu}GjR>vC1u%3Oh00TC$F#%w{X1#a8O*2ak1GKQL z1jybn2|dfL?C}mT-FU^4|6hrcY2a_tQAXv)E=>dYu)CBQ__ELGRN0Stc*B7|>oo$3 z0c^od%xpggv@jdmI$GI{?*Yy{dn3Sw%kcOkJu0RB%at#u z%+;N@j=;D<{KE{`1@qD-FhlvX?qJ69ZnVJS_>hkv+smyJMq(tCOwM$@{2MWZS$y4p zMJONC9fsMwcR5rK@w7jolFJk9K^5|kuY)S$f2Bj^G%uw$fY0!Ioj?`yIVV9~<`c^> zwJN?L1YokLy#eD**M38y3Lg zIp4Mu7B9Fm8nT!Ck8sH9_#bwV)pKnGe81v3e}mb;yR^Y)BcC}LR1+UL6?U(=trS!< zA3+U>7M@iJFK_tN)s%m>aw7$_xBL_}k=l4o4RqRh1pVk8{F~dL-tkUrVey`4Qa|giExu1_&N&0YQQ=7xw#w9<=!$5UfgN=EEB3egxy8q zR!|K=XD7#si@g+3Z^`tL$^#I}|VApXg?4X4{5ub?Y<7>e)zev*eztKEMmyKF%`JUO!N{lRl$L#M*T znT495?ZWzw19K-^NDIQ1b))^;jg^wMJL6RBd9VjdVc^BY_h1mlZd1P@mi4#J2F9_R z2N3RMQM47rvjIp9(9arw3Cu(`pczyWON$4U%;wTQmBQ|yfbUdxEEtf+Qd*#r&I;(I zjSSX>!rwmjI02B!0%$q!XOF0|IKTqvL^q4|{ReQ6@maK6Wiu~YUWZs8dw9!X;f-*U z%Z|`5kjFmG1RQ4GuK`Dx^awNy*$3l66|t{I!S`AAIW_dl*`h!|1$&eMStWZ-zk4)Uwb+a9Yni+W@avA6gI%?7?7IG_rJx98GK( zrQ{u~W-#C#>q;yCJ=;OQq#OT`IwS5}NvX95A4T=PC;yb*yYu3e<xQTW* zU;gb_82Isw&nW&x@M+V)jpWlPV~yf!dOc+~w|IdW%`ekVmdKN7GD$p)isEGc^;5&=YugBDK_!v5-%IB@sfaAQw56l8|m!Nr;FTrj8FYg*q90(6BY+;BM zwr^MrWsa?E^B8d7GV5V5op{S7Fr9hnYk(Ku;sF=_ym}sF!MuSg(l9RD0EpsKNBuXg zv2=)?!V_9BbSlq(4anwpS{NMSukGMEhl?5jx%@JfbOro76ZIbod8Zr;()j^7E-sy~M*qp?aNP-HwrN@Q&$_Rr9v5Veo)k9s?fo$D09-f)3u&d|O zsE&WdCs9-TEq{C$c5OVDQtfvBie|V|aI&NO?<(lNgKsw>b0)xF7=Hl50AX)8z%HSA z1?Chf?4_R}N{Bv*A)bX5oS}8S11gg4ucY* zj*84mL6U_zRSW06p>kiSpc5bK10j@}SPz9?i{bQk^e$C-6dK~r&xia9@H%g5)G#;Ay^dMfZ;<#9yb7? zqP205#fw&thAiDG$~^>bw&<)4$fKg~DI6AwW>sTO7etdgFw$kwcs-~p(J5CLUJ-4i z3jC@_z6-KzqT`()yC)j(6R7*5V^J`FAX+pMDi1}&s1$o7GOvNkGtmx&>Hj@_vvA{W ze)*F&MeEYvKEc4teyxPg@&S5`u;R+k5Ug!)h4H#Mv>G-<9))y=`Zmn|ol^jgbs=qj z4$R*XgcFzBRs*IliyaS-{%kR|+{4*PD&68(68+WBUgmuRUK7}E3KfZL`6??g zlT{`|xSv(6!oUaED}pR`b_iq#*=!p15K9k*(<5x{&;NfB-RO!9i_FlSG_e#z&5ov% zmnE}rLUDDk-|&6Y_|Etq%5M8ZHH@A2{cl1mj)IC{1(YE~TG>o`?I4Oxr{{b(`=u2S z&CbNZ?H)GdGAv?PB<;xV3 z1!f&PN{x?twxA!(UonkU2AyX1D?Je{%#YpxX=izq$#pOT=l+6;SFUY81)7Z0R7$D3bW3#JcGqikUpui6HKK<-a_L=Z3d8xYL9#ldF?R~>;$ zB%i&9_MaGDp@v~BA8rdOj#u7+;a>hLwGfl}KI)05@Yj@%r1BW*+NJT6R4%0R=~N&b z;LFE@nZ@Je&^*XT&^D6I*Oo!$5RaJ!$mfP=z!B~>0kV^P{u01x-n^OO-&tPb1z|Ba z{|axVynG^r6@2|9Ffa3qGcj%hzqA-s6Ax{|5U=?+5m0I7Ly@umZ$> zD^Ego`RWhw`Kt%*1}nzUez9`&df2YJ5rRS1FNuS6gTvR9fB!p#iUDh%c&Ius!>`ct zWcAx%;>F&b1=E|Y+70y(Hsw8-q3ml4Lt$(cMc+8K!T~CK*=h>V@k~Tbm;^S2O3Xy| z17$HuEI|ajWHynaZwiar2WBesCRv)5g;PFrl+9cT;W2iS%E04nDTU->_BIgIIhI1* z#q(&5fO(mXr^2F&9i@|7l>kjKj1=L-Z;STB^i*?1+?z2U-8lJOn&V%`at-24D zmuzf&R`xFe*GHLJ|X-@F}gq)PdV;gVVftY`@-}D z7(5pGH^W)2P)2#`Q(=}T>>7kh8JNDJQ9Hm46^Wg2{`tQLxXU+iKkTQC;1BOU2JS{& z`xKmS^u6(Y;yedI!OFO$+Y~+xY`WgTJ7#AFLJ* z)q}sN7CQeM<0>6<3@@VY<_K?EWFtM#Qv4s)m)6SY;hph0#&;Q9j_pqM%eZn{-V^#A z#P?azQIO6~r`7oVz32G8sLLiu7k@G}J6Acfeq(@C|@kIA&LRdia?!A{W$#!f!l3rtu3fGZR)*yZ&1vTus3s zkFUHAILv>io_aoaZiTl3KASd-B0f9{LtNm$2ZJi*U1&=y;|o{7;39Wx09@h$oncVU zt2XfuJe_pfE#=dE&pm> zV+W|=A$Bmh$s2;;+5gxVze1&w%l>CHY^xNYlgd9)-!YUeYm+-U;%mW1rJpv)Z3_WBq z!i4RBSmESU$l?SC+7$K*QxfR?`*>kSHyGmkVdx|ZmbHK+!6OSg$-<_;04c)aB;15_ z;o>!D<_I0zK;;TYsojw$R9}O^VWH^?AYVAN5^zK~6ARfg5HWE<&ucV$VmQ@F7ww_xDUJgs|y z5$uyi7<(@pL@nxg_G>#-6WCx|+^j^lnUa}IHksZq+0V);b{t?sIjDTbw!{21t4Rj) z3@cv^=2=!V3RE#0a}9Gn$EMu^b)HS{0$B;uQ$O?qJ4}(flvTW-{jZGqb_RKo+0&1E ziE(;F%h?lZDp#=SR4CLi+XpQwI{$7fhR@_>b6|IXS5g*!kawo7 z{}BJ1mT4{zxC)iSd?yM7E0Xec{UAKXhfzj&g1_4dIK=~Az`l^*qF?Pa&!lAaET3Qm z^BnKh2C9TFT8?o`d1G&=Jmf#qqxq8GE(EiVTN=Tv=RVYoc*PIW+Hc^4pMz@TW5z+H ziLYD(u)gIblqNa}p}in<7LL-37A``|eSnYf)ltZNh3~6D`3Y_~mBDgbISN;?OK`;Y zfo6nff5`R-s`HpyjNn`VgH)lEa=$b|Lm@9+I7^ApKB0y3!d#(gAT;xY=2F06tI&NF z=2jp?Jc3SE5Pyr%8+KU23yYIj-S`;)1RE)@-62Lf7mtSEQFX~27F;SF6i!oVL z*%{`kqV}a=ri=b~0BWD8Pz~z1sKxZG7MWFnr&SU`y$b?J1{&e@=-#kLbQ+) zkV?@uYUb96O3uLkrYMJk#x2oS`e7c6qG{Wx6&Z|xC!&8WfCka=zHrtk^4|=VCXtbH zoOdF_SjgUsG*mGDOmVZDc*j!sh^OtP2$sm)V!p z@~L8z-9TMob(dgwl~q!`aEJT?AhAfAFMIFvuzQhQFJiclZCVH65 z7ebcLkI_Vr@B$gAqkPVP@1Gyzy(sleb1|C;X4S~)bMf?CK#aOBows*oQ3vv7{WzJv4YwuZ0rikRTww~25y3! zGI4hyfNr;kaAhYbPoe1-`1BGSXsLP&J!wPm5#GIpxvy}JN=HB8br>jr;p5e`|E35f z4j|Kn`!6tjkuW3~I%UGeSTHXN;sIb@65NmAGO(6x2CV!7GuV8B9@BrDhe5}UT|Ghr zvniJ$_hTdJw+Ll-C_fKlvuT$KXN!WNAHi;~hH)hOF$Po;6NS;=KPR)*6q8ff#`o}c zkfkNTBAfj~5%v%pd=+j^uqhJ(C)o?SeW%#D;eZmhpR$1qEWQ{9rECCg+*jFZ0k7496ev{yIAFucgkk13? zpyLRi-2>A<%7gxbn=^bjMT)cBvI5Lvo<)a!=lFhl_RjP5R63OKlhk6rz=tdWRmwlm zLRQ9Wr@{Q9m3O9Wv7GPw4#FC4Cjxbo4<3(M+~SicQL5#CQZDs`&t3v}$`}3!Z;d>a zI=4-HK6ON1^KOZdz30EVz`#LRKx@=dI8G^?r!b5jK`%i}$(OhAkP4>|q4p3ALIta8 z54d5%E9#(!3z|*fMhKi9x+q~fHHBh?b;|*B~jB4Lmy-24OHvV^)Y z$PNm3zX6pk_*o!3B#fy5l_NZifGk&7M<;Q4!v7I>9q>^UZJ*t}z1h9H6hcB0LOLM{ zB|Y>OQb-_>kc1|}(GgKVnkdQv3W#(O2Ba5}UX?|P6dQu{BE5)$4FN%=sC@tDE^|cS z#q#R+D!-ZA>E-D&^W^*%}9U$(Y3!C9yHu-lcRSR6nF7lHh+> znNOtRi1I3#%#JFR$cXuwQa1w8F{Sq$qL@)t8T>C=(gU+BplX1K(|a9d^-iXX(MQB8uq<`+>FJZKh|YHTH5PTd*8LV`x^11Oc6>? zl4Me;CriusIK&)3nTRG=Nq6Xa%X`xF<0$s2^bSGe5$XH&$U7yyOLp9|Qq7N$_?6^I zAbwSv(Gy?Sq&p<^{2;wg#+U0-Tq8s`B>y-h{wVbvijqG`k0+teU!-Ov2#l5M_rOrc z$&Tq=kvd-95|6g0$ycT$b-H}#EGoVsU&=zo8S-&5D$J5Qbw}cS`GA41g>vU6sJBWU zRTf27%ie)VTqA!6@eaJ{EJ+euTQ>|&}QzCt2#Cy zbD#Vj$;SI-e>&$oAZH&%bW(0bl<1UPqayN7%QZXU>yrGUG*FdwOXLxRm#+N5v^8UD?rIL%8-S~+oUWd>(*xF z8quXK%2m4k@SZY|c+*y8{eDEdmFTI6K2^ri*B)g>H?;DZayF38-;XK7Zlbm0O2H`% z_=3{+U3`6^>?K0_rP4kfMXxBUX@RaPP3qw5nzCdmqC3hkl8Jv&@{c0#SEUA>!~Ujx z^$ofoZJL*iB4bRwiM)(6EsI3I<4rAV;cL1n;sq3W!xX%L-oMN+<)@>WnI=`@qzg*vE&aSLPw_Bh%K0#uPeTObeO8`K;}=T&!bTEXHyJha`mQZ z$5OO*%QUqQI=pS#O+bFfG++j5{9+nRmd#&HrB@^R%{21@D%>@-B62)bU2qCt!_-Pe z$Q!N(5&eEi%^!}hm(`Qe$Qz-qC-yN%%^$HR{2Eh}NosB)Y6qV05~F21&_cZr_u zP}LVvwAiTz?nAU+JyIIcDRstJM5ooh zgVEX<^$j|Byrk~`9Q}T!PU(%dzg9Q>ip1+`SVy#SL-lWg=tp%#1w?n%vYSwSsJSzN zT6XnR8d7$ZGSEj}dJ&Z|aQ1P3AL1 z5jUH|bJ6ri<{v&m-p6J+jpVPL=Ix~295M%VLh51j@!_a(#5`dgCVJNV&L-rYGw<-i zD9)RotAWJN&A<4ec|@_fu7s2`-ODF*I6a97EECS zX%?JSXoS3JOs$W+>1=g*=1@hj%P2+`Lp1Y)Q94XZK(U*9@d zw`!>W9n1OyNrO6|#%#%J5x(X~SJok#D{1r5<1(qz9(*mAK7Ak23hDMK zB)%j0Z$yRl($Z(}Wk|K_qOlFq(?qY{mFibU;)l{3?a}W?QhQHCA4`q{nW%9nz z7#oS29Fj6fQ9dm_)fQ#WNIwz1JS)9L!1J}V`6qPvjdZyq^1hYo$%uZGuI)wQPg3*8 zQRHXo*mwB4Da9Sa*DdK9={&ckD|6A&9m(%4H2sV8dDR$e(T|`R_wnCJlEVo*Uw8zg$E#;(%N` z4ADV(hXpe{Bv&RO_^@1a14^9nNc>DbM5@Ozc}qWh9hd#KqQY5uFD>PH zxd(yJ=WLeg#@Ehmo{lzfpbvl}ON$UP7DvpqzAb^-4Jyw9K6D2hkB_~OR{)%e|hpO)( zZhfTsFB?(%v+C3Q5FM|+Y$=MJtX?w&eVne|p6KY=>aW4X;2d|_LXukFl0wL0zgTMc zJ(^x3g_=>-u~a%jc9><-wv(v4R=OFA%=J@(aT6b^*G+EEX zL9$Ezg9*rP@I01TP4^JVGwemC~98&!bMQ5rl@dZ-0oC zx>Kp!dNDJpmGyn`J8dALa}((Y)X(kgjr68vtO%QpCM`3sJ<06(ovW6@`ZwQ7LTAC` zuBcWx{sJ;yb_JyJ#vCElqY+THqbL=4$3_B7y;wc!6bA#dR-#g+S@u5P4s!}4w9bR zm5lKDw9QBveCR7wcwrQg>LGU`QPL4i+ci`=O1ktgY0pLEyd-@&3Ry2pZK>N4()j(T zG*T)>i2I7Pm)P29ss2q&@KtFy87s$1=ZE5JoV3AF1NFyCRrev9Ae|-%o+vFRCFM2g z*+7(;Ea|0D|8?n`ClF1Mc9BFjRcd+}CFe+Q5^~R#-XfFfJm~^0`h4mAi72vAI%^>D zO{qmJ5*JD7pWNpdAX+1h z4#q^!O5MDWcTT!M;>&p{26hD}NTgJL5ecbnCZKG!VOIRE9(xsGjVUBm*1Q>nTD3|K z!GP*COF~NB8$?s<4eXBc_3J)I_Ycxuh(YfSGk!!;`h@8yn(+crh3u^`<~ut{^h`#j zq@8c0L~;ud!L~fgp2BG|8ZEvE5wmx+5@c3pkezzNT4(aX7#URCAhE&H+%eo?^vtyVK zDtEo|6)JaAz{J(=FKtJm=SP-7_KV|=;`flA-xd>q;IS!aEb_;#C>=FLDK&1P12TzokAuC5gvQ5` zPM_G7>{ZEYiK?fX=b&It!}pNekar*wDa|7@P^PdsQOg!SW02dSJDK`B z^&&e*mj$$K-74&#Q%{0w?BCl;=li}c!6>Z7X$oTSm5cjC``xmbspk{_w|3#EZ%N?Re-AVGGe)SC2A zLt0EcdW*E>E#&Q$ejb6mBhpUl<)rl3YDA}`OCHEOEm`Q8?2NQD8x_8m;z`N-QF@Ye zk)Nc4kgFUJmtJ00jID7)2>#<`lIJrnH$bbIw}{bkd1xUTohH9da@llw!!O8sL*7Z0 zdxqR|4jP>)r>;e;%m2j=PF8mDmOoh#69vb1(9Q~ z{LDDi*e3^!MHh$VS&i^@M7~xVUq|JaNeRKDspaVKlpOj6nmH|Rp|3Nt`50O|D;red zoILjcT01XaCB*++e#ZyV1=%_Td6(qsrHk>PQlt$nR5`Q;xpC3ckm*Pm*bqrcTj-o8 z<;)x;Rhu>i*)3}L9W=q8eeOTi>tyexf8%w?oBo-pAR>3z@}C$Lu83DL(KUx6%e36o(s zGN(9yrv^BQ;9d|5b9SW(XfUVgHT-VsaDFt~2BL-rik7|5s9{nU_%G3Ya*tQz_yXoFJGWMaN^shvoF)){2l zq*Mq{LoWdQO%5e0kR>gqw2I}}z$Nq}I*9!S1CvRKDbH3fqaU%s>=uB|q*MxFeE=yY zrE(|>SxY~vgfYK&=ttFXHXanrq{OMLIiz%x5+A{i1BgsYLL_^A3;jr}z-~H!Bx!6B zv?7z@NRDC=AJDIqima3KM`|=X4Sm3*REuGud+0~?ST<%q{isoiJ$-@NLK+gwV-IsluLX#32?@&WN=s;VX zU}CXnkq8lI&IT2*0BC4{ZE{0Hs8tOeztIH^p%plOMA+2OLy(%42oKSUr+^PH0Uu@o zAKr>y`T_WW|LbA^Df2J@@nu1egbzPAe3ZQhAO82jN5FmX5h&oJ9N@#@1Q0JbfCLEu zDPIgAN>s3bj}QSLp#na_1bl=G_=phj5h>uKf`E@G0Us3wd_=S34i|vL2mpx{08*(K zK$0s9_^2Y_qpE<9H~}B=0zMK1d?X6^ND}ao{80FCIKjlZ^Q;x^zY+yFH3iS5`ESUr>rIdsvcEt%%CKmrSH4>JphQ7GT2_q&pz?l@TsiB3N0a*lEpiZCS zx0>isDN6PMV5!yKLk+e1847FkqLi9HQEsialu}2cuwGwEsb2-Tu4bHd5}kFDoOP0& zbyA#lQfE2a-Q_ARUnpGyp2HX$a1Q)mN!HnFXKwebR#5(Fxl1u7*9R7w)4l|mJ0OlwyAPfLg3}I#55auf&%<6_P zKR1K{UR)4n5)h`iAV_m&C3f23w{`c zg$M{UKb)C|x&bWg9)LN*?}M<2`yeb*Kp3FN#m$+USVsv6tLTQXXaQj{0>WYifK?Iz zR#^a871qb$;^tKagvAL6ix&{432L1nAS_WpSdxG+i-53X0bwZu!cql*RTBVKT>w}O z0GNYNzotzSo0CER>lo$z7K;nfT@d^mAwaRPEhkNo`Mpg63~JEj*uTp*8t2e8S+okHPgeP z?hnYCbNxrke@xd@H`M(py7p(unzR2Z57IRzpzaZLEm1&Sl7PBo0d*+?>QV*NRTEHG zU68dJ1a!cErPd>%&Pmr4urfES1#1sT2qqyRKzMK|2v$K-R6$Z6svtQ2P(yg60Qg@k z2o4ubvATEn0S)0_P!J--=0-9{v4&7V(3B`aQ!0wxjTXBbBX&1d>~1BoyOqW6RuQ{f zRgjc8K~mx$LQ6EBg%b$phmNg5Qvpx*wOoE`41UZLOAH82Ib-|qaaEkI@!<-A`%ubLa z{CiL<{TE=)O;CQXlv4lqv{GHb+#{4yO~71?fVo%!bCm?lRTeN;4~^b01HwGl}sKwZUt>g}e6>er?){bx$as6!29 z-=qpTC-9qa0_NOxlw4^NwOKir3MMY0B1w&@vE)qWNbJ;1>M2U8v53moTuSM+>QnjJ z*FPrwtJjXQ>-V9e4c1Xc+Sk-j!*`uyc!jEE)TT0-yXkj!cM5YBQ*PtENXKyG#KaOP zia)#;;F5==$evNdFCoDCYlvY(x5&IE0J;KWIZL!6N=u4&mhg zk7g0Y96}WwLVJ)yu&-{Cs_F#G7Bvn5E*^VrmkTbwtzHr?+)x19f>Ta#gj)pBc1wX) zMYjpv!wm%+qz*^Zi31ktW4h<4mVh_;pHrX)826bp(D*pwdtfQL$oCAsz^nD&1Ffd9m_9e>f3{7;hs$D>Wj z|2i3PC^i42q*zP_9u5F5^Pd|GTp-Y^Q3()GWL4|+4OoIjQR}2%1`|`Pu=G1Bspe9O zm#S(#enF{ba`xDsv>A-E@A| zmMO6mS$JXR90mOT{O^d;BTOT0dHgIYD|+K z5hjJ6M_3Inn!tjCze89@{|cd_{!dsGwc&4)8SFLnC;ZO-Rl)Bjt8N#YIGqM^HGEGZ z&VOREaSUS~Dpebag2SW(8oowI{poOLq~u!#U!$ZA=kfK5bTV8iWu75br7IOPr9p#n zBJ_h)2x^Se(Tv~F)=+uVAUgjaAurv7)QR%#B`Es3>_yKS-jK_Ghs34wP&#wlC_j%= zStofvDLsh=HlLS1#rW`+;@mAnH>C4OM8i{M4E?Ue&*5u?yap3-R`P$ICRyc#3At7G zV6-{MxH^~$n$%IIX7sjb7#{=bWH@37%|R&FiDTf zRM|6=s(DEmgmcWBq$dEHc*E7{D$=(|(H9WCCw)O(Y?Zdr__j%})9klPU(rZ*NIR&= z`_g+9eIN}2$l<=*XO#Dm)StdSmPXL{cS>*2pg)l!FE|kIl00Zg2c>7wn)!RF7Y%2O z`~y`SEBB{SjFb0MqvK_R1~Wn4L-i-hZ2*CIKf9GC`I@|mqRFy1m3&FBMFOnBwPUe&HcNm3vk`hjfK2?dvk<6| zZ9`1aUF24JmVS?x*3-COmD&+(kC9H$+{Q|?XamPd?Wo9jsSQOFq#~NdL}@Kmm?RAZ zVwmSi-EUL>t_eS?e|4VHl-gdT>=h8@v<<0V0Sf?~;a*wT#duR&{LXy+8UWqim`>S; zJX;#!G-(xGqF5srIb#fGCpagP`*qmP1-k|R?=@hEo<3yv_nf}esMm1Pn0}PW16o?D&0DmEv*E^ zJF}e=FP=B%?^<{qVVgcj@k_Gj+5fN&#mnH_4MQ41%e+wvrj6Yqb-aRTn^YFVcjlM= z@D39E2GH=b-y)#t5=#?#@(%65TFH;*yg^>-p!vQle@aljQ4YO?Xp{UleQlOs_!`j` zc>~d@_hbv@?UDx(D(#l%W2`vJf18%#hUw@ve7&l6A;{UND%TP1RQnTfexm+Do$pe2 z5yI?N*I;t$r|K?hWslnCmILu#^;t^YueKnNJ)kxKbl^SdC|dGEY76T3usWBzIHG<+ zV>+s~r4fFn{zg+erkNYS3z5QdeR}LBq$>)UK;{sqH)J z4w~T@^S3nK@#b1IwAan$zAWB}cpbmggeR%F#02UoWfIN4dOi);QR6qNR;xEHWbGN$ zU>%)O>NcSs8@xr^m3EfGhA$92=ANK=<&F6bVT&Xh>f?3-fp*VRtsdV}nJ0(Q{Cb_E z4tn>Z(e)Wj6YqDPV0NI5hVtSLYIBGqipJtNM^k@EszPnND*02z@lthK)(O(91R}3V zyQs%0(td)5snUzI$XvQYgPbl6rbT)~x=2VmQ>sC@K3npkty(3`pp{=Oobo=B~7hN)DAj zp`{%rZzEtFF8dIOjF1DU!mIM@)XD{U!(Bw*$qi^-F3a<1scy&(sKRae`!8w#hbmQQ zL53-pXlk!0M+m4!E1y$qcq2(grz#g|$CoPSh=uG{`Z=Lr*+rXnNEt(eIjl^k!5mS# zQ1w$vd7AHOM2^%*VXc85lvG|QQI@r%LM4N)Kpr;d2lv}q6^eh zROBu7W7^TB>SF{z%heqO9;?-cH2bw`Fx7ioeS)G5>LmiMP3jI>_08%yK&z8DY1fco z_IMXlbg169F%D;P4Lc3GPIsDe-Jb}g>c3AgkUo+|xImhPBovfK=ai5ui&Qm~T=C(S zhtMP3R}M5q*B?+MEkcpBlBfy&_xE>FY=59hdbl-7&wHAr*L{ar-uEezrG+BtBNRz- zCB=aQVxdX;x;05Zp-Gk%nxwzbBm;yd87MT#a^jdYNGOu!g(4X&6iF4gQ9{J|Rj9Bv zhq0Ru*L4&ol*n+QL`DcDGEyj!6@(HQC6vgD!tfU@bjTQ?L&iQ#hx{Jzd5R@u;W*K; z2fXobc~1Oi949*dw&%ou#&M$KZ+lLR22T9&6QL{2{qG$o(*57R=Q$D5 z^ndF(QNG;_^1s_kQ5*MM$mg08^&lx>imp9CN_0U=EDy6uS^t2N*ocyl{cVQiagUUE z-bYHj?jt4Mf|QiLpOh$(K7x{zaZ?gsK}q}sB`GT?i9b;i>OVF>kdi<_O3DdR5+q1T zc|l5og-zaC8#BUYBdiGXFM1+u z{<#Oj!rambi$+k`a;lE7RaeSwTh1Gy)0WboIYbTh?PWtaAd0#l_%hXfu86`HJSp9=n|c{84Wf~bkS5^E zWhrp{%l`fT$i1KAU-s_@BK1i3evW_HzaObck8`y=;$j(^#|Ul42#k8 z{Fi^rLw=@R3HtRoZeh{;{}S|ziMtgKH-@<1UpTEH|Nq7i*ZT`$4f+2uhJ*+r7V3U~ z5hkcuxS(RHpkfiiA`&SqA{B&1BuZFBDhi88w6KVnajo*v#*k}xA!kw@PrVcfmEakz z9Kr#c$|4-pH$afIKkdfhZ+mfY{4;JG{6ua!tS|>H4=xvFYN3>q*Ltln;1d+>H@Q=A& zbbDQN{BPVYy1gzs{x@zH-Ch?R{~Nc9Zm)}ueAr+A|J^RSy)Ke3qW^*0MZAM^d0hnk z`(Lw~O*rxb|?Hh6g(ztCYf97580Lv!b&HEW(0gPS&X5jMgrn#XM02Fs^fh1l5fKqm zxdv`gx%SpkN>YL?#SaxC@Wk2mpxGwgIpAfp>phF7I9+rL)Lx42{Qv-z769P$FaRj? z0020C|KQ!%4FG=k0HExB0N{Tg00amC2owO|>E@{A1ONoN0ie79fM5Us!JiTxA^;#% z06>@kfN%i-5dr`rg;k(}unI&8fx4ow3PcNeI!4ITu|l4%B;@JJBu^9krB)FFbyXoy z#|eQtUI^3);)pR(95E(6?1<5MOVRa`UA$+;mDB(9p4kKM|L;9$PA+++RXk``Lhx>x zp1b3#MqKaO_@V0!55_<#R#yrbBA!oy$3ySyhn^2%!jfWk#HQU%Qb_LX>0kGD}E zEA;YRiDbBO8VauoE_e#VJ35E!nFQ{YJFn0y;UGA4k=k{GcGn9fK}&a4R3bpHTwTH) zhs%2d=#`61c?dG)zD@6`=w1(yDQ^K;cz^s5#~eNn(5c^(sWNUd<$I4z`Q1mR%HBt& z`~{hU?+w=zC=U~J6kn$g6m$ws8HyQIkf2lL#nEN3AX6cNOoa+E6(-13xFAyz00#R@uAN$CBR1(~WM$W&E9rs4#diWg)mL6E7$N0KSf zzX#|PNXUJD7i=Z{E+jpSOgSl0gW)B>#pU0iD8go$b-!qf>B5mqmv+?qWoy>?A1Q}+br);~w-Y3nFV_otGL7E_or zok}*DPU%fwrr&wqRIB;(6c%2fGA-Vu7Fu=3Z-?6EXZmOxPGP&%5eV%T3Ohzo*ttK2 zU0UyX>&Bf-kp%*+<@sLN^XGl{wLW?Y=BK{fQLgobHhuv2OLc3 zVgtO~8*uMQwkkH@An3MHoyUj6kbNvS|{QfzQtGdaNWoE6Zi4U7L$kK z`}qFiK7N3>#IFWEcq?DAmJ|2zeQ^&zhy_-KwySuR7x(ak#XbBGhq#Cz82bBr_(2c3 zhi?lL_wa*?@8Pp?ak#Z#I*83ej9dBPs%cORHbdDaMPO!dd~=X8Xmd)b54*wa`0uYU zClGteX6B}I+8;c+|RzYh( z&x>h|$36cKo{u6nf7$mCsm^OD{3LihJGF)*xRCp{p9#Z1hMMP%r+9%N9qv7V5<#T~R3!VJ5Z8ofNQ(!%)TH_D%K2xB^rGcokD8i$L;wuBkwiim4OO{ZEorN3nJQ7$#RL|zbnz6*VhT?CS3Ni-D#jZ4$SR&gpqN8B zL1Ba36t?`sC~UBpLdbnA4<6&3+)asen}R}xzU~MU3cK5`7_R6M4`5WJz^Doj!>Fhd z7)6@;{RmajjZo3|5GsZciu6z6NHg|6jH)Css|OT=QkPDNN~ zTy{YZ2tk>`fRp9+zZrU;(I^_mp$5aD+=SbJ1~IVxxcn(*3imp(umX+W2&+EsdOB2cgxcOa$|r`5nLV&A4P;VwewT;5+$axRwtNCqC15bw!Z7&lD?{J-u$EBXFs z&u0SD-7a(C#?j&SA&+AR_+g&Z;+e$mcPe*gNvnEhhV-T_c32c2re(yVS@Sk zxiKtRE@PIw7pp+L93V=alO|p<6rtP377-@MG&r47`jY zV7AzI9r#ZWD+#oUuZdNJKX{W`>C1E=S>*-HQszZDlxln~oLM4BccT?U^axPW>S>Bd`=%(yL z^=`>EDetyChw9ytw@{nE$P;rA{VI3NA^Y2J@*=8uSN5XJp~_P<<6+8BDl=R;M0qbM zc{IY8m8Yr52qlDyj8xiE;wZ&Jb9zPDN?nXr@+cakETQUSm3Uf`amrpw9IqUtp-oWY zsFjJzs$8sB4tW@5kBCD0r)aY7eF;!ouETONrl_#kC zca*YJWW92fw#iT~Q!5*k()9JN@&*mtu~Df+t!+|<(0n&5NmOx*Vx`vJQ}Sq`wknIM z(QV3k`r58Y)aVW+kxIU=1kyTxpe&(AKU9WO;z!CzYV%`d3bnFRsYew)QEF57yA(#V z->uZ8dY?L!J{0d!DpRyq=|na5DQjrj`<21e?*Zj!`Z}m&Q=^BJcd4htN`ESOM5#nY zjw(@9SImEY4+nxj)PQxyy+EM*$Jkd zlseIL9c!Vit*o+*go%X4rBtWS$$!S_9&GNy=bmFHKK`!LJ^VH1qcq{LRLtHSQI(cC z`W>23youH-VK6N~;%?g6^L$$Zk66vh@Z;Z`ALm0Kx0(33%hpgH z*59h~RaeZ_c(`HnEt{Q|o0Zu#zo<=ELaEHwMLG8T0{r9G-qHg3uV*#Q@V;t3De-+v zZDqB>oMw&CMS}6oHFH&kADnLsGg5vue=6~h-m`e~)$5pVGM2m+MPaGVPWZJUC{^|sb0f)k;a9Um=A{?d${Kg>ng_^ybrOaem1XlX zHp%QMg})SFjWU{=S%S=?7ucfsiyEuIKbfF~@Ymnh%kW<{HlJVKpn3CAIo2S4ZkR>o zakn%pUr^6hj^F8`+xWJ3kx$d!jkyZd`q0s(2osKJTvp{MQ!pk?Ky>c>0ya{ zYXDooX9uzcMqk`MQ}~b%J<9RlhO;Mlor{{rw|2C2;%QZ^fkyoZ)=uV!j%Yr{tqN?P$|siBO#EUc)|z*% z%tr8_>DHEp2R!l0Rwtb0G%c_ZIQAwVx|6BA^Km`Ym>AEv#QSW<0-x&& zn5x>51@O*^Y?Dr7%_*{HH01~8u(Etxe`exMkLir3Cb53}KnE7Xx0b<{dgnjDujX9VH)xsR&2BP7W zwb)m@|12$xFRjgP^N;ph;`zcl>%ac1!$trKoEur zzhZ_N^)TSKE@{z*qaMa;oT|?z$q`wF_M)7onS_Li2?+_M?DoQ=|iA zX$eMLV^&(_eUn*;m)%Z4oSs*ZY0tLX!+5&KCXf^Rs9V|Y^r+Mru(73DBg9p#D z1gb62ZVi5IpBBVfAT{rkRobzu{P+%QMZWJCQ_TfMz=0xupgZ%Oy2@(iQ|DXy@&WDHQEPTyVUfLQUIwTu zZ)|6sd8MYn3FnS(v$Kl)#*fxmemq|D;H&onTyNP~fX!~t%5Qq#$1&hQF>}6%u!zbErBJo>Sb5}%aEqKxe8^ySfS-BO zR^Dj#0vj*$u%|7t{L~Ou&&YMKXqh|AR;w{$7;7g5P)h}w&FzJ4nx$vkvkKChf=dlb zNayE=vk(_8+g3r>cyv=+0QVcte2vhT*eZ#KFS1oMj=jt@2_W7OC}8|D0@&>7gyM{1 zFb*^Bj${`l-XYskiFX(T#PAx;ZdC7}t03{hC$(U{Xga22EV4xz32(4u(9(-6lE2gey&7WOw=P0eV&b zYbwC3;B#=|b8ms#?E1=V;o2hBjz_#@3o^PcVpC+k{~c>tUT+DQTKQYpOUqIgZgZke zZdxOIW`0Y4ZLH=8+3dh4mNG`yrQo@|_jnN0vcvT9{K^W}-US%Isw@|EyLQwP$h)p& zb$DkRB&|uE^Z-6@CEM&~)eT$ZHUSe4Z^Qg~zg28iAW;!}vpjotvwSRZzCArH-zl~w z8R4thQd2P|C-Ty_^$@=LC#D%E-)6NG-nJ!%&|m|*$vb=jcDHqf9?l!R%kucD#TGMP zTES}NJuX-S`Q~~yPrmP6_BDUGpS85{?MCJ!^Ez9w=Vgy;3H+(|*n7O&6>}Ir|CY^H zP{V6a+Cq)STUn;W=PuAf`R;9?aRalp(tOGm%xLg-cG^@>ly2t->abwmdIyWPW;ATn zG`$6JlvLjQbG;lt8)4Oqmv^u#3a|1WYrqeG$i5HAAR6REM>|B+%z~C_x%Qf=Vadk! zkC;VvQmU-Xw4xT^77%VAiWY?>7)773))N20+ZxWRM_Wtt_ja-B{JXxkXycb%tfTB* zSX5{)%q(h=Z*P&GQ505XXW_*_pBtnH@Idkro!8hD)IQ&!E(J)G~Juvsi?J`u!NW+_`(4;fSa;yW%-1I z%#VN4mU;7US6G7h+JkJgM|L{lk`U>3(s*hgVoi*7huA@hubQR#@eh^&YKVOn7(U))}=%p=aSC%N|$%x2?RR-Ol*W4+zLjx8>LBt7aJ8|*JAa-+;5$Qjt; zLL$fshIF3&YT{d#16jMi3UNK;B8dFqAvS;B?R%@A;d6;KmW*RxvDpf@Q!g{VV`E)Z zuUtjlpE-F;VG-Zg6Cmf5*o^g%CE8zRfm3&ZYqoD^W&Govz{+`-Aq!U60Kwst%PfNX z|7Z?=>)Xqa__t06M$bD0TuI-i`xyBcoavzA{3rG!w}n_D zRVR7j`!`xb`PG(S+Gl=dc8N#cv{v*aIBo=S%-$@m2}oI;TkQEVS!v*7X&JOuiKSdP z#wYw{jo`gkTEo}hX2T%-{Diez)`$fdqwcWp%s#Et^HB#7jsbNZZMUHK5ngP%-6MTk}=+@rK@~d zZ{5m6uA6P#cMG=XrnlBTP!M&}Zh$j6EN)^ruz<^uJnK>&AZ>rw187m)7b^ zyyIkGQc_>+SxOo0TQ5TJg3L^SUs^MwAR)fmT5~x8f}j;H>XVh0?i33e@_psB#hjnE zgz?ZtQ02A-X@f|H$bh^Cw%2uFkIr2S2lVUHwO5y}UHIa;S|t+oRo-#DCCq?Yvrr<1 zHo(XV(N-$_Y*pZXN`zLw3~fbvA*pXkNzScEiRFVMwCX&s5GvlM5n2xK)RTo7bt1JK z$yaP#dRlINS|exqMB}9j8iYEfre!)$91S2;zSVvBwy(@4BOqGaEOFCG2>rHLaQEk8 zwPcA_FTU0PNp}U|UfA0+*c_&U=XyU(}gj7)8+oJRRQvuE^lC@lZcR9f0<nNQ!Y*W)|Vwe}=Gh3WGt#- zk}(f-(fs-Tp^O<}8QO4#U%CO#KEIKcV>Hj!-j-Z^E4)Yx;LRrL<|qLaPDMIBH?5Gs zEh(W?HgT$y8uq5yj{z6s8*BZI_Zw?($maAsFs3l$@upg!#8V)hX)|OVzRXs} zsMcK56+XEMK=g93CDiCrq}e3H(L$@?)-<-C03-jtrIriTs8O>P_Lez?Ib^3v;7wa; z{hUBbD!&W*!5?#+$e)m$U~Fxr4VAfe!dltLdQ6Lzd}!>hvI*F7XB)lRVxrsIYHjaX z2hxiQa?yH_U8~Id;fV|ny{47ncDweaOF44^IVopTHDJ;56y3siHMV&2J{>^0Ms?60 zuC(=sLhsn;bE&EzOA7~qb^zxg&(UAvij0&s89hZkX~D@v4skG)G4>j zeavDqu6EaCB}9xlyU@uJ^V8Cs5Jw78eMv77Zg6Ox3k(QJM8qo4 ze#^Z8A!@4^^D#QTqAif7b^|Q0yn!*jze^v(*X@O<(D+sDXP!SDyxeK^y0R4Ztd3)} z#Q^H%wqaZ!r@g_4-UQn8zKsSKkAqYdwiFmMWUD32KciKVowmuI55+R484Mcvz59iw z8rR2Z%_N(1p>ngDg(an?@&*&M(Z;0-T2I-WLzE?*r@aV{bf%3ZnRk0lOE*4$P4kA$ ztUCaF;&Kqg+plY#`O@XE4-DI2EyMdv(YCua!i>z8LLZTx$lBx?3)EeXmNkoJPyv)o^x7+c(-}lC^D*f^8Vw%xwZM)QWwOe^7G}u z8@>8sZH)QaHokR%_8Kqq1LUn%I6?%cgw^2Hh1#z&ue%(|TfG&K14q53g-Ze1`2|G@ zpnFAy`5E@yyjFIn9vPNoytPj@BKEUgyows zn&(z)={zW3uV`FYtzA^e24(Um%R*xE{icOYrWJQqdT>Me8H;0YTP^EGH?QY+zH% z!J<`Wo7RM{ei?xLZL}?dj}8DHN1T8~ZBSE?^^M!KF-G2YEvbYR)4P>cR*Z69O)voO zCbl>p|Aci4>5}NXkSYFa%nxn=(Z0u z+SA3q(=zg!<-*iq1Rl_8$QCDwFR*79=J3RMpz%XTT1@=eLt0%g(8`h~1IWr+8Hq!| z)cMS|fZ-0!tm>j8(CM)mP+R!+F@UC&quOla#!=1RWj^BT!XUoZI;L&lhbLQOjI85Y z3lop=uzK=ey4gZq(g5+*+_YwmVCX7ls|m)O)7ox{jQA$LE&^nu|5>e^%xlM3D)Ri+ z*oVpIwE_HxgUpAQ3x+cK)Jj`9r;bU+rL=|x&B@@2HEjiWZ}T~1q!(LRgL&`;EsJlP z2XS=z1ua1e5?rbvGd(9i2Z9Y~LSYl)>&eE>FSI;~uNexJ4HnoO{8fRQ7)$vgP3M8j zEEV{{OIiyaKfoHrw^j$qT(zIX;;*zP`M$3}IKJ!%g|6(^S~=e73M7k;Uu%Eu~+!R%iO7_a!Vw#z9=Nw8zVLcxdP5uj23rnU+e z$1NZo<;TEY;`bY{BjZCz4T)8(m0dk2^7_}nZu&@=sx1QCDe10u#JNKBtVhba!Jkm{u5Jr^VGBS|vkVyLQuwEe9#1%EF-n{C zy)qyA8#?J02u*i*jHNvP#jKy;i8iY**A`$yuP(!o%V>Im9PZTR?^om#N&)IfH^|Fx z>LLU7DX1~lqCYS5q-**np6H>+@w)?HJn!qFzrej$;Y{Ou4;XI`eT_}?K7xfh>1DO> zP)|L}r(s@R5#*0j`K<~dS{B$_wczJhz^ZoDp<9e`p8D4^fAMw88nT}>=e_*VX#1_Y z&a;nz2K1?~`x(JLx`&zjmV?;X$Oe!v2+;fUJpp<%o*t-gBb8F)XJr;)R4%6ziTig>+QSDI6v7E#>tlo+#%MByxK7=wFI2Z_UaXO@noyMqVy#I^m%} z?x#ZanP$FV8z64(9#-B+tf+e_M%P&VV-xQk2kRrqhmG%P4Q*{loW9kTW^YxHQ{)uU zlMF|^eoJ+o1too>hs$<5zq%Jn)_5rD#a5C8UV0jM2ki4^el=C^#P2*0t!`p9y)*Z* zgLf?506qn>VCI8YLC6WKu1|9wAY?laJI*NpOD7SA^sDo4s_SXIe@jal{&;&9Xtb=M z_k#XRXLYGgvnn6D5nyq-mL9{0?gOZ{sDnc~Pfce=Ms2;N-Vd#%P{ zP?H>eF@HW2+@--1oNz3x2fSue7v<^)VY$xbeLe;`ed+@9@Mu!Xc`#tlBKsLnybXP2ep9_Kf3J%z+^E?MgXL$< zdN7}xrw=yL^7X}%2W($tNotyr)&|1~m>&BV>SOu2l~~#DdSW{^7wUWX7ZxCI z^UB!u=|y@}n1D^ll4Ou@9+P2dQW6sIj}*pzgRyg;73q-F4;%%t8{%VQyh+B^%BNU`ftTYX-@mPwS@F;j35Me2v51bRWqG z>7k!78Hv60B{;sF2Yc~_ugv~@+B{3?5VFwN)3Qh7z9HyLj{tLLcP(Td?}#EW`; z$>=sjpCIvaL-n7WjJjD~7S4gQ@-W!MVR|3Ff1uUG2VZ7ZK6(li^n~I1GRfL77ZPqB zBy^lAH9rZPTha{hO3TZ7O^J6KfvxplrKfWHNPPhGKc@xEWuqv?<3ES4QEoY?uXz;U z`sDy%WTipa*UV9RD@nDJD$kExvxM^m288_W6@4%NZnZ5)0nO!C_i5#27(n(9fp&gw zw0>LW@;JQQTjRLZ_J%%9DdUuY^3#f7j43|pN#Y%!2mHW>@06&& z;VUPCdcQkc?&#ckgxG=2--+m1%)hh;se*YRhf*69zPdsjkFn(C0 zk5~A)ofeIcAA|!8WvK3Ny!DPgP&SG-=w6bZS(FXYDm^WUd-ej3botIwng<=QmgBp3 zvPs6qclB8kultG?MRbr&CF=C*Ca}(7JG2VMu}%64$!)-O+CGh!x9EN*Uc0ki0e||D zuWk?BziAp&SSQsuUWBvpx;ylB5;syT(~Ya|>z=X^`H?=?#P=my%Nfmf>p1Q7KMf&> z)JE8HS53yu7ViPrG^1mT$i3*&X@lmiszGzenvYXzeZQ_tJa#S41$_?a(MG!i`bOD{ z7>M)8GO1KyeohKcG-2nf9R_utpkjG0+`_6KKCJfu^jz=u!-l`F31vVUU67-h@l^UVmJ_ z#V4$VA!^kLy)Iw27F@}BzhHSJKukZWkLFcB#zZEc)MI4h#A&^s#QUGsr|@ro&|{{S z2DuJ6r+>>UFJ&G)@MF+T<-Gng&cZfYefTEO71yEM(--tKW7h?Jq#7UpMDM4&#t-b> zyKkofeY?lQpx5=O-hH0#+N*zj9*lY*PVq$@`*!czA6ZZLj_22Ykt5akhP`|D=l#Cc zH|b=wg@%=tMZ$VnDTO!xMxW(66aOp;Y=3oS@Ri3eLE@g%5%^&{4dxK>tzL;BKCOTb zT*0iHe5*H<^kQW#4Nd)qW3+@X%&IZ_JN>lel>t>Ly(rh-G{4YZ14kmyf3J7NAt&9= zc{T`$aQ3R+l3%^1zfx>N-rE&DJATlg;=$J}IPRxYuAbYhx)F9=_m#@SDgw%wUxZ5) zIC`*S4Bd+VYf*~l-N6Wl-_T=959rsai+w=9zHyyhbS8x^6h#>~Zs<`s^xXs7_b=nI zDLXnrkY8WJ7G^wlOV5_>sa#Hjags6bw!TQ^w|}<8PU(z03_YSi_wv62XZ&KW)o02I zU{Tsin=iMlvw2K;&+-&MP!sm*D9Lgdr$g%i$hS-AxU0fmW9R=%@U%N7xDm27^Y)7>H%d)r3)>I zd_@P?sQT=}0ou=23r@bFx8q963s}RQUY0lcun#TS#yD@wx40$=SWPQR{6F5_1U#xD zYahRzgrsxt?GUyQ_67n3WJw^bvV|-pkfqbzEGpV$=|ChK=?;q`jq5NDGoy}Dj-o+_ z&rwIkg|Tr*1{ED-a2fXn9dyu9L`22?_r6tqyE~Ej=KG%K|NruMJyqwN+D@I?PMvC| z;GS-6Z~b&nRD`K>fY&gu(hJxY6H@vqn!3*1e%abr)_ajBL?9RCk8|JXFWjfwwt0 z+QG>seD!dB0Bun8LvXNS*$1U4VT zK~);PkBrinh-D8$N;mykw})@b*7qhPVX2rdZW)Oas&lMvPolM0480^JBOxbGRE|Y$ zp1T~T=NrfBxNrX5{l;VpqMJCp50><#@%k7C&6spiD#vMcQh3~W9d~2DyadLNo)cj% zt)HM*LAyW2=IQTEMo!o@S$8IcZn9`7Pg@AZ_m~*3tu@$$C>u! zx#(}-F0+65f_!}p3=a+*kcu7zNjXf&F&F-9o(}WSGbdos+Tg(mIOj*Z86IA!&*Ekg z3*;;BCie?pS)xB-6Pu8iJQ0S^T|hOyVHZ07$&Yb*e`BGZEbX)~7!;ME6_rbh+&E;0 z-!0R}<8<9SWxe?Q34Of58+Isrc|N?4?i&n6Nxuu7BM1NMb-0Ag^un2>ca{DH4rE2J z*)1+DfKYLF>=emv{Zx2_N8g+vUR=mD5^8r;jlo(Hi|!25u+LZ_(?;u@-dqzJDf<6uVj>o}2|3sg7(M zx`L}Q3Fft6?)>`s)U@ymtM&5|Xm&_~r4jmc6CKgMnV6CrUJ}&*rc69ZTm{4}Lt!R! z{tYudt1JR*^kU)qGZwM^YcL|dI2(q#-M6IViguSdN(~6#W=Q_xFOz$Px2@HmwTZlw zFfr6$gqiZ)I_v}If1Z*SzG=PQl8}~9FN#uENs&9h3}-oGgMLVCzY1e&T6d`O{EJX! z&uj2r+I*4zc%rCW17Tj7441F7*611Gl^5%8V-|itwOJh5kM?i=h5o%*vlO%TWt;V7 zNd|Y7^1S8D4ZpiZUtkjlPhrZ+^kIO|lO%lRZ}bZE+i&&035JxWtH3?U=Mp_;n}fut zqxR8T2k1#*^BR41g7|g=c46bM(}TkN2^#UlAC2Vj?bqprHsy0TUEm<}(-!=DpT*LT z$}MbDblgJ={iP&n=MlGT!zA>N-=~fi)i>#X5V<$&4~nxo^!f6x*m48#7Fn)V6UFg9 zSnD6?!0aH>3$cZJ>Rv2L^3?iS2wNBrk3*k)V`NK*y?buauNOz&!j@qDt+2)0|Db<| zWN$%|pO)(>;c5S+-;^YVUu^Ca-`%0VBc}fe^WZ-=VQcnW2vg@Zf5NnK-A-Iry*mXL z{5j%SFJoBv`#*7>8+Et7OL@Relpb(m#69{%dFL$klmnX5%Fyl@d;^QyCY z^u?%aC9f9qa>5(;=ovO~{5hQK4v#j@NW$Ku?7G9~jh#4B!! z1ej3aKMW;r-m4dhntqrP-rTFNvGr6-H-}@l88ef2F z6aE=6k1r|0ASu2{9}q5oM(?Jae-kh^mhRVG@qQ)Ju#y-4<8%6PTlg;r^r<#G4dS#L ziSBD~KFyhdjltmtUAIjYRWmV0rQss$puSW5?pCvRnsTVlRa>lSTkpl1dFr5k&?f$R z7HTkUEQSi)ak`7{voX!T-UkQukuT_Q6~23}J}TVpB|SeuUhAv7$rT`C7wl8VB7x4OC%P48kT*4~&hO8G>>`#Lo|;IMvWLT~TV+|C|3?CZxH z^?u>U-q&+&;_t`wapLcHU}&COjxlrUANqyj%wOX;bm0*_yC0AKu$RI8w+J`4TvsD! zWjG7tF!bDy5augK^hbsJoRqoY8$ZxLv5B|CSet+GPyGq8XCw5#{dHW3N)vAIsP49j zC6D01bo3*x>bBixpCnHqc277e4HSbOfU)e*zx1>EMQ`hhmKGE(_QKCzZclTkiMgNX z&+$A|Z1leF4 z>+w!ggU#oJaZy~p8{4CVFZ2h*zD8W3e07_VY4FGkZH6tmNWAwGlzHxxm?UvPO%d;X zp|28$zs2rnd~V8QQFcb)pIZ|pWAH?=LKGkIItDMJiZ#`6kmaP>dC7yh3G^Ka=uRrKF+r(EFqx`vElan;>pu)JBy3!^d zegK>1ouzR5zi1EEV@z?q#aNp$-!@6y=S>+RorCVq!T#k!n=xzaqwsx`Hn}O{>T^>v z!q3}`N4kmGHyFO~Ln%geg7AI>h1z4LB!&CyMmRxCc*yJ%e!(|va%`+S83h4KnpH1{y} zCl}xl1bf-!p2j8OrDt)5TJU=a3l~Iv#lfD&wZiw1*%!CpOQgN5ytIOYdy$y!#cGU& zE-A@dSTa!zap*(BH}o=m3F7^$adG%fALDA97&|_-KwQ<=_(D8}e=l6zk!ieRRX_K8 z>{b5O4@=*`{>Di0ule@+aASWX)h3R#;TG$h@#Y!ba4g83B!>1k28u@q7^}p$AEab) z)kPoB+e}?@y9OHTEZfwW1<1NNb(U$##ce>jM<-Wel|*G}{-JJ)58d#bzjzJS(CnkjWw3QcK;aW z-v4?C%jQS9#^!i4AC8e~S4>l2Zcey$qOm1G>}i4R$n!2PKL<=Uj)?Rr#_eLwHY_kl zrWl`!mmY$ezcAJKwYX@S@t*kf7F?^JH{JM?*!5RH=_n0XjJ|Cj#~XHt8UzfSxx z%Xl-gvU5!l{pTQ^e2lX2-IUbuhB?NsZP%rvnz{MLvm)tcOr}HUp-(;iQ+tG0&NC(@ z_NCKNd8N0~U0IgTS*sil%y1eLqR7}y2NO6wWW3>Ftb$@8#jns>=4z_V&j&u zv&2|pqopTF+*WFA5Kja#rmt_+2Zo0&FvcZ_!oOkW%4x#Q`=1(2Q(RhRoE!dSxp7ef z?E!toFqcsh7A|9)&GO4gO27j3mnxjNKkAEf{@@l|S&ecVXW2|?&YtIS!zADlt3OKa zFYnC4n?1%oxaxZqgJ{zi*aepV5t=Jcp~Z`g3;MG5T^&wyuoHWHk%2khcQsV0V+j_y zJxh!)6U5qC=%IcO83V#^pKXk>^?`$qvmiIuIeC2E_+012oZKln({shnttsQW<>ll} zpCaDdnlfm3V@q{#Ty;&utd-SmbRZ?3jSIAntHZu;+=@wJgh-h^6mv4R zaRu4yMmyyM9f@cWC#*?6@!17%#D)uRH?iR@EO;xH84JanIoR3#el-@sCzlzIi?s`M zhv6+K!?P?niAynk90cz&!E5 zv$z&nR%^J#tq zZ9^mMP0Lpq3vJ3$K%-)JUcQW0Yuh}p8*AH*(7?lu#+Sl;Tqpfi>wS#@zkii< zTOA9P>DCUb$Gy+N_BbH~OXZGvI9sh84y7oX32VxQ?ZyLP_Zs6|n>h9Z_V`V8srK+& z7Z|sz*+wjW2?jRj*AV-_Ln-Oumo73g6UCDc!@uNTo3M@aWg13!#>K`iT%FKt^g)^7 z3=g=}NVADvZyUc0PrS^)^S8XulE;M`e_@z5F@8LbCkro!h4tiCOhWP%%iWueHd`;e zwQ`sHtkX@Q$AvL<&rLWN&$`kWDoTHWS#47Sq{P<);j6ARZ~?V-7iNs!SK5b%XaCwb zD=`U+^+e%1FEvYiHpR4y z3_K@mo{%y!#Z!gDCHxM1+>iT*oIz;%oBk9t{PvB;8#a;b!0xC2D*J-yv4eh_k(Y2d zcHn8x*Ebnk#GX^he+@ryvr%jl{l39{6Lv&b!3p{dyep8C(b5^IX86lnjoCJ_Yl?l6 z&W*cg9R%ePe|sIaVmS-1*kL3k2nTOmbFPOL91kLN{cXm4ya2RM5IK`mlSI!y8dJis zvfijR|GeWAw`{g&Ch@K@oO*|GDp3?)47J00l_C1xZ7dN3AHlKt(z}fg;W>(N^X3LD z#aG>9B*5*m9B)&kXKSALyq|G~c<~_^NDl5qqC;n+>vlYYr4?^(K#(i4E`-@2VRMk zd@fEF@mgG5o)0Zv{t9xfdBiv&o*MuUq6;2{4)JA2_?t(K7TeZepj9{Sg3Hg!$BkD+ zw*~M}D+lOXPR z1a{k>_8ObT$kEWQ^i^iJ@O^(V{I;HOm@a_Fv=@&mig53~E+;SC=PBa~7}Bv*7Jm$5 zn%VOVCe;c1v4Ohf;?zcQXuq))8^A%~`e)H@vGpf7yF7u7qjGpV_MA})9sUUOVaWkw zw%9xi2Epv_a3gZf0pmio*~dY)cu_?GR+XIRjr;NbbF{rey#J!{u$n@bPlo|-Q$tEp z`0%Qz?DJx4muT!y5e_ZnTEIYuIh#ipe$95#p7Elr*vBuz{Q44D9l6d`k_lqf#^r!gY@&m%^WO{C2-$0Wo2 zT3(hfa_dvh3P1Rvai&cqJe@i;-1Dfh8fKnT*q5D4ADBx&!UFQ=DLCMr`p77X-#=mU z@l_TVW9XtUFzGD(*tkn|#Z#NH5gYa|jNoV6AUF>Y- zaDMtYG^=@vks{7Xf(yvg3t>mP{Bz?Bn|SR6cCW@j^BjYhqPU^Li$9Ne?@JgODnl@Z zT=<0{MD|IeINp6;`d&>EO(%^j#Ho|U_i#mnA`k7y27QHDyzDVEGd%Ar<2{?0egqE> zFYAGO3OGB$D{CgUWs6T4$+kh(?L2(c;f3Dm(PGYM(fXL#N8I`ihR}T*vE4u8TjP;< zHxPHcW4!p_TjNP_^J8Xz`FwIJjZ%HWd%iR7OB6fjq>Kwgr4MxLE(b9V333ci%MDj| zGZPY|4+VF3&|&EcN|Os6O=)bER|j-24R1*?D^u`#JT;3OdQ73>i7Bud_3CcE!k2Px zUu})B_b|6hcN+C}`15%wgTvqVFvE87T7UCIPk4&t7ZfZi_mwKc!K8_Jc5R<8=CtB9 z28MF7*!d6)x!VSskKs}uZ~w&54Oq=D8)Q~)ecT))=WXd%;uWjkOz9IoG04Q_5mx-9 zt$Q(9Lridj+K4g!!%%a2_z%O(r;^0}C$J|EjxyKs@^w=x&NY9%5eLsVAGD{2`)8Y2 zsPV2fNnG|$%FuAxXcG@n=Jhwmq*yzobki6bzGuAYN(i5sYr?3oy&ujSUr#iD67I)g zE&Xbec}7Bb%oKAaCUjrKg$%R6)l%X=c{er?7Q9dNZ zmoeDfq+g6_?eT|U&%SPk`G`$<5^tVqUfw(61fhJlHI50WW!jxE0Z+KVObzGHGGVNc z=dpADmYO0yzAJT%fKy0sacH*L5#BM!oMd}2-^{?YcaM>o5ZSY)$UW=1!$h9~^INf} z7VE*3QK@O+iG?QIgwESz&#*yE6QFtTE--ZQeu=p(4aTFGJsNA(^SIThDm5QU6m!bW zS48hiFpnSiU~b>k1CqQj1h2WA3bQC7yv=1Ezy=%7B*NFZ%{p6nfY-#N1Ru1o#PCJt z%e=Kt5=A_=WGyx?77y%&yHWE=jL}P8z=S$6(#8as$y{)GVa!YYI30GF~jpD~=nX@|?VyEOebHby}HsN_Px4S(l z0p7WvAHhcPy&;h9`RBmT2X>eg;p=XU7Wbb6Kdr;(n3ed|fn#t$T;VgfSql@L48jwZ zn;UK7#cFe_c*h3kwI!oq%DeYgBRPC?jpn|gdXds=Fy%et&A zDxX(W2**R8tK=-_M9u3e#XU1dRB^DPrP&i~X_Y&-NgAzA^m5|qtOz>}zS&+>7Lmua zi1$eR;|ZKs#INtyM}!BgFwesYi5GLvuZ6ON@5IH%`jzId`eK~P=OS?ItAgDjVm`|e z`Dfb)i_l&iPscTwv)atxiFb$U-NKmxb9GX>^gf)FvqJQB+b^S%=uf(8ajOt_WrkoKTzR|?H z;)C6BoB!%2vy9u06tVXW+>VUNL_a2-VWx{QmzX|r-`3P|;=5b0^_X+3nKjhy5BS^G z`0Mg=3beYWYF~9-U7IE+`0|!IKV&9${=po&6qZ`JN}a7Ki>+JZVYW=O5+kh@%$=sy zxc#f!{ehs$#?|hs^A%Pusfe=Ri3+aneKmpB@wK91hdF9-Q@~$~XDjF+T((A%H8kfJ zW1CptutHH3y3)J8)$gk;jE}ioT48CC%e%B#<{R(_+gowoS}oqZ&77Rs5by zm9x}@ z{9?8rF9RHXGkAlO@0yqEf;3uJvg?BdO?Bd;yUo7Ikd@EhDxSI999CS%milU2+M9#^ zHhJ%Z-+7s+QWZ3|)UHA*s|K~IuPsTbpIv|{zN|>xcaJ$DN4AV@gz(#IgY9j8*^_Kl zB@OrPn9%TxBlA7t%AMwbVz`Ik=82EsR8yojE^^@%z+YOR$EtiTr4>ec32z|f1-0xk zd3g)@SBYf!h)oWz^VK)hxA>ado20@~N#?^hiO^F2=~`pUT3>6+TC}&2UL4}dryPT( z#dXU>_H^W7o-f*&=w4Yh3qd7?28oMbPtBYI6>eyj^_M+i@st#JIV9KB``dgq?e+Cq zNihsvQC*V~gpPQ`qYs#47Q}TlWTAd78 zg!jZXe>R7AbK%9ZTO7dM@iPA!H++wR{w39`{OzrsDZ*g)xD;%T zGI2z-s}a%A48_rcm^pk+n0w?LQzAauZ4L=R^IUB$b?EobL$8n{PUF~BRhMf*L1a8e zrAv1J3<4Oa(YbP#^EX%5G%5vR&1CmT`BzlBuz!n8b1p@w^!C7*Q(@Twkd-JGW@et! zB3^Gsdlb_^O;e`{(CRJ>D?X1G(;hL$>6V%oxrFxx9H+)VYC1!#h8nw8nzf6o8{1Jd zw2XZKF~9)~${aWQloc#=YiiDmOdj#dHksO1!nu*ELQe_rIuD%3G-@q%zMWGq#vYF2 zp5TkTfjk9ZsTVu<;N~~yMYCTP8pZ8D z?qVa4Tby~+ELeVnjc8_FA=FJ2zGyaxq9@IqiBtioimT1vTHWS%uj6`Ahq2SR3IkHk zpAina)@iL6RCuguE)-9`XlA6yd@C1@)-*zZ2Ei2N>u*Ji>jfQ4Set}?HL-Ps^Lkzvx2shk!FUR%RiI4Dh za_*HWX`$+1unn9IK`fJ)KI)hcRLxi9c58JFZ8g;a6y$56d=d5G&AwLC81&V&v^1&) z$<;(Qsh-Ng^py*>+Un*-NNH8PXdPZ^)CU@T^^MglAUgc?j!*XYcyu_E$k!KqNbD^t zz>Tt2kBP@GOX80kwQFly8d(+b*01rz#(j;wPY&)7mzH|Gs9bw9WrIL1I}5wlN_U~w z>}x|mpvoHR#@}oZKmFa_Z`i!EyhU1lo8K>m0j~OLbcR(;_LlhkBm1CHs1nBiLMaBe zS1vy7N;s-}3f-lP@uIjabx;V*IMVayjkMYT=U_@ocFM|@mQ?|CJvb`xn1=7`&tjyk z5^qrpwI)AyQbAcmJfko2YHOu@BsXu;OH@MHtFYmDi^{b?ZDTvOYV{3`7y$Jxl{M%4 zhoSeCNUed6EBJi3R?yN)g(*QDMBlagtn~VZwx+chNX1A@-CEETD}mT6#4V;&H}B2F49?F z_97;bHm#-Rd?_9;^rQ`QqXyyEWKzSB)KYs_K7T?7KiDB_CqDVRVb75Qv3_O_${}+B zvaqP4lm*37SI%1i1rj|@8hr;+9kIJ%X&TotrOQn}un9$UOOv3kHYW_V@-0%x?qnNPS^bV+NZKz|_Rt2$-Xj1bO{jKRAvV5;O zV{q*Xw7I!@RdfT8n->jEoQhL5JY-JnHGB8q)LBy9T-WI5mYL~~>@a^dd|okB$KOhg z#}+Vh+Q`ZCP0H0)U`(#8ZCoYB9yA9}Xl_+i2(IUrP@Y4AfnaU0Q48Sr3j&a5pam{O z$OW*zS(F~c?fD&lG1HQ;u)4*;znJ~q>QKmp?;G1BMi#*6Cc&!O z#EGn0U`2ClLo=E!HXOvONA5?O8Ujt#!P=Fgd7n9Q5PX@yfXc+0jT~^bDO<&B*}?o=(m)Lq~fKkRV~ngWRj*UM}oQf4!2t+KU1TWf8#+E7K>q^{UY zpE3uA+8bLNe64NRch$nXsv#hEG|_`Y>|u9R%IcGiIcPyK(bQxEp4@aZlx^=_QEf6@rKfxBT8`c7au)kj$g{Edi;R^ zb`z0}5M=@#h*YvJT12jtb?eIYjje0jpa=nfqudcd*l{+ha$W^wo#GcQzt_G+yyZ9$nj zq7IrL8<#+9owjyWyHbzVRZ@I){?;I78L2I9e{~(Uaiz^gu4rk*xzyPY&+Vj8xeuV` zLx-Yl@>Hgkmlx;fVm`(%5J>&TzE)|xufC;KHZ+E*t+9GY*q=40j$kv{l;FC^k&j}D zwAWYJj%f(9x48FN^NaaZb}*_GzDXLCN48l>oBCbb-X?eKP!Bdf0Mkz^x)Y;F z%^hO<-^`5Y&$W~W(0Z%|+)Inq&zV_$paDKM)zU4fmV2pKeGulnN5UrD%APX^<*$G| z*!Mhx$#El_fdXo)8#!aJFWdY<%&=NbEfy6yK2TBLnwoYVpz6fYznLSROKf^}&b@z;Ga2hczjPO18C$Eq`)k>XDZC>+8i`cjCt>-}|fS zOvi*3zzAJa-O#9&;z_Gme#jg&x{#Vt7g4!FWnF;bNX?Dd90giX>AJR-Rgq#g|7mULuE6TXHJA+Ru?q=c$Bsp$dD6rhtr~3) zQVdjhPYJ4lp%$I29!CpDO^%MZNj~`2SzS)63FgV@93fJl=e5NlbN({)FeX2=mdZvw zZ*5!QgYNsawx%GJI{>?kss}If)B!|lzhtsXz#x(ewG?Mm^3>&Gxe>qLYfkEpN!H@x zhFNs`i#c4n&r%uX*%eRKBa@3*@E5o|ZupBic(RqINS@#dTAG?#noH5!79I~OBZ(nN zUvOm`Pn)9qKCHA0_ThE%rhSfn;vf6Wy#9WStm(k zQN5og@}D-(7}Jas5;{<3j4f>SN~w}o;YF@Oe|2Qi5J#RihvSWpIc;`9OPe}fv_+Ob zZ3RP+8rM}TF;8R2uK^3FCf7o!0XHV1X}>vLytp6kZzFf%$3H&X510Kp&zf!G&1dn; zUV|}!MnslQ>IX(;eOpsBSL`f`Txb=nJL10Q%sx4-YwMad4hbwy0qi*DVR>!kc;O_+ zF+}y#I8AWE^5=*@|J58TYzNHtQsBs7RT$gyf4iJu_V!~f!AcFygrJZcj~I365M&{$ zxNCTDzawo1XD&1mH6?RH7!uqHK~q~1AQy213v#c zw1le~JYX2F_d}{}e(_8%#{%(fl4El3xThXbzfN&+lH-iNOYmz>*sjmV2?MX4i}6D# zaA)B=@E^^-qXRGj`bLjN9HWXp(h4AsO}c!0S~_1X{HDz@Pz1U=Mu~5}gNhEZIf}&b zbjMs#`!Q_3?>QVp#q{I&vE)Zm9Ycm#4by!1EvZ8OAP|0N(3e9iyno7+I???nbAX2> z$X#nh0GL<;L8tsb%5SE1vVy;6X+>~6#F~s4&38VW;&I9k`so$~985)cF)mhrfgR~> z|1<~ptEkL(&-X|{YN|2#y+IG!B2N5d4%6X>jpI8`>rU~=2WF-CO)tkxk^hC6CvN!M z9FQuD;2})BmxfV`mkqh%GrOa2pOXB=_~~H$*bD`U`+PTFV2cIlQv<(06M1ff8<8K( z^RQ+g96_50-F762~d{? zY)M7i2j)1j??=q1d%iHYTz9u4vk&fJaBb;w;b`cAD#+jN%oXdu#a#90PchFw`2lXj zwsv<6>JzX0xm5l-zrR*I@EOXRm+HvQf+?Unh~p@~!sJz0xrheE zhd)N6cbziJ;e}hnodaeNQIhD$8o3x}UyeUcHSNt74G}r6*YXgJu`HIQU_j%u+Z-BBh!{m~q8o;A+cJ@E;YhX-zGbG35( z*z+R!=F};tx9Uc}uc^j|&8-hNGudbvd~=rK=>U5KKftM>E~>3gajpYGxud6Jq*(fq znVur8H4QD|$k@Gfx* z`@hUB;>=G>NBD_hH|~8Idlnh#LsK2S>@touT)gt3IV(*{ z1SQoq)Z=$b#li%~h#o#=D8gQt%KfAgZV)2f5Wi zGhyoF#zLHLbL5L}(;U@PWPkA3fS=o?O3CdNe1qk*$p1+_i`%5hSx0R8&>Z66qH9f2 zPEpze^UbgiZ~`>a9Aibw4`x;mv;?A83g=_bVjbx%-aCp~hmV{1ftpXvvLtR!@B+ax zTI8LAGQW`M7~dzNsT>9PbznJ7Ow7CPEJyAzwaJiOMU{=rg}ylSb$hY9sr}BJ)+5&a zk*7goVVa}J8Qs}X3*uKlyw<6}U=Yt4jsfC=&&|PUa@5GBS^jYSvuTbT9V>EV=@K`f zU+uoqay3qF;+%i&2@Lb)Ut{Ohc+@P=v1Yr@a>HKc71bY@y+p6?asHZq%p8<1_doQy zQm_8J;-;_Q41Z&~Bh^?^ir<5-bn`d9#0wZTqT&R8dvJa?$2f7_Kg}KkuwG%Z8|xfD zemthq3Qs9jMhe;~rhR2DGUY$wKqNPWzf5ryn&jd<#J&*Y&{bokibjVPv=qWnV;P!; zu+_8Rzix}UCbb5aBkJ^{|Jtk^%3X!WRVK||fnd|5iS_(916H&)wBU3Rgjpec(XY*W z62-i$%@yH2SDPDb;+)@^M+Q~Nqt4G$w$;+8T6C@HNE8jioNcf0ujOgWFK!d2b7Y0) zDl2no!6w*JR%pEZ#w{2u+wIMANuVbIc*Cy>Gv5}zbhCNP7QXOG6Tknp`&Zb-pZb-V zn|1mc!>%7#i7I1m#mge$+F}-O-DYk`ZU{Ev&LMo^HWR;g^X5%(bs2Q}4B7**^PuX< z9Vk>}{@$D)uK&I1OEBZv@k5E`*fKQ1=YoF^F0wr91M+G~N+Ym70Exju6cHn;13FMV zX0hSEa_2M+Eo=)e!gZo_0uZIQU>&>s7Bk1-&wyFy`%mw1WQyDGaG2uNI~?hv0+)Uv z95bN^jcvv1n8jl!?TSGh73mbhdcq(-5XREQXRDR z<_3A;5W&$R2Ww@llysPyaE0QFzTy>++~Me#?y7DJ_)&}YR%P21pYOw7<;0U_ujc5j zBRds$nlfiJpa3~prIZHiW2FS^;Byi!v$9xm@y@bR8sb*?6^2F#Y2k=B>*2>{uxtF_ zb_ZT-wKsclYcB0+f4svSgkN%&U7#uuY=p$H@oMLV=YNn|MPfI&&(G%9y!hcAM7{IZ=5z2cJ*j_e__6sSdMohEJ`F4isbo=je&D5+XCja)zGP6>#NY@EF6@5jbJ zFWXTrZpe0QWz`E|=Mxh~J4Ou0LL(o=a`DKHZi;JZ%i#{tnT;J!`G@AH6j`z62C?l! ztRsW|Y0jM45P)1-SNa-}u68Wa;)mK1l1UqJHwYiC7-?j-&$Yp~XoIh8gRf%r={mpgjjG{L(RPPL4QR<4V*f>Ed{(A z#11Fm$1D(NZwzWY9jdpaiz*_AMqKkX!0^=y-EdcNly)VM0Uy`nlOU8n?x=Qk_d;!Tc%v zIEjn0pWrEa<4H4D*!DSk5Afmlr}DIB*-C0mX#fM8)-F7wcu-xJ|5^)ZoqH{3NeB{O^dp?dRSWcyvChjUwy>)dBE8vh2ufzYoQ?q?b z<@gf6-HG+~;%<)IP($E1HsGVWk?uALj4#jEH_!^YIur-gpr9K3J2{CLzBT*y4Hn09uk_77y5^1XVr`r|>Rh_Nr+Li}&+Z zwiR#|vb}5e4RswkaC+i}`#e;*5I^uH*NtdQW!BoRVAO#I(onmau9?-ga643kyy}pb zbYSbkVw)h|y~ojakaS?_LYtt>#IUu_dKZS?Ti-QnM|5SuySak$Jd6$bPpwU8M~lJl zzuPgaQY+?pMC!-SdcI4t<~39V$uBod)@rFxZhr&sXwH2D2HywYG)Lx2#?I?1_gacC zE*lkuutfD?^O*3xXU@s`PYnpvp#C?#XKotSd1u+B#91!Icedbv|s?g6ku0N_0r6uSc@yhdA<*W_0eE)3djYu5yGHL<$D z(RaFrzm=JK{#Cu2RLB#E1^=L=0ZX063%MjEhHsPv7aZjORaFr7Y zw&U9d(hk7FB7;WxJYw9JIEv~*P{dFCd?D#3Um;+cRfl<{SVr;E?$JBEZz zo2E4)%KDPb_&UUUBAlOQzZBU=@mqk`PlLZclD;ed4&axchCivBX3tRgQJ2LRFc5?s zMUZ$_iWMsBCYlQz8G07t2N3sm6FuiShT&gO)wJcwqN2nxEHn^OZ%x+hPL)1dapJE6 zzH7ya-v+!rj(;G+#P0yUPU6kW@b9@x37?;4%1$NSo)VKU<7SE$lD$qL6Oj&vxiW(! zCf=TKR$3ApLz)XT7D{O|z7+9n$s3b4@tc4zRQRZnh~Ec1%ZZovz=RY{dkcgz(WB5Y zWc=JjP0O~&dX@1!#FutSNBkDMI5r;wyb9s%ku*EHFD&q!l`bojWZFS_>v9wZ;M&_aeSr79Q=DZ1##XLf-}YwUXXxWE5~@ zWJP#Nbj^NPyx@V2wfqc+5n{gChGr+-5-5dBd>QbnW7028QhFdejkF+WT|0sJEx?ae zc}W3TdF=-N5{d7W*DzVoOjEO`#U-*DTn2oFc(M{kpFu)(yfjU-Q^Qyp#v9Ybnz4>C$qb)N6SrC6?cK#IV;xf#leZE7TyyW9oqL82 zAU+59EQB%bbP@(>UeM-Bn$il^pZIHgiW}xS`d2V)@6|b9tGuj#gP+?4Z`EU2FOfDA zH|flCQ!g<%-_bvn;ga5({Vp*y-_ch_Pa^u3j7rW!eZ)p^%JA|GadW<7@Gz!2m7&>- zyR^y~sr}r(;+=fQ7_leEk>t+7h|S4VOoiG^+v25uYh+a~!?qk-QDj98BtQi(545fq|NRa9mcOAU-xOtIR>- z=2_4T=CfgtczBkh|1gI44MGtr})k&ZNNi1=!jWAOP5XFE0fG@z`iFGqYzT>N^( zNwerX5a%js#dk;OmWcKtJ`Z$=&d0u2O%@wxJNoC#vVj|h&*Dc=^WwASRx4lPm*I=& z+s0{rfY@H-NJ?%rG!3smf1K^en8ompp_<(-^NCJ_GA(JRK#SBVIz16TbC_mdCTtU+ zc8p$+Xk=JKCm9w`+F{V*v#{`4!%vS#GOfR?PE6I9&r0x9gRJ!AL%6t;s*!OW7|9f` zg2eNWGv8_Pa&yz~QOIx$k|%(TSuoB&yQnI`-sfZ_nk2PDRgZ(4_^XiYGKBNf@M-H zbm!^mp=g2sserB-cIE$1@zXab6l?M6GN9Bbk!6tDZiMsGFqbD($;mvv^;E?8c-PAQ z+juA<{&MTtK(QanD{(Z8p~!y_z3y}g(C82FHdx1`7T{!y`^Y|*VvgB7=W<8<*O zNKc_LPtrf>5(ipiJwOjh1|qs<7-5LgyT-fn$2Z_~`JKK2(67_AfEoO!0x3l$Npfr2 z4198?JxA@Dt=6AJ>p5Rqaq?0+GVP#9*Hr)^6Z_*6i<_r91}8k)M?5~sk&*gnA1spD zJT70169ds-O~MhFX#O!jmSM%M1~cwLGD~0`{@`K9q+cmaqhQa*`l^d-|fKba`zWI!X;diW>WT~?>a-X zFOd1ChoXlED^r}U?N`MWZsD&2ex|}ltx&SEz~`L?uZ^>2)R<9){5imvMESehWbcr$ z4umOk`WwdRy@+PXM#QE+C(@Dj@_6x^Ghq@~-Vcl6gxHRoapwdv8&RRp10-o}-;&Ty4hYyY-TnZ5t_;SP#<7e|D zC+bssM1fD}UzFOq}ewJun=*Sq&@U+>= zP{^h+zAO^A^4Ns9I)4wnc8I2JM${RXCE19-9eA}T8~QS$dzh*V8}a*Nx%EaihY&67 z!bW`WIqVr8`cu7#<{_%;aMlJyXCfMrc2o?+Hv*rf#ReipOZ-*9&y3-5{c@I^+1N{@ z9{?S_gxzZ@qXXw^_U+Q9C$691NblBrC>D0{0&IMP8025qrV+nSvPkX&vEa+G;9D}7 zOx`1Nr42vh0K%tG99!eW$9Q(W7+i|=li_9gn!OQtOvas-Gt%~hM(M|QF7a;xzw+Ot zDV*0i4bwBtQsA>yUe=m0PZBnPP$vno1$Q}YrdGluT{5Idnc*qmBO+zAq(HN8mLqL6 zqlXafnyY1rB|Wc@Bk|%Q$B-E;Xy$O3g@EcRb>goDep+13Spnh?6pD>Sj*&C2NB9%) zlt`Y=QY0;}NVAVr>E#Hg&Je$>NW4=73pB%rio}ma*o88jUaZ+KprN{#$SH<3kGL(x zVn(rJ%xH#Bg3dZ{I5EyY#`!UB&W~E|7+r~|T8v{ef-}N;J!l-&#gRiaHeLtkrKR&g zEib2-{%C}UVq$z+iJAs2rLd~84tR=>@t^Q#(-K6ez|pOg?HxD*b0ip+BAlPr`?7AA zCtZ>F3tsf8dXxSdGXTOm(I;G(mc%xZcDNK5IdZ_HG8$Z<*|*3W*8FP`)fTGdlT~Eg zL_DHv(K(g)Jm6Uyi_e9)y7cUK66EEGCV|WrFkZ*FETujR9k>!vE-oZ99z@*Q)-%2Z zaZ3PF9|bKvF6}AA$!GDVmth`~^T`OZ z=P?R${85$-C2c8aTjZf8mC+-Jwu)PD#?hS-4sW?Au5b*_Ao*mu5|KqquMii2CX?1x zaPK7-GqPTfs5f>>pF!LwWI?v^6`>4Bb5>fHb!v~n9wL5IrAVuEjFd&dkHCIN<>{() zBuQMBhr2?a%4GC4L{0HtrDK4M9`S1SgX&s`neAF69m)6^>%}nognV1!scz0%VEk=51*~s-;ZUt?;Kt=_3$_{ z(llozUF$Npif>)8STKC#TpBbk_Bb-ja}q=vl3AVW^r6R)OS}-R z-nOikA4^vauSccHfVsQ|o^6On%-)snGin-yq&w>=>T<34%Ksq~!q1i8L&ppNSdwIB@JzvNO)VY4|X4M)wpJ zo^wR}glgfFfw$7z&+ojlGEL@qS~1kAV%+vfA!;T-A(z`0@`8dHkTwt*L};!wIj^N2 z0eUXb>5TT0hm%m!1n}dpYc?#8G#B`BC{k`Gi5jMC*A9TWNt`{uu(>*zn1A#!DaHo| z0=k%VW+1jqGI%NgyfPT_sMJ`MqBu86izV4bz^rVV+hSz2UQr3?ywbTKV%ih~!MdL@ z5OcX=2oYZSGmb65T&*|=w*EH^Rq+f}QE^<4B->OD1ayVzTuU-^B!$?ts*X60SQbq4 zDn3Y*;0=5#3x?iP1cD<`0z;oG0s;MOIv2_;4@!nAfiC0jWwr76W~hMoR`4 zL9q;a3Ci$w7B_iEN`@)|iyS@+a$_$n;rd6AD_13q zJSBr}0*f~ygAiIo)H{;HMQ|Kn=iJEfmSm_Rcuxl11Qu^hqQrbF8C(Q(H0qog8BR%t zDu9sv2N`w~Sj@59h{?c*?jgwjS#D(LEg7l^`pKZ1z~YT%M$AOX;3A-V6UJZs97>KH z$x%fxSq9w%l35N^OAk)~dlFxQmeWBvSMSuzbZQ!p4>cz!!`E33=Ki810+z!HClI&u3<7LoGz-(u|?13uKsLeW9%zZ8mKw+t>K*ozP4+d3Hd zMB=?6!s9Z$h~R`m6MUtxKhL*{`I5>Gjj7jRV2;Q%(YmnI{Svj9-~c|^5(ZQSLW^Ui zMq70XWYZyAMUak9=?+7E6@j1-K4yx*P%%Epfq>3uowcnP?2Bbe?^1xXRdAUD`gem0 zZv(hX1@8gatAe<2um zIBwox`HIy`Kz8B@`^#kBfdFhB@-G1>Q$Z`UDbb=9v8Jl1=s2Jl&XWmT1U2}SR2Zt0 zgpiA{F-oyACgxhn;Uc&_%D~WFia_vAl)%toMId-TN?_=SA`pBSB`_2^swe~}qm=ks zTq7B(2w1jFTSRcD!V<7xUR>>X>Lp-1Y?_DQV;S@kSgr9yw`9bO?M_}7!EAhy`Io^GMIpF?6ge?7 zv{?}du8a~G+M);qzljnUx=IlUu89&Dx>gYgwktv?ZU@c!jgcHx1gsR~N>Hq@1gsIT z1k55%+Dx7$QEmdoxH!fbl`t8TC8H-f1Xw4-UV?gjQp60bQFwxN_$U!i2SdygyabUMpftY_t1{c9Gd{V-p4hBys3c<#7OZE(H!H4c5I1nW;bVv~h@_JY) z7@CI)eNY*kWf$EDP&2rQ{pbue_L6iyX^CAF#!h7KwM zK}2dHEFcWdl@+fdu*6o?!O${AAh5(%)xppjMIf-GR@K4KZHho(Nv*0QCbg<~sa2u> z!KcJl6)!fdYmf#^Xb@W!fhC;Sf)~>%H-+-J+@L4~mN4B?n7@?-H^J?Shu}_p>?(H$L(eG!fhBOa6!=S$;3i0yV(}96#HSMX zr2b7*6oN>vAX|otB*9IvR0h2SR{ywV|5Pg;f;PoNV0D#ScGZQ7hhUT9A-Dveod4V% z3|_A&1Xh2#WqtD;|R9@Tqn(bU+aZ4n_$f1`jC;!HZD} zLoX`=!7EV$L$4_U!5dKmLvJYp!8=g`Lx&ZC;Qc7!{T^6wT(BAz}BkrjQsb}1( z5Y9ke#1qbz;hYXamO*@^EQ};CGdJa+Qro4Wm}wRxiy%JC;{@yQC2E1x4hAkr7n4sW zjto)D@5hIwXBWVOD!3bf6#$khv;`l6zX7;P1+M|PK?Q$4rTs5PExHMnj}6=Z>ror( zGHlVLiLzN+q0W{JNL?;bRRoF} zO}h~&l{Vx#gz$@!Gb$n%qD*CKt6{tw+^P*5zAd4H7$!2c_Bbpt%sql#t9Y$yFsB-P zYy)8$+8W{|EE&;q&hCKv(WiTp)Afnex)Y5GLEXk(v9#H-<`h!Hd2oCg-G9WmF zuXDd80h1{i77=90pewWtuug>=0oKUiqKyEXRq!f+8&vRCfL$sGN6tubQK4!;u@Gn? zfvQYYF}_9ph^8cBg4 zh=8;sNRuE@UNX*9!3qGy7>ZW#Xe4(NWkx#vWO9d9Pz2kK?giE=voo(%d}m&(Cd*Og zMY4Do!ATkPh6wreuybdvjB-T9`!GBG#I%P6%7Qh&MfsIK4lK=pMYaH$H*^H9w)$vkP2Jm*FgSQX6eZT<;>31G(>w!)S})1s=!4v5iC~NG5}Rz zbnaXVRGp-{2R!|r0=_Aa8_Q*gx+E%khcOn>@%UIn!n%R-^x&>XcssthW6HhAaF@(G zD!tpHWkl8TjVLO*?mmco9+r7|9tU_v1+6M?Ms$lrsY+3CSntCU=OTC?pAsxXM-+kJ z!zh8Fql!TAag<;c!z`Ja4JBj(8jq}m#LJW+5FmOh-6x1<43a2f%Q+VzMsA(ZqUfW0bcRrQ)E)q7i% z8m%e|xNxwPF2P28aG9YofWcFWLZA)l+6X3HCmE^;$j_9xB0%VSMIf+J#@g^2QZAMZ z?xg_BRq%X(HTXhM`6~fGRA~74+mEZ@w*bs7ZcMy^tgN5!{SV34|f1Oj$)> zrHlzA4Gh1*hlbrbB!s&N$?P5+VUBCB39d^dXLJroLiAdEtScc)Lkg?V_$ILADKc*t z!2*1$2@JUv!5soz9;H~VXVS%zql&;{h_zt`u*?RF$Jr5vxOHS&l!~9T$4N{wMm3nZ zHA|GM*%`uULs(Uep$im+U~80M)vFa4GGWHRTL}BLDrix!M|7J)5$wR%xzC8bRWVp; zLgcz%;RqhY*EtaxZj%gE1QtU~7WV+Fa&Qyu!k4V!cU-a5Fw6vTGu&=OA5|!V{`>I1 z&IJ;^7a!NB!D99;Ng2YGnWT$ZXC|eJxn`1GwB7*1_5>`9jE472OG-%$+3{-}-SMF; z0{(DE++q}?R#{Y*8f(Qs@DIjE4I*^Q^lmS}FI3PH8L12KnP?=Axj|*GNG9jTp`vVN zQf7#Se~u4zA@~Yk=Qfhz70FOV@P-U7BCvR4HH&5Dj?XN%%_hUgG6xUA=R=`X30g2Q zo;!A^cpNNyB)9iP0G1agC;q0% z?T*hoCQYV1Pv-3*u=0)x&<(7rc2z91=oW|kp_gQO7r`s|ROK0ZT@eW0i4rV%FzKz5 zp^Cs_uv&#@1He8l8QcWS9{vTlC&ZWI?s#Qbqbf+!;){wkk~yhLdg2p%kl3oECq8AY z^>;;6R;iR?^_-+WJ!0vqR63#uh4}k6gN93WA{d6Rb5D|?r(~!i$dExdfyEnZ{}}wqD9^R=NwUNMSw1WxV#ELe0TBa6M2Z*@G1`bTo81r)OqUX=pscHDO$9sRH>i`EqaimMT(Sq(4sH4Xpt8!Qlt?nyw`Q#^UOTEdj9`+_&r?r zb$>md^Rcs=860U_!9nwetBH@ynIPdVVJb@OS~{A|?ppoOL)D3T>r0 z)Qkj7=$<}R8S9rWZ5=x+y*V$|HT~Pl*jeZCu{*QG%OlK1VfUgY&xEE)Vy`%l*85R# zP$2M8I@x1!A2dJh{^-uwz{lFgu1c3Y9c!Il+zE%j(&mCbQLX8Ht#J%2Uk>Zge-(60 zFCGRL_!XsbARNFZ{wVzKEdLQCeGG-iH6kCOW1XsO^D>xMpzwSO*n`r-=|3Cy4=H|# zQt05-ci@zZkti^m0k)GZhYPacCmA?QHsM^rl&esRBqcRu&!e;y&23I$=kW4_tt^q2 zx`Zw$I#!fQ)j;SL8cZ7)NelZ6%rEMe?msBj^|6*Qm#2(2(O~*~c+Vgd+|wY8LCKyy zIt&zt1i?5&yHUTuftArCq0Xi)G*d-0kqM&9o8Rn>{Vw0X3A(9)4{?_G)Z7l3tKvMs zJt}SmY*TRuU>6F%#V9)5IYaq!26-^wZJoFVy4Y>C5wqoHkIXFo%BOpT`9 zY||bTwwuU=X!ilYAr+ee9eUWkaTv4$g+auXCjE@gi;h;tdx4GfxssZW$*FS3{nTekkEnB za5WIBd+2?D^5%kzCi4MBNwpE`P&6y0@oFH{hXylf)HJFCp(%8rG*1nL1yOZ#R7H41#1w&#yvZKw$k(HDcd({kHcsbjvcWT3eVDjViX>uW;tCmK1n;Zoet=zBGZ?N z=n;CN6ykfs*@&RrM@%(+0RvPdRG{$GLNCfcRMP^$Y844jixFQ3TG)Ad1}5C2bXa4JUviUo)H_X*9oO(#BPv_6{S1>S zw~76IB%jo?TwMt(P;@*ftyBYHRcJ8t%Sc){P{!kb8YnqF%3-g)HUIsj)u~gR2lWWA5crg$MOD0Rc8i!Ke56{!G1U^9RZBRjTGPKMlV>vNl3=nA#n&S+ix~V2#c$O@ zV8ZNGv=thyJY}lkgRa`6plgH!6OBkkFLJbP%pEy?4gquZl3HPX$ zaIb0!3sg(^iE0TCsFuLzZLtq-xFbak_DqAdlRg_=E}Kfxz~uA!@0|mM#tr=DQ1rs-L4^GzcpK4>v>UB{dLU4h@uks|LcGp@Gs{Y9MS6 z4QBG9y1bwF#rijuc~aRr3H1o3GA~1ElNt!7GW?1kOe^#3%A#{StN%(OUBXcmj)|M0 z^v}L>IpYG0_{=l4n07MsOB7s$5KO3NLTRugqe_{pD+)%F&_~21;R4kX_%O9RC;H`2 z6y%v8oxLc|GjVD#4P~ZlG%=wXMSDW&dNmLdp@C9L48BXO3oVpx5Q|H=DKt=;pa#O^ z&_L;CH4vtU21+y3KxhmNlxC}8wh!dfXB#n$jd}M^6VaYnW#se-64FdjO5xO z&+d?y(GJP+*Ry-%WuhK=c8|PF)FaRCk(Y^jL(z($=6|7JMG#hsm<0Gmzfd*d zX;l-RQ7z$l)tcFkQh8CT6f#^@F=O}NY#9LKOm?dJ0uvxW)t*RybUbTdsswM13 z$zDV3-K)|KkD$Iu+n3WL=Re1xhmM37b>Cy3TS*-rLA#%52T}X%MIH5AXcOv7(2gde z&Ux(7Jar%}5;5Tum!aUxh8S^`=sm)J1#0$so2m&q0O5rmd;W=7T|)7NoUSCHjfmkD zYUJoej(#fg4DY{3o{7k_Hge3W`yeXiMJDl($o(_KqaqK;5SvkO@kwx`Q3(PQ;{01< z5;Kcg<$N?`XK{52r6}3cOU?P}Ko}@ucxlQfNM5g20-qw89Zttwy0df!EEax z(0{6xFt|J`mJhPLT~sb%o>~b%S1n<+h<=jzvZ@KYMNAStS1sX7)tcF11>;5K5tx~S z=Vd6(R|A1r)IjMkY9KJ582oU?heO5I#+*j{%-OUXKmGzUO;?*~z1mFs)n>Y&Hq%}{ z&a+>CnM*>2h)IHfm8uCNRZWO$ z+C|oy$T}+h$v+Ev`>g7hS~FpNcFmF1GuEhPR!slbG@S4z3LT>B=E%Xb9n6UpqqhYy z|65ZK_KO(qWVG%Tl}Av=gmKJn41ITtZ-T%~bcnvGpyu!5;1Z7ZcShzkmmY`2!y~A3 zB5<~5oqeb8LGeuxkgX^^Zh5SGy8PT&txtMNtS%uoAa`Wwa6%kBg8C+GUo%Mh0NLZ> zn;@8EQO~G369o&1&}{&II$*7!GUzT*GhrA?`id3!{lMbN*oFRZ(2;5-Bv1;RMn6O0 zCe^dQ+~|6(s60Ya#3Z3swS_peiyO`j$tu z!LFpAW5H^JdO21VD;my!M=`A%7wuJ^tzrR?|;J=5wBeYO@4+XUo zc83N^|E&hXhoOPeM`|GK3k{V1ss_Smp@GupD7=Cp9ttg#{*D3z;Yetp^tBoY&7pzP zw`w4q3=Nd>21+VIj71L6lui?a?-E;u7D~lpaS42EarW#|lT-%+pI!W2hvDMj5gJq^ z&=<#pyztD2Tz=6oac~LOidaLaMrnbsHtkw>HDH`34G+U^*3re11k@ykvTkr9)j7Ch#Eo%5fdc< z%~QkrWy?);y13RdLS4hJ0rw#1K@|RJmEF+_xDJ-6XiPw#P!(anh~X#LWuX3A{Fggq z^gAHFF5w^w&Pn+h3SX$6a8&fS=VvH%8N_i38+imOJTQ?1nGaBFI4sOck9@~3&roP3 zt|>w>N(@~q%}~<7u*rN1_5c*jJ7KJdNy1Gi__qJgX#@q3|3Ek^=mq7mb0! zdL@M!3L8-1Mc8cg6t*ZS%24SmvYfNJL}@ap#G!J5UqZ38)E!kU)O@LV+2fCvc?gy9wNLm=R{e z0u&q$3c|4!!ZptPa3btcr&z{}CY)j!t}|8!C&G|RG5#>L2uDze=@Do05fn_s5&)+X zqM62E-ab?90RXGACJiE|=~}68R5gI}1g)7Ph~^HKGZUsxgSFqpQWOmCAi&^2O&s<^ zs*~#hc*B~G5)e|OU@Lf-aLK1~5pccpn z{#!LSf;ORuFNL`%^t}tnmjPJ56_?}I5(-oVK9@hcX%(0I#>2Bcq8)@W!%>iez(@FJ zr=X@u9SDm=OcMB5|7_pw(0nKkE}>(kT>sS)`B4AwI`0u@k6;|4rX7X07-3isZ2-(- zT{i58^^kq!;npID8e)l;ga#c>JCL`)G@pr{X})oLJY3Jqq@*#gbm z;@}dt|IZG-WCaX+aaogZ#MAruh8W~EJneBkkCfd zv+p8yR5hVQ)u)?|G6f^?RM>L7IXFX^KGr8$%caV=^b!}|vDND@@)4qQ3Dqe1xcDWG zR5igx(Fvf$cQ4S%Y|Jqn1!p5dkfR-u?oeA%?M#$k9{8fg#%?v9l{84SOW->lvR5e` zri+6|Fb>fmQ?pYXT*6)yI|KSp)gkpD@Es7ghdLY-2ahlq4mhe2jBivOHPzza60R4~ zBk&y(*?Fn)r;3M5;L9YkJ@}f4!Yf&wOBg0%ErD;0_^xkE96W+?h?-Uk?I3YT4hCp4 zKWS#79dw<>;FUg> zy4aKL0O}ex=@{G`v4#hZ3edr-A}m75u6!Ug!%>)?I3LBjE~lz0FcbZHu_vbk)HSTV z4yuV_4Qt;Fx>Z!6*LKjI>P0w&lHH)l!LuELdEx#Sc_irZ7s-|+d?sRoz{J+!bqDBf zQF#Q7NfFdB{5FP;yTs8YsAFyDh~uxB7zQ3yPeS=sGHnFD1SGpthXY@ZGM#&hbFvpe zCgzHdnkuxttA#oM1e>5?~q9h7dI-wRt4V3ECKo}DmOtV=5li>gpZm?SVx8$;JOk_VpK|2u6tEmK&$+X(j==e+XEEF_{z-$H19e#!aTLgU+ z7B1OEuskVNm#`m2_Zv!|sey1HG*CLI27q65D6~-eQY{3&sz-B~DTpfb?8@-${J`DX z)iy%)J_>rf2S5*qCKF%tb0!L}|A=EKJ4pgx`IB93=!35&ssYtJ7-8nsEv@YZKfA&>TTlV5*`yVyag0ly~rAlz&toFyjqSw z?n96lOR)P0V2O&RmII)NPt*rlSWR6%$EMFu?ja_n}f z?h}s$L7i&|3sH)2rLQ%SF+Au1hhz~b3si)MP;idV%TQRNBtLA4@xZYHcE4yb99rOy z4XhsyGlm8E2#=viJ_^f~b9umG9;WStE z$WUZ9>u^T8R#YzGRg~-n8##EkL$qKchXftgNwONB_%aIa@cS7GOl^Gvio&Gg7sVB0 zOF>=39V~K{Caf1%eBD7oUBe5ZO;EAm_?sZUj>7&VGL+s#DJ1J(lA*j6#rmFu0hmLzXVNC8zl%w z?EzR=D#?6v6mLLqy*^$(A5g z1<4W_N*X!ZL>BOp-EPql_8&)^BLLQv@7xxbiV>Ud+!1F+Q)NwfU~L0!Clq|HCUil; zdpPnk6tu>0eCXN^g;POPb2$EO*#Ga^!@|ex;+Z5YMPaI%45jsIAiRvy0@sKYJu(zEW7r)!FGIn;y$bNEiiAxlxLY=t z_$JC}_;aXPPPa?&^r2vDfVNS*$}_}=P;iWkQYM&rt!QfqAF2akKMD?xc^L}Yrtnvm ztZgd_XMngH1v5^7CqMs&D}qhXYQrlX4#onBND>yJ@DlloA!X-fNS3J0bOITRPKwGU z6pduNaJHh*1_kqIyEb2k>rXbUIgG0*gj+jt4I9C%^vHL9D4ujdvk9$G9r`Rlu(VLyBVdM)Zh~*hZfVga%cvKhesG8Vv=zaRU|Mh>-Pkmq39y^L@A(BMZz!? znIH;Ps%N&zzRITFfuLGh4b84tYX}LH*0?;8>$;-yk_;8KYA4j?+Nl_;c9We0!qyZx zEl*`Aj2J1Oe`{DJeZ^qB*}Z#EFnolCBBlt7QFJ6JEmeaZcDtxNf?C5pq#i0esrLW> zKkFjIv9f&U(##UzVj@OrPi&=GI|8(_S`$PMQyGrmdi{a=Sb2YqBFqypNtln4-K@yhqpxv@j=R)6 zjDr3Wl8M|5p##2up+~bg*AkASusSb8sgKJnwS>c-F;FT(P8bL#{rA7h~pwM;PqGHWOXp4fw<=hN~(@|REcOVsIe7@0_1sN(jprAQ~(p)sg|JO zqdTCIj;sjv6FW(zbp*{i+SJcAuuJ0!dqf;X*sEGXvue32`bws7;ts>oQ~I1Bl%uqE z?!rX@9B+Y3p!3UF2*1Q?8x6=fQC0@+Itj4zo8*P_UK-k5Z(Arx*x z!Ecv36b>lKP@ZaxJ|)&~tZctlogxfDk(8!N*1`0sl3_DdByec)bEJFcWf;U^RAwUg zp|R{g=ErmzcXeD2T2B3sI*mJSKAua{;4?+!L!+ zG_gDe+@qNRe$i&gdeEY@O)Z3vLj$GH)Ih+WZ3hvQ@=?$k}AU8e-^xMmmrU@P{b7BX%R;en5vcIH#z-# z@UWGaVOyCD(-!3nccjU1--{v_9u#y4T*67Cr=Wx2a-h4Rh(84#hHweh!F3J$k5dDi zqUP{mLOKxzGfP-2VlCl$)e>Gr(WOIay&4D`MXV*fs#?PPsx=cWRdD`sfyz2oiI`*^ zud9}zLE+jS57k3rt)q3PiYDby&<0VZ2=hgB3CxOtWs5X^8L*AkugsmdF zgm+X+*sfZFHY%L&Jy4yNluU$qBBlsGRxM$rY6;J%mf-JJHQ{4b6ZpDw9a+;g)`6wX z!6I}PF-c%O^u=EVLApl_9zknN5>}~}uwKL@0hQ{vie4tF-?QtFE)=3ZCZax$iu#y{ z`Z$U;Y9AAX7p2BggsmuSYa&Bw8|yE0?!d)**9=I}yC~>j)R^$I*>QCLBnqsA)5Wol zP%GkS0$ao9R(t(>;6LtDX7oD3G6)run)te^ zO;_n{1|EB)X*buwDfJ|D@Ka1Ssso_2h%Vtp)qXd~lOVCyMKN9!6Fv(Xzdb9W2UC!Jx#G4 z9mSd=bVA8@ZZ9VKnk>a+njqW>U8u&Rpa)X{4I0aYUDH2Rks@Vw4rcP!^HzIU53NIar@1tOJjsZGKoXc#Cr3bN_s`~-7apCFW zV+0*Z$?3ucnjSHrOoIqLMNAQTsg}@3wS>N^C1?fV^pQ?iD`BRHNy5LWmQd=CVi%I7 zfNmnXgq|omLzH@{fzUTJP^uL}cq(CyVY6K z-uEDMnPhPZD?}VkSfyIR)2bzGR4t*M)G?ZM^b^q~3{WkhLbX0|kg5rTRZSSGT0*62 z3By%O7@=B%jzoBkKM*bGB-UDXSgql@+yU#yC|o4I2;v$Jg7tfiI#{NN)g`PJF&uDO zCyL4=s5RVsrb5*yR<{YTSj8oPWhxSU4GK>S4WT()Nc7mK5rq0WSutjgBC8i!!=vEZ zAU5Br$k6<{3*q%3iNw&Is0<*PS?LsR~N#lLj zfw`S{x@7`mm^UpxyX=cuUGQKnWL5rUesegt|P=?f&tWl))l47yfD2Gy!) zW`g77tBO!}BS$c7(tN0vsC6k|yNbsFCsp(ZBA`lw!pU6)(`GT(Yy})pkwJOlSW^b* zrQ!%cwTh-iYvK5@#3Tq`q40bg#U~iw7X@lRI1f9{0{AUPky9##O(+;B0-GUv3VT&g z_=|`&gk!2@EuE!3qX?`?0x9%VJzL&K#lAOU{S8%l7(hoaT(RG2lDdwLT*D&`BNs{{ zm#|;Na2ja6UsN7pF^Zn_!ass~C{SaES_*Lm3U;?ufSoGt0eq|?K`RJ%+^3=XqgWG> zV|cYP9+v;1aXkJqSI3*ALPFsoh%TWOitdk;I*K7hC=Cr}0hA-jFv&NXP$i;E zn5fzXfF-K+mjYL)ycV!g#chC{DiS^rvF1a-e$}!Or%NM76SO_ya2!I9O2isoIQ2yw z8^$k8h}x7%vsBn&HS*TXR11N~{GZeO~p0LB{ zDHM+LrJASVV>J-}F7j;-(HXCLVoc<_8_Zf@ir=E(^B&Ohy{(fQD=R~R ziZFEo#T21lM3*ofMF*48OfjSg^Fo8EgZa8iK99gejPx>)d`X1SVHOh_oyQp3MM4t< zZOSMD{qfl(sytj1z2G=hV%%YXgo=duA|~$x98mFq4?HZgdkjz_S(9A=T33=4G>FzE zXh*|U`VeA1Mv+(IO{mIHcEmf}@m_^$GYUqNpw{rX>9f!OOY;*BOr&R+pVq}<^$43p ztRs93M;%2mgelqT_6U2T*iT>A`KB-Parv|ZsCFA4LwQEYeu zeIM3666@{*e5T?-z!4RX0h&d`=Z|2Eq1~;d8!n-Zh$%ul)e^?5b|PSniYEL;q?3m#cF;Lup7mPY*Mg-4k#_~3fD_}WGHGS;YWh)$hs4SKgqqc z$1J?YflQskDSZGcYtD4!!ow5kDHJRRg1=~T)`Zi1Ld+haY!aI0w>!2>J){QC(XQ>j_5z;7^a19C`FI{vkuv*ng zz<3oW0~%Cp1k6>@4EI{l7k$;e2zXh<6k(%k2}RP;R4c#$6-_)XRAUB*02;HcjNOD1 zOeRH*4<~aVRD)T6G@FA%uW&YxVWg}H(ZLF5lk^Fx*d@F#Vz~Fxx=d6af!6GaS~(fx z|Fn425VnYz+6vgEqUr1&(7%exCCr>6tA@~o!mMuiL2f@B9C2_v0s5#|2bij&N!o4- zj=vM3Glwr@D1nZ8Yv*7!cZuMJd;qXW#fJckRXhqfuA<5GG{T>kOfG>Q=ndhRh%Vv8R5|{IM}Wid zJR(8fQNXt}+h)^V=M`)*7LI>3nI;xg%zH0jd2B?;BiE0M}{9&plj8HWpsaisv zY6%lnOQ=^ZVY+Gw|EAh#WWrx5?M5FscKARMS+UOt_aC;xJP&4m$Ro}dS9bwmp^5}e zP)p#z)0ub_1+&BnIH97M1g8BM1s5rVgDCR+a%NyN@|<+R6|oY&rJ;5D($=xFH~j4J z*arND1goNrtPGdX9)%CFCsv^pI1jJ)DO3x&dwtLgR0~Bh3N9!J^ueqf*X*6|bQrsd zgWD4@Sj9>}+hHmb7^}}rd+YI7+YNckW7G0|)?24Ogd0%UKEZlv-vU1$LXnWW7liE_ z3(r{^cspRJiiAxfCJ9?qyA7~IMZ)_c)(~#mCvuW-NJRGtfHhjz4hg89rW(^k+=zm+ z4ncFJ2zyZIK|Fzi;o=hl&)zUG6T3g(XAe3{UY9Ud#PIMJS-r>_o@gR#BC>|(nGQi_ zRvwwdBT6~UmG$xkQ%x1*Yo+G!IAWsFAqEc=Yl=`QVuHXpPCr1y6NIt#=}oI*_5InG z2JvtSYefv}kF1`trr)?C)`@R;I8$ELvSHCLViy+pjN7bf2yde3;h551H4r`y4V3n& zfp8!+nB9hvJH(-8Ct#O~y8$1nX!4==zDWVu#4}@`X)@b{3KaKfiN*NP@1O()6Hgd z^SCb~k~$73gc*mI{0xN#@l6qCiWn{(36rSA2|z!wCJ2L2@|`*Jh#C~$LWqKDieT-G zf`c01>Y~Yz@iTyX^hP$B)?H)jj*M9?mnL|v;Lp0!UGrl$(Dp+R}NccB67|sgVK)XSOI4=x zf_iI6nXn;;H|FrB96m3HFUaAGEzSmFD@EaHO?%i5l5TA|U*TpNxI8DpkXSUQY;a`` zAD+X9Evt*ae5j!A*sumpC7XJ(UdxMu*{#BNp4XO?} z)_OX)%rVE6;B-u*Y;B#(Vdp0Gn*&J)tAgv`a0WO(51756uVrTs%Pl?`{{6x2Mdw;} z`X?=p>q-1g0d5{vS`{p|92l^~;y*^fqu@=Jzw?7=MCe~+@fGlI32t|w)UwmR><74c z2gH`=tV2MLoPZ%Y0hJct2LCH^{Oc?`D;R6>PvCzecsJ<%@w2%9ZL$Y@Px%_ch1hPpl=Qzl*6lXc+%o>{vU5CSi$lfzP%*7!b3T{(>dApc{zMb4tLHC z{e$y=X{eCvf$=$fX%1hV!#C#eEf(hpRt7scub_UNwZ?+;e^J-$2CUBE%{hEXw`~8V zIecFZFYBJ|&llfwCb9nYvmak>FN)w?Ft4>Tw)j7@TC5i=i*o$8^Mj&nZJq!69{T@T zvN#h|Tb%aE7N@=5;$Bf)rf3uov*)V z1Xkwc+h}n%U^BVYAB@2E9EY72XM^@woC)??oc2yVvxm4OhgVyi{u{xyel&my`3h|S zHXV_pIlNPQwQ|l+Yhh%5?$Jfroa4XwyeJ>@Z_D9$JF_2FNQXl?4o5A{7O(q(skE(g z*7r0Z)+@V0H;41B#hO7o+|s>%ZO#|}+MMtCHT+go@Ce3~^q=BsXvo%xH;k2pracTUe% z$O~yrzT$A>dtb-qkieI$_!A?_3c1|CS8`H+Ru!=L;?AX7;Kf7VppfZ-lJ?=UX1>Zl-{5 zGc=q%?i!ySI`lMTBa zu(dU(Y(TNaX@AS&v~RWepV1(*VG;Xs_nL3~Q)Qm>H#oEhw?BTDSav4l3jmFOGyJ=P z+jlnk3P59z;rjAY@DeD@8sZxO)sFlB?ctDu!c@o#_yRzapfl`K!0iv8eE*-ZUkLl0 z96MkCXY5zO{$p^vfqVg=*m?dR1&1e~D22wfkgotV2^wI34ZNRa=Su*M{SMf_03T`D zhm=S5pTK?@_=}dEud-u2)?c2p6b@fOG1qe7o8RbQ7UA+7zTV=Tb9@LXrMJ07vo41> z(n+?q&aq6)+MhKs+P z|MHyI;BXZbrHC<8Fxg7D6ZR?Kyvj1ifdw-mYo&wC1(I^c}@rTzX9F~%ye)&xYiGc zQaHQ|Mc=@I4LEEiV8UjL_l5sA;5;;#1{7ZuRY-rnL=Au~&$$%-?ZJD28UKola?byJ zshapB;5r0c3U0TUuT(SkiLhUlld#^hvjKd=n(?0l{~6#e6s7?iFUmRpZ?XdZ9RaU_ zS6TthmYo%xu=ry5|0~CzuU~^3HeJL+EWQfJ3~bZ{-s1Y<2u`*@4fKHuWBzie@7z`&@% z^6-xiy{xleAq?czV%O8>I_toqU$w)C%^b+^Ub1k6&VY0UcqQy+3g%gMIsfxTWK6&& z^o4XUcpoqZut$8u7p=4phx8nHDVVYI9bdH4egmX;!Rx?`op0b0GwkeOrN!m*ziTPz zFy7*9z+{WlzS!clFUjG20~gfTv;(DsBF^~wOGB=o|M|`y@ef*9Iwa!K0E;t0)n$>L zyvdrfS;$0Q2|cfP?gn2EZeIbB!;B5ze=|WlYf+GI3>{+5!$?5R7Me?_&6fS=Fp$^b zGoZ0|YGo>jIruupAzy$N7(4EW=;q_>gs)%1%`BpMIXzryagNApi?hLpEKd8;9M0G1 zXeGJ^Duyb@`qw$)i*;;=I*T(QU!-H(=UJR~zC6d+Ux&2UF+;95pO?3eM&v!%$t$`4 zn;~iLqT&2-`B{*P8Z#mbtqNG-8jEuTR-a36Zf%_}z9)XO<{~mw7ok;r6D*D3VGAPOsV~$kyRzmi$!Qz*}e+GD&<-f?X z)1U7!0$|e--ez&yw_k3Z|JmYBl~Dj4_@W^IHchZ5hwryW>^d}v+#a#r4cYMrEdR;y zKhWBDO0SUgG3QPwdKYKU5jm^pGJF{JJ~?)BF~iOdED9JG;ZyK8o0%fM>_~OG*KP50 z6x(z7?i{{1hwryI8&KBORN2<~)%P@@+4BE2?EW`U*mI8I$ZBCPi*H6iZ*cq5aGho6 zl<}25ribsr|3>fzD9oDLY}w)OJKHS9CkS{O+`eqyW7$~&U-)Ax_zM0Xf!A9V3>g;L z>Cboi7=H&>Dp!F=`LX_!mIDL$VjmOG76GHddqH7(FxRrvpD+F~{+xns7N>o`#c4lk zaXJ627Cct1s}6W%dd*& zSk{2Wx558n@SgC;?@#nd#Fy)69eK`w!{J8orXZm#GRyuq*xv)M4D4)hY(x}Kf4*e} zfGy8yhJSnTyTD9+d>aWU&p&y5l7sUg6!n1v6CSn_Fd<)P0>Gvt6uUa&wDa8|Vh-$M zElxXM=wa;SONGn%PZRFTap0RfOaL2HS`~37;M+NDd!xl^pKEc(&&%OuGZ3%m-#n)? zMt}<4t+YW&I>?siTnIZkZ_(rhJsfW>${)f`9?Pd)7wP0PqD6ZR?Buq64c3^}k5ya) z2eX+)#&?aV4o?(-{a$eM!Gb;7ZQ0plzH-F))4u1Lh||v3g4p(kYpwG?9r$Jr+u=kG z-)@ZvD>!QLThKsqUXYj(!gor+)|NkD2{+S0=SO~ag+)J#I2%-KaaP#N;@awGr zT$4M~z_GiA`)QM9Uk&>laQjZ?BFp|9><@x-4$XeP#IpYe_D8|Z=@$+5oi&!j>u`7m z-2T}t-&dgx&vUlI{u;R5z-^X&2kdWy^P_}mzyZttKJ1@^w+ro9|9mfmso-Ncd;@Oo zfN)6LRY&&EVQ&x4MP@4C%N0!g5!f%zvGbJ)#{Mnrqrn#i@$&uuKFfg>@|^%CAXe-+ zpMp1<03e^jZ|w9>TD%zkDe#rhn+Ea$?8d(n>|cYM`;7AWpHCJy4yABt51zCVOt$P7 zz&-`M!m{(3-6nn@?2qTf^Fi3gJ`DCRqImuMUwVDyFcJ=3!N&&`a24}G)+Paa%m+{# zJ_`Q#g5$@;(qsA$PegVZA&XCfe+qmj{N(rlbl`J8O~PB?&;#7QT`o_$6o))#HtZk3 zUYr*VF`tQO;{OfyPr-LUVWxo3(KGgiu>S^p9_{A*&j-I5hezSiAH1hkLEWgxz5@2q z;MGBPDvU9}vs2}6M z4gQ~i{{;%uV?Jue*#89kTi|O0JEw>begW|OqZc523JZwvj}UMh_yI7JkPiS6GyFe; zeKhzY%g#q|82b^}KLsBWj36uI!z_%Q9pFPAg7Y7KfQW#uP?&@aSe+BV=P;NAv^(P? z&V(_G)7~^8+deOc^N*;5^RJEo|JIjzIU=Xw=M@ivUkheNfPcU%X4qLl7 zN;r@o!74WPhT9_hb+8M!?29kZ=CDH?!R}TA*x)jYZ^DH}*niVw{(+pP&>k<(;k&I0 zJT$;;CVq8}|Gpf4!iukpNA-tSB!{hl38>&JaC16lkDTdI17%TKoc2yRd^o<_Vwm}2 zvKPNbm94FFdpzuabV*c_lVF_{aPRl@xH8B8sOA5__xQWEKkM&sVbCDV*`wbR&|n3y z$8#?OB`Hy8ODGK;7^t6l`;8U z;7|6@?}5mF0)AsmuWZSef#Vm`a-~fE68OcJ>!msQ8{jXQ2J#|g7Zh`@)iWR+{tCX< z(ZeNs^fmYeW%?ro+6!?F-Lfm*=od7wEx6g*VcyRMzZh52C4v1sa1US2(T(v#)W4K5 zemhj~BPgzG5w-AU@UKh@5pWmyoL>CfV@>cM;8%u2nCJWg{3%SK8`ysZ{)`p>8u)8H z^|wE4z#qW>tae}K_-|0WfuGt|1_{0e-(V$Z-5v=n-WmKHs{wt$e{0z*!GDVH3`&E1 z*MNV65gQipTJTRV!Jkm`AjlTYfMN!Eh@S!ozZd*BR>H;LEwL~2;}iXV0sc$N{sQ>I z*}7}C!&Sq7f zUw)iq8uSbB30PES4g4A$fBO;crtg4bE9Ii&qJ0nibL>S+z?ttOaQtCI=zkde&hEGg zVTLpxKQO$rDr!I%@Hq%D4Y~w;2EMFphZZm){vN>jHAbK;Px(mjJTwrOlVTqY{yVG3 z)4~5`@w>slDfaPcCrI!h6!Fuvg{>9;=90G4A4)!^#2t6MyrQM!S6%^ z2L=r)Ku@pfgXi)Fyfb)>Zw2&+;tR})>G74|&tOV;3T6e>;8&c9Ctd}70{G)NGP(h8 z0>2ZB*zET|27ke7@KW$;IB*&J3*i3Oo$&cBNbn{U`0JE#j@}3V7xwERf&BpZg_hmH zPck3wj;HSi_EzAd+eCXwM{xVd+KoIo*R;bTFFynOU)MqSI2BC6FgWDl7w~<8grmu= z3a5d;jS(_Em<@gn{CQJ?BlHvS8G%3fa`4~a$4h3BuK_>L%D3qZ?0-+T#qCy{Z5Z%7 zIN(pR!V%a9J`D*ff(j0TkFpXR2mhhP+u;7p6dYK}1OI;DXl!X;aPAd91i#Ljf`ku+ z`2+EGXaN4^!@(aEg$A*V1TNV5c{86k4 zGXfuhf10NUDt6fa0*ZUF>dmT*<5#a&SSO1T@at~YD`f`s0Kdj+;3eQK5zo^#?JoF? z;Hrnb7W}o!Xi?t;{x$9=2aDS0Z8zr)wAl1uHuy(Yz=Pl|tqL>Xqb>ddxY z2k_epqqE`P!2f79ke9j-;?UYTh>t_)ZcRZK@NaMdvNN#v2Gf#s&fV!QZg#&ERKYH<}pOTjQ5ZmsknU1@D110XG?; z^T40LxxwtF7txNBQ{TWo1l+$DJu)pE4n={LFbQt9QV5g5KZQRo1Ehim@M%{^A5885 z_pJEE;7?-8%o=+Z{0f{K%o_OhIXM5ng_DPA;k$5n5CNuw55a$BjldyrY(;!X3D1__ zfKR{>n}jF9-?RKX;@gPpu<9Fw2KNI0U~=@y>B279|DM3AEDu)om2kKdA4IBxfKlL| zSP7?t|JvfWgFlKPbp!tgz&-R3d!LNZDUw3-B)!2g5* zvmfsOH(M!$Pw0>RoHF-+< z=wU2MbB$O6es;J>asKZM1@839|2!950_oz=A)bC2q@T4-1@$uwQTa z&jbI|x+HrP{6DQBe+v9Lvsdu^|0WdgmP8*UJ^=qQj@@QIJ`8>ihRlq}De%0Tqievz zt~gFuAKlu3|HG=V3-||B7@<5Jv7X>>1#8Ub5MBtyqgYgf9Cf%7ysg!vG2nl<63zur zS@s9O|J08kr#1d@@K4W+reqEHHCJM{4eYOg2P5vw>GlmM-nJ^(1^)Tf(W3ec+{12W zcB8LopNK1@JgpF)?VSnOjfVskwCM(+ISWYpJp}q0`RzeI`%cewNSJekMH<{ zgdX_U_$0G7NO((koc(aw-7DZ@VSfvATpI8h;2&WTRtNki;HRt(Ed#&TnxbdGzrd9D zMg6jhUxUJIr4V+2zlV=zRC9`OrgJW^dhiW6Z=>a*!Tem1hX%Gs11bam?%;p38gL2t zwC>T7@*409Fk-&hEk;A}Usk|$@COl)kA%EI(Fl&qw9-@HJPzCqeyO#a{v7-wtAQ_p zpJ6THcfg;s^8XpUMFGzLrh+e^co@fW)1Ys_XJ87tN5%{+jzX`kw=bzG2=@DKZ3q=bY*~}c?3I1Gtw5S$u;4yK(xif{j!9C-5`;vgp|Sf1rq?0<*}z1~*$Ngx2^$!V?&w zBFIe88T=}11O|YwLxp`|=ZDQ<;JgLTOE&TZ_Xe=62;X)`zTPF+W)``LF(!HLIU*2Nel)2NI(pRpDU*3Ca!-U!O{U$lH zn`T@zU~0wOxyUwQR#TQ{cH=Z8`RYf79n#OOiMLNLdnW#HdefTt2OC!XIzB3|aO$*% zDR7wkLj2sc_d@)_bozyOZMy9X@qVo)-g+x@O}e!)z4!Te-=Yb#XHQ}F^mWh0?`<*j z_8I*qZFu*&c+0%>y=&u7rpK?1cTEp?E?&}VQsbO)lWv{S2>YQI;xFe-nKpA8Gd0{g zX##6=#*MpqO4GPWb7sw&GP7x%s+}1Vn{K^h(zsc$&zLe}_7q0kF{$a+852eK|1`1{ zJ*M@$)%kyN9dypL8I5yhu{kP?n>+zulTK>7vvJBev^;-({NMA_kG>r5(6;8z*~w{> zZW&iKVdmt9DVVR9Ad^cj?E^u^aPoP~tUdu*Cwr1c-e}HePfg=H>12p7|SFr9UPfXq7H}H{SEK+Sd8b zAGbK^i{6cQ^?UEkcUrGBVNrrPRx)O+XpA`rhDvVv&qVw?n2~8HeIe%5>&;g2bjMK={O_J_@-&42K1ZRHlz2E13{x2UYeNLUVPA&IT z-Rf}Pj_Vq>T({1X`Q>|Yj44d*$~;VFxh#prGtO8=?jp`|7co6|egEuM`HL8%qB8p7 z#DE`(etA%@k3_|=)V*tN4|}(DVEVsN&ZAsFxrE|JA>O7xS5Q|UsSn~aj3Rerx{6{! zv7*GH#GyD);!zS&l2KAnQc;?rWT3P_$wbNS$h>+>0IgB-P}-ujN9l;t38f24H4VY_r9a95lz}LNP==tCq6|kFfienZ49Yl^@hB5fCZkM6xd~+&%8ZU)rq2R! zGs+y4TTpIAnUAsnWf95}lw~N(QC6Vbf#OA3g>pB_Jt+60+>f#rkUcbt$Msw?_qgN@^uVpzFqa~C=(qh2~iR!HN#R(RfOyNd8Rs; z`Q{kQHQq~Mz7rwipvFBTneTE?FU_dn?bMSaF0yGFn0kbiT7qT3okH@S{3Gn>Jn~DI z3M&S&qg2@bf9x4?@qvXbv%Ey}t*oC@5;IuyNdY6*!gIXs=E9%B6@%zg-)|Yrva15Q zonx}pA*ydigZmI#QhIkFH%o`+3UU>E&i!$v8^FceN+)9mt3FFu_We-D&d*fjTG>}y zx4K9@RVW%UGt6=?)yUxaHtak?@~oH@nzj_uVZ3MI*4aOVbU}3PS4tca)yXI0hG@QF z0ha6hJSO6n>Z=J^YjrfXL%1rF0?-)-uGH|ZnUyT>kCV=blVpUKx~r)9)iU$w`(@vT zI-{q~)4a^LI%uh7S$b(&#fXblqtImE@}TTnUZ<5dyU$$=xiV_(R>LeEdOo1#KOfKwpAWDCv!NNBaY_An^vCPhWY;9&`F3`g3ekg zGZCKXA!>a%(+T*GAkVbpGZZwOF+8_5^KER9_Ea2Uid0BS+yCP0f8QRSiIL|U4qm7) zWWJfkO)

9`h}1=r5EXY*4e}ghvMe*#)!@kV8Pv8_&n^W-{}QG~SEhJrK;Ek#Z%fQWDOUYh>SuEB6##IumY}bd-6xr{IXbpQ1vey|4kC#@(?z9^P~}j97le^;rO8 z&_Wt!ni@{Zz7GP%TpNEmiTM`PvrN4t>>m{7xpP686EHf*@k00Dz&%CU*%7{~{?9_P z83xovQ2PXoHF12jD-l%nEX&M??;hBZ%aeKB9BF7m`J^%i{kq#|-jVNgaNm;wmR*=tmFX$rzAeTl9eIm> z%y-+BEdl1_z7{fXtJfs%mDH>a3Bvn0lp_`>Hr8u^s4=&-?LQ z?)#zPc;Ndb+UDbdpSv9o{Bu^GkY5}=4ZKDC+{w__am0ny|ktq?e{vt_DuB!v)8k zLctDz;AYR zw`_#k@mBCTH)Q0t=L-S-D`-64o)@)ZzTbljYiHb-eJFKrRUWTlz8{011itUKxtvyu zje~OG&7q*7b>N;{=6f$V0=%s4u#-c;Z2|Z9|H1u8(73(>Z;t24&Y)4zfsafG`+EkZ z+DvGw4KmEBmu2JQ4!p0C@8-D*_c`l~DQ?~q(D^F|Ho5uZ9ALXEM(;Fuia`-D_NDRO zfZoC^NaOi{4g?OgYR1d-7R+}~gMNfoaiz3b`Q*792Vq(b_^y1+G>+a)GTJBbY@TF{ zO27b1GS(;X`S{?8yahfo6L~Xy^f5msCi3>_VgGwUrG&K{Q=8=Dj_Ye~s=Fu9zoY=3 zzZEpLC-NzX+*3hAO2SM@G6p8`Ida(F$Jm?1hXBYlf=PTbSON{klw@83mJgEoAXID( z7;YC&2ed9=6u5X1xceJ-xcFoM1;!UHo|>G5`B{L5AX;_j%D*b7anRDRrtm&-VSleH zEO%}Vbw*!fObY+Xi^X-o-B?`pl8}GY6`sQ$X009!C}j@@EM-#52LtNJf)R7zdB|TC z$SlDVJ3r)~cqJ1PD&+5R#gdgLXvYGUEIE_74hJ3#Xe3<-Eh9(x6#at$t0Fz7mZ_jq zy>3PQx;d?d_!y|pg>d{8%cZKDtyx1v?V|x}R=j}L2aGzEr@2D@uH=M|RfPO;aH0@0 z{|XpwG@jlj5i4kZBRW8_8)QJ?r%SVgIr))|3GEwGTA>WK58FPA;Xaw3Ja6 z(oEV-mL|Url-FIcLVKxABb3vs(oH zH-}@gVll&m{yl(f0@@YEgTn*Qj9+BlvUSiuFnr6L?2By1Ez>BLRfQpcE*ew?7F{%~ zYGlaY-sq?v6=hGz*sAcZ-jIJsU2HAS z(uainPt<9(Ybz5EsY4UXcx}kvzuuI-3tE?>yoLpwM*FJFuzzA5hqqz>xH@wsqk0KT zGtn~Z2r}u->I$>vp(Z>n!fT`a4@nq4y`F^Z*eXKyzH$wOAWxnx2=8LOizTg#)udLAH^D+fFAM;(hQe4h_ zwO6(d!V~9TthQpmUR#nrJUzBju3)}3LF3C}?uPZ1K_gJi3#4|p7@Y_5o?V0f&+9Ls zmH(~}`Y+V;T=&VK|67a{N`d;8KgqP;WW87MROoIO%)@L8`bRbxTL&VuK2~oWAIJ-1 zj$s_JDyAP-4SNZeBj)?i7*oQBd;1H;H$d?uC{Bdp!BC8b`ZhI4nYPj{fg~36uddgT zEd~8O1&w$8e&6n*f1M5bJJ(arMEQb{0o;JD8{rFgLE>6Qg}U&#$~@#i1qN(fi3>yc z*HAb&t)?uM8!ZO${u&YC+3Z35cIlXGd^d>yb*x!uj#~13;VTt`rlZg*(Ire{0jp%> zb5`VYTjcYH$mfEvT;kjt_8-BgyF@?oXerMh{*DEs9uJSii&g!Q0S-+p=UvE8XDzEG z8Y%vF7)g4$euQwEW>uNi!9oAZh6#H!k!c3~^Bby=X$Jj^jEVhtv$&vtW&_VvPs*s8 zY^>_XubUq9AFrn=h@sh_?7>XJhM{7=hV~cc$!C{lI*?)ZsG|jbH?l>!=Jw23)a2K( z92*9yUY|b|8HJ$SEppoII^K21zFqsOi~fD80>f~}Ek;_Qc)~0X8$AnoU*rH?gDfis zn+`{9*graK+*imwN%1=K)Swmbqa*-6iBBU?$a6I}uq54R)t`5ECjq8sQCtb0&}Tk|1^c6~ot`4=eglskepH zU`SC+O-sKopo~5Kit<~ra*kod6i-;`JYvckcNXz{>;V21&`a3{y;R4drX$3g8_azu z*(YtpeH`moG0Hkx$E@TltzyN zcZK3Vf+#I(o)I0eD{yTGkkNwuYDqJg*&8-S58&5#2>V~JXIUD$K&eeHk!o2EL-CZ* zxU(d8HQHQR=_BQ12DVj zN@2EC!ZSIve^p=M6_II-A}wfV@#v+`4+1>bg^cf}D;xL5ls2p6Z5<~OEu0WEE0-_T z&DdCEImNORG!_fq75z}yZ?C6OF%S(LjDpc3sK{M|*twL2{ij9$U!{lr=j+h^ErGD# z5e)l(X@I9!n9l+HTQP%~Zv)_Dtft+tnx+7L3H8qXlJEJ3u>a^4Z5SPtgsGdw=cbS( z4o=w7S_}hyfBfLE|MohXnLNt@N8<)dz84x|QM({WwJgi(MYRRhPc~?%{u*IZPfEgX z3AG!MY_g-n&>B-AHVeqG&6#2oF_BKbyD#hyT|rbTn;rUPA3dO(1yx{DTlu7kuMJ7Q zTN(w2C<4*v1JnBr6W zXi)NYU!Qf;fc9WOd-7j1&0v&dsfeFCL>tU~*9YBumtm^VPDnrUK4KQQ1xI{m05o9i z%4m4(A+dF($Hh@%prv*yCRt1kg|GBbgZ~{2ItzM>h85aOnN*|@zg!tVG`{?VyJ?%p zw2eDCpfa_1Xk~&=aae0QwYAoCM(NVldQ$SFRSc40jtV`c$UMO!NZ((_dsANBU%@lU zQNs6n4i)|}EprT7kR$eE&xh3#ITwSlihA{Z?Aw`dT@`PiTa&z3YCpQ>!P>3ZL39n@ zv(J+e_UDI3{K|6wfh>9}u)J_#aY32hRqoS%-wlUyOXyKeS~9}EaZ*=)-O+uBWa}5b zMHjy?iKNOAQ58$71~;lw!uoHfV&%Nf!v2O3sgCKY{7JPhbdBn3G=CQ|o%}ik&E<`n zKMQ%GS{O1_e-yfEDh8(hAxzo2s(&(d_biRWm*Ho64Q{}G;2HdO2kQMbVV=cHc3?2|YRKRFBx>UV+*9ulg)5G11EvMG z;ju~Re+oQuZJuwFA{X4@;L3=8^^!f$EJC&4|hy7QA`6c!K>hMC;KNjv( z@^fX_|4ndj`c8a)5$u#vj?d46doyzJc}np9C0q{PyTfzJb0II+l^vP{d_`D=y?Nmq zU~hbgWsSnXt~fFdl-t7e`PtAeXYZ0 z$g@y;DMTUvF=U3k1`>85!E$@lAcweAwUAvphBFH_q>5hXc+v%_&qLG;?}cdHcm*7dAqvY~Aq`E*EBQ3wB~pE$>=4CdSx7@W%0roG#~8H3Bii8sWp1cN>8U_* z)~P`6&YOx_p3Fd9St#uP9g@j_CxtAKEDa?>vO6Rbgk(G@!%+1dSp->P1(NM*a0<@G`KNz1B4T=DTi^4`>q_EM-TZa0TC=a6?C@n)BlxY7{a_07j2=C$|H6g+r@fqVVDxDI0Kb0O z*#UDND_Z(-N{Oum>nWa#MR@v+#?$Y%ll-?)I-kpbn~)jyFAQoWEO*vP{XDn6b3xZ} zBBNMq)ZcV@Lm)q2_BrGMzgiNF{INWZk2Xrj@*YFFL1ggZGR7{3EAsOX?aM93sITYQ zzg0A^CAFvHaWDp+gNIuCDhBOf%Ei#){I2baX(66m&wLgAdki3Wmhr(@-ihxv!t}Ah z=roRJB$r^*PKUN8Q4tK(d2b~3dHIAq73&y2!{2u|3ZY&zlhw#UYBjfqF_?)^udwJi>`;ux~9nwMl)yST}$H(6gluPg^ z$v%8&+Z-C}osG2<_`Q6dkvNf$;_Z!D6Zuho&S*J_zZi22qu?~k`?nfjPvR%q{uItF z^UZPNsOZb^&MMy=7og9=KUW2i^x(lbXjRF5CH#CE3;EwSPEE$i+N*|tGGCH7_BOn# z`z0_yDXGEZj-m6$8r~_0Lp#Hm!sqZ62A_)a02XO8X_8hUwLrt(JzoYiE65{KmD=qV$V zFhsee;xNh`%ABKI(f}ofzAaZSSpeBX-_7BjznjjpJ7J91;oSm~$ps8YT7+#58=G$8 zIlPT=^d{ah=|otmjrBApLbS0vm|{p}V0gi3UB(x5^9DPW*f&xjZx4F1?3+Jds6GBw zprDGzPssm5a8(J*GT~Q)EYrR*9h?|`Wf%h?<3br96?=1VXPJIJ9YIerMoi-^TfCf% zw2Ms}j2;QI&dubRgC1(AIGnvQ+gB)OFV39HH-`NGY$!N9YwmBi z5Ab>9-xh8!$3cF1$bV1$x8sG=W{vVScuMx zoPUke+aJpLL%L@^mQoCGqqsBA?fe>+G`TdC^?8MRft2-RpcvUB)zLd!bJOu_IE+=3 zXYU9U=R1$QmSQ~KnKzg4`FdyGxjFGBEo8b<8itOd@=Y^&L<>6#9(xzw%1b=@{!Sij z-^327V81?$bh4H$7tC4^^gq(Db-@n{S}vTm&?2nRc9LWYa2(b@=sx6x8iE3(&~9Ze|p2*^#0B1uw+BPeTV`P3q6_$LeL)v z{ZGzw7jK%N2mO_Sbwa#0RB&W_x}|g@l;0RIUhB%;!^|l6 zFE?wij~IFbdlgyqjoB}{2n21qdg0)Y`s~{J`}YO?f2%h<-FSKyX{7TIhCxbO8p4@) zn>`?c8#i|2Un^8^tehiW4R;CP2oXOX9BWtXx0l+7eV?|&$yzoqd8$aMxgpRpf8!wD zE$|cbc>?+{Yw3TdRva0O<8Ug&BA-&RT(Y4^s*wY{S=~<+gF-(s7TC{@242%&d%UD# zkbsRl=JK_zJ9L;5{WoU*l7%y7P3b>+_<-SEZyGapc$dy4U8l^Lc3X!T#)VsXOC#r2 z{+##!iGRtog^N4Ppk_rC1NiT{mn@nN*8HCTm3Q`>d9#F~k~s@+T{dmuEYFgPnbQvR zxP@=#2i&*uv5I9x#jM+khK)V2d?CkK{{Y5*Mli|rYX?>>;@$_=@0sA`gyV-xvO-0( z=vFt_rX@4|`6OHeL3s=B658YQS$wWX`2^($luIaasPEJX_f}92<%{2YbTRc>q-R4GWin;ALCea$^h~}&6kwxcfxHgeWOc_P6q;2`!3uH7QvNmG?XUZ6Y70aBNOc}copr&>E4%Oob)-`V>*l!>> zMtl7!Fiv|8uuYqUn^MYjf}Pr3n!=QM1Se^a+f=69O0ZjF8=>bmg44CCe}HE`!5JRy z@F!4IK}e1kKOO=L2+q}PUS@2(EafGfIE5zG^aa=Ae}E#rv(h+qS!(T_x*t_*$YD(@ z{sj?OORz;NPXzn`Dbck%o`t0c-$OubELJ&&PwDmoR2iQFRC6U|%Whoqvdo`8mno08 zrTbpm%@l_xNR`tvYvDqsY$Z5eyS10blx?IcNxN}?#|WW%lP?E}J-1gC2!HXssD z5$w^v4Kt(KFXi_WVR)A$nby4u+D}jj6>UK};P=chtMk$F_sNp3ZF&swhYp!Bt9Eu0 zGhzc$8}H6axP9sfT(ol<7|PkBU~r6X2epphawNtb8CWDG#{ zb2k`vY)w>|#o;}|aowy-lFF=(XO?jm<4B(;u~!Z90zR$X2?8HkEE(DrDMv0iD;e#tNGXL&&{fRw!*3X*EHT&eg!CEkq~d-G zvuu;ZOip^Ghr}$~1)?Np13Xa5d%M0==Dr3oGMl;JEnWR{fzENhDz4A}wW^yqI`vgHrO4ukH z9qXi&>(Ys1zu<^T9x%ESv%Df$Y)P$%azIe*pmb)IgM#8r%7^eB5N_L(SPlyoSJK2X zIP;odaVMR78onP9lr-kbD3Fb#by9Nr-@3pn$M!oEbaAf(SO6S-ZbEpOv?NnDe05Ti zcMCe3Ikr?$=%jy5L=TVp1FbR#iarqompQs##?WyL>8nD^>{X;Crytf4CVj+Jrr+oS zPlSK=EaLz51_Z+~{#F`T_Av+rlUAeq^tmNhITzk9G<(mI>Yl%In2*u*l^>#3b7Aq* z?+hY{OdGipCRY6fmlSQn-GEnw@cUaHX#S`i@ZYkO60c z4*&-^I}1q&v`nPeJ?*#57oFN|a;pjBWlMsD9J$sFB0~=C}g}k zYvjLB)0JP0)@1*(7|m!sRX7zub)jH90#li*^AP}S-_V2d`r13vblF%Nl$y78qMvMd zT#_#`-5HEH%5wg|O2Y5tryz~7TNA{{Joz1ztmTD}$rY+yRs?%APckH%KbcfsMUyc~?hLhez>wVp5--ag3}qb3t2?RJo}p=S(;!X0syG5tOt!At zVXaPF2G3c39He1Fax!`%_uo&#_p_Yfai~o@1M(Yj&H3brPczQ^(spvyw9^ z`n|l(ttp({>cua8J#X{UFAAfgujB2$d|P5v+7#hgOhr+6+a;G7qoQ1D+Z&HuD$3=y zj$I0)5}VVe=q1jm*s6J(UT<(lMUi-$3sowkq9pP*WlK4uV!M+wn*9p%K1d&Crgr5? zRb*=Ah&BCeN8{IhgE{vfnHmzdCh3{XMNTID4tc4|WJvyJdWP(b2HUmSnXIT|DG`fg zUm%JMg`S6UThb$*hjPEW2&Cts+`ovFGIR+8wd8oA8P9QxG2Xooeh8}q8HmMes zc&y-or*0RBVxb3~`lLW~3qA1u#%1$?r#>V70Cu;99(d|bfuvdR!26iX9lZ3wQ+G-K z28WIMnRj-g$811(^-*LGamNq=-gzWdjASme+tTCH4YEK`K59d5}y=xa6W#Mlmye#mw7f zO35XWk}GCHkF;G32+GW*7L?CJ32gxsGeMUh+G%`bk(0eo!V1&={R|qXeMlCyS%78j z{--h0nY>^JkW`TznuAj=LXDCk(kU8WipaNQ%D0q$x5AE0<4fr;Oq%0ME{2HeMpDgW zO1;#aS^{aPsY&gABN$^18wJcta`S|-OqV^AkcMFe7&CAz9#J|cgIY(d<@gYJnP%o? zF}d0k5|&FAOT$##CiMabi=|v>b_ygd z7Q?iYRqoJ_hN-qoBAYQeTDhEo&a+W+rOlN|jKxx7rrjbZ0+B%J%(QueqQp{W_PAAc zc_}l~<_ne>8*(?TLU7n(DKXO)2!cKKVT4FqC@9WYBxX!oBq#~7&Zj_GEGS8_l&NV; z1jQAbg^tpe3W__HGBs_Pprpm_c@dOKL1~vByO#Kt3sUpgGw5UOc0uyQK0=fgf|A7? zf8T*S-m=Tg?lh6zX(GGR%~4LG9Ler9GrN;1yR(d%h}fv2mn;*v1J*=#r-|%N z6WN_+W_L1Wca|IPxXM5Kec~6{ohGt7&CKp(%I++4p9j^cTUKKJvv|tkEZ#fwDEje~ z#93Bd54sXhNt|UhSytmIiL>0hkhI2A5@%UM7A#DrB+l}{XF!|@diQEl_#8r@7f=VX z_|Fk)y_e|B_~#HOy)VH^{3djc-k)GCz6$fgs}~cZ$F~Ne4ZFs<%>TX-6=kBL6tgtB z0>1J$s}-yX()uZ`r$H^ns)Bg36gRCm)4i3&S88KElK!d5_9RQal|I4cJF{jt$@8f z*V-#*uI`}B2j#>yl%oFL={a)x-Uq;0E1Z4|8LJto`>BJa^<&K@tTCG~%(V%uPe7^HRLVP3)H*TO z4%PU3ob2xYKIqh%mrS}DR%!h(<~j`uwdR9sD3xf<*Q(*L%jwe3s?nZ4|4@xme0Fzg zrmp^QDjI8{YZxfhN0YCmj76h0V~bsO&zb@b>fVR0;aG>p;yF zs%JuV#!SXJCzCIsG&hJ%5(zLLm-Z-FKY}9HRshr!lORD2w}x5Ta}gqI(FSvZC-H-* zp#0X#tDgm*>$qmT=8)69CnCZTLFQ>k{F!1)Py<>!;f#))*!3mQ&tdRe(+(P@NHlz~ z;czn7cM(OGnt1Ue>L^5MzXPBKF;7QKSH4WNsR5PVyM+D@B7MCT&8-xqw^5rpZQ;#~ zFBi?F>?Pwi##ahz942_i!dZ(N@gm@^Bnxc8&E+W682vhPhNh*1K(^C707To{0HA5CWAX3(1t7D@xN6EU3n=&M6+FQN9wHbf*Oa6+J)Pdq60Ewk-9WS z;L}KDV+1@giVA|Yf3EyuJzTX5qdpU(+qQJ4UXBo?8U@66F z%@_{oSlX}9M#Iu|(Q>`%$d0SdrD?iZmu8CqE?ndk?w*Knq0O%5k-D$1*12-t z8R)VXIVqCX&&w98!5+~;=Rr=J0#o!9aY?gv<8Y#!KIt~p(3E%)*)F2W^CL52De6UM zu8uH#9APLBv-vsBT=K9A^W2iK_k^q!_A*N+i3 zg%JWp4!1FdR}&lSo{hMb1%hy^Lxf{Rgkvd`(!(~bdm~3Nad^?R$4wSqC_HBpOPdRl znC`a&cniZltqQ=)09afRew~1Xy(_vzW-ZUr2>U^FR5h5)oya9Hm>u<_=o$x!wp>c9 z{{{Xg;5}fy51(FM{Q>5t$$L@o4gs$@)h>yt_95YYLJ++yIw}rNlB^z?I4AOp;n*Lz z>r3dPZki5d)J^@F>t|HagulbAGM|fBXC;i;2=_X>Id@>!g=I#t@A^;n1dvGin-S%` zBcV(~y;zZ3UZIw`C2Cm#DtF_PSD$b+HY`=5sBI?4#Tt?((X{Jj8W~9-OV;eL?4WZ4rvtK z9%Fn22Jg!e6~`hBG`J;ekfpr=g4wcz)V+nGW#6L``yRAR!xPNxvi_oFcy8e2gl1lm zzz1VtFk3o6BHkGYp82pT5t@c#Mqu7r`5?i*!)DA+kuyeh#;D1dvFLWj2a9;5BDqY< zjg35UdIF$cxC^xuh#qDyxCW!1`gyZij}t#46e9hJHNHxbQzqAff#%^m5eAw-KSzYV z{j<=;2=k>T%x;_}lI6JgYc1#4j1g`*C2dWF-uF-RM{PzG(Vvdc=SS#`Gkc%S*bBP% zScJaKq+gx-?}I>|?~f43b6d(1JTG551*gC1f7UgZQ0%g}Q%4K0sBbZ*}I zDApj)yA#Vk6^jA*3};kyRch0Clo6AY*W@UJ(GXNbA|5%u@H}rGU%25p{TRu3lKp_k zfO){+m71IvNEtL=rO4aK$e9J59!TctROBTj9U@5L5CLZ(;`oBj5+re!AVuC_MvfF- zKr5uldC=PUg3^3lDb5;X_jO33%)c~3~mpGTOnCB8*te@=$TSuh1 z*d3-T6}gC>h*6n^X2hoVaD z`z&PbeZj-=ei{Ct@M^CMOOoRg=;8+`8sji=nY|Tpy$y(YPD({V~? zUqw!Qd6i{Kl;z7tmIK6c{wfRJ^+vRukFdmV_7aZiYD}JlLaO--O;1Mq5MG@iW8fqjB>ETmX;dvG z&ZOg1@tmjt8u2S>DPqa@%X_H`KW6xn9HjH_f2vJ>oHTqW(_o?>)0!8SUAIu{Y6Qg~ zV7+6lh08rMkqAq9tL9}1bOv1 zFuF#Q{!xN5g!CmJBLlaKiqmm8G07La>%r^(OASaX6@sPp%Tm9CCNh@#&j`R&GLUQ` zkLGcL6+3R(2n8~p`H4AXY#yh^l*3r!Koh6_dKkFtGU2xgI<54sztnh9F<+=Ujf&JZ z&_`~kPKf|4Stgl1f(2^CT@hYAh^z_idpKzincBd)UvRd->YhsMq>Lq>ARP@+9fhdl z)mUO#V*6K*2oky67ea||-lP!g$H3}>I@KSsaJ7h2c9U_R?TqEi^#i4|z* zub95qJmcwH@V`Fe#}a{_@mTN0n*yodY^u8EAzvwK%xg-K_flpi@n0YC)RE$4f}Asi zKzf-Vx0_6$`Fy|CR3{$luK`vmY{p8Qkek;!B9Cc3S9wCZ8(nOr*9Xcr=|iwFE4@BY zwhKhD((41|NrC8AdVTQGvdHTLhKz%`Fk@J-I$K>b8U%-~t~`uSC!M;h zcTyOclMdb0Rqw)@;-o`&bv1dXI_c0|y%(?ZndYQJcXf^M!aQ_WA6N>e_>NG`?<6$} z_;~d;ESm{0Kd)L*OYn8B0}m{hk8v+$w*1H*ELW?+%&QeFqA6fRCGqi*DLVv0^?a0j z3n53zKTirqb;W!f!wIw>Q1@+QRiF<5WiEx<6n{U}o(ImbWWq#yG9k2{S2~c|kt{8p zcr0)gH^BrGu0*kB!Ve2K9chNx7*|%v&4$r?Y@A+g24h!8;|Up3Mb=yhbQJ=hzylH? zP8yMXR15Ja?k?mUlow>2ejc-RC1$IT8!qHJ2+Cimol^xX7#X(DaJ-$?Xkw~h9RXI; z#MEm{+$7}g7m9u&MN0*l6hQ+NjgKl?DinEl5^oyqIQab>UwYLMdho3QpBaSv1s@-2 zn!7(@j-}DYfp6jX`e;PtNK|CYH6mN0BE2Zo`$VX*_k@*@h7{g3v+o+2eG!>v$)*Sm zVLqCry-IC5AvBnNcESQ?Dmc-oU^$7Lh#IXYk+VVsD=>`Cj*6V++q`0=H5a{gR>-_7 z0z$hco+}MQ>pBRj_o7m{k~u1xzeSpJ#dGdg2sK|thI1tv9I;rKTMQw*jYLDX9pR4= z-d5nHv~YW{9(!p)J_96kE2vZ~Ok!^#JyY0I*68Bg?qzdv-iSER&zvmA9>C`0f4eyU z$J#uNZWNe1uuAB?b_W*O=KX(LoQ-Lp%PwP%S8h=*c383I4$BtVVf_KCwryx0c3T8n z#d3%}RbO;jjM#0(n7b`oWV4k6LWHX`pGjO7u!m+b2Ie7dYkYH1HGE#Vx$};fp!9;d zzB{U3QjO+Sm~VID;+=9?)H)qUX_x00NRs2PhkyhG;&#wWU*(GEt8_;(UV15Yg5q(M z?E_LTkQ@iz05hdQJQH#qqwq#n2?|QSqZP@71k%;y)5HBlm(A#3XcBgUC+p&8u zNL&Vq6*>|Q0FeYz?4WnYiY(K^XRzZQYK$T%BOTrM15pJs*3pLApb2DxgWf7D7NL5I zqbpH#K`C?Wq}EwwFBzKYpm)qljL==~c#gb_6?_%;0l1T>*aWiJaqel1z&OEH>8K-h zc0s9fJWp+P2xK+04X4Js=nfHcI@SDQq&@L&G)(O*o+t5hVO;G(uvdw%hp5_>5Iz1Y zG+6CMu#L%QiO@Y3!U;E`m-q*$j%2Ng2WakusltiEtM3L^(sZchVVZBWak+vJ;rnjH zgVYW@uY$)Vqj9Jurn#7O-jsuA1~1@bb1lun)@ zx5qas(WnE*OJk|u4@I?16y(o^maQ~?-e{ub612FIF|<)jVoI|{~5_9;3LgQAS3EDoE%{vzF}7j|#1c3Z18D;$jGX zOiNFZkoO51NKXq>&qk%5j!My)F#lYc7d3^KXUtVZgZ^_NbS^6Nc~qz`=~UJGqCyVj zB(C4gTJkTWMPsA9swzT{R#6&*KTLYnLA*ALgrc1|cpV>=Y8MrHhm;O!B1A9L%}@*x zLhGYKL!v?*ssE-6A+H&W7f4EkV!DueFDf-XDwRU<-Js&TT#>FiM?xYL8-$SRX>8So zsL(_jPp>xd&y5_`48^M?J& z;JZjjj0#<&sJ|2y(zV8*d-KRtP7|pEBqc(TBc%QnmCA`ql~4dDG!gnI35ifl5JIgp z8$&T6DpWzCxVwo^CcTU>V{x~TniiG1J1X@&ncCY#>IO);l4uRst8GTBHb;f_HVUQR zL!tOYv*DpaKV4CH^W)pp9%AqQIRr;R^BrLpOFPz?7_|oReON5 z^n)*|MxQluO1rmcyryzWjimL9Q^WyEnn*1_BEc7F{x{>~!|z!})fzcBxsZ%YSSuRy zk@QV3+#`+m|Qp)j_NYYG_u*G|v-gFaSZ@LMvM>YY_%?8_>ZUXF0Hv#s@CZG=u;R*Jpy8wIB zU4T8Z3lN(Cdt?(py36g@1Q<2jC7iX1) zg5oqAw@6SD>~wKfSu7|?W_y+hipx$HXO*Rb;0YT?0NsG;Ua8uPV981M zO4YsuD^9vss`e*XbJD$1wU}VtN!LnM?;t{AoOG{LEhX6Iqt%@|9~U+ zO4V^+Bg_t`I{8I%pxI&2ZzMW%dI_FJu;d(xwLqOou;SG5%u;VASaZ%NcrHCbbmt9# zyQ#Ml0ei2Th%*01D2?Z*k;v4dnjJ^s)rlH5WT&B#*Ah4>`+#eQ+?RXl%{5Qw$M6&# zCKz#hvCEgCbL8YALRYsO(D zOF2u%8U&MhFu9DFL>n7K8EsLn7Wr(d)C0QsD3nX4k5qavFqvcrW4-WH(0@uvqP(H|ZWNuvP`o~J<{Ol?hh^|0zi zIE0i(NDYiiO=~nn(*rytMZOIrLo0+xmJq3oimYf9xrzKYUmcN1OA01kooj5)uBgZc zZ=;L|!G>si9w0OHeVs;`ucI>it`XT66}gkFekMeQLX1~;K|bhKNYQ5z5pw{3CeZ+# zgAk-1^ipPWRWG%>U@W$KSp#F|3)ICv*H zA8Z&ya9}+-AlNzyHuSW3o7hRHqtJZg#R9_D%3Y(w<9JkNZKI3`&04u}bbLxJ+J*&$ zraYPXB`UHlBEr%aQ7~Q-c8JkDZt0Ay&~*DH!FaJ14$Ix0OjeQVj7sf6*nT0{p*1Aa z(_d4Kif3`=CK~d-5S&Srlwa<~aWh>WL@QBv^;YON+j~CZNZP&B_veMWdmv$LQ43V<6w8JPm3ssHr1} z-Ncn#RHoBi8-Bk+F9${<%y!iA>S3^BhT?uM5eh`$Sno62UZ1~ z_waqfTZ~3%SrzqmU!%7|$3CIs5n+C)WW4?yZk&nmy(idSA!DLh?(Kjp06j9yUsfB(|)|76ZOoc)h49jW;$y)?&v&Vk>NBkccrlZf&uD`l@w zJS@A0HoZmEc!?+mJ%~$0FUY?Gh^1rLJ>qg2iQ{uZAnH2^P2Q92%$` z5tKA$#aF|b^17T4_u_EM+XV}zy~!!B{pu;NVsCQDYyU5Yymdl{*8L&q@Y*d$F+K_? zEn)WeaEU@Qb0_;;3=hrBo$M|C4!D>CuG<%5;%XvYin0HV>aVAIWHA#+(Wt&q8mDXH zPmzOewe_dHV3vmDsRWp7x7&#!x~W|_&Q=XnS7Ut?|W>;oV(#Dzbq(iu?rNrP=MOp zo6vKlRG?P~_0d{-g{VE-0i8}ORUM%fKwYB&p^%#C!2gSWK-1+(ViN2X?xxfPr!n_xao zxPFI7jSd56NnV9QowA(Tuu15$30)^hmyq5hq{-kzq-+<@PiV|)f>DFJA_mQ+eix?~ zJ@lx{py<9O^qSdHXRXo4w}glnPEI1@Kw+4*M@jjJTK2h+N*CVHm;B9^eSVFh&!dJO zCy|RyL^ei6E?y&YF)Bh|5a!4G#2`Z zim_3_00dJ-^6eRj{B#qfh76NQW)*+jbWho`(9vvn(W_#nJOvMo< zv!TUuquwqgg7;teF^AKdsK`c$h`c(r1%(|bnBEpGNF}LVO$^arD=@v;b&a82Q9~b4 z1CBQl@kK?BUn6onD)Qq|)mUHM*a@*pWB@%x#$&}Z{U_ngKdB-E)3dSJ6ReHiWJH9_ zW@jjk&F)AoDitDT&b2uzQrc)|g~{_Yp84Xvx2dDl)PbaHBX#3PLPt-S=GD!Sx0z%1 zBcY?H1MoLYuBXAV0l2B;qll6;7uh%|PMlu`HBICzCVq-=k*|2oia$`19;UVMVl0)Pud{mmqlj4Qk4WKeyAqTk-s}I^L zlvGm^Dn@@{y3m4UMd&CLI`IFCVd@qf!=d5hcxnp9>4NW3P|Q|O7o5KUPa8_=XNoi4 z7`)vu+hyW;go`+s_!z>4f3wsOjJyt(M!bLPqJOjy9G*6?q%F#ScZIY*{veca35m8akbM^}yP*_VxG-rGN4Uw~X z**n5DoXHm8q#*pKr0xHB&eoOwa8=|cIECx~)kQJme43n+=RpgU8Te8S#4NiAnJLbG z6^lg3EP?2jgg1;%&E(D_-=@mBqB6%ajgVUel56Qk$UK3xv+N<{R)OSO<`Z(8K)PDK z$2y?Q7f69+{~Jcz=jG0MKN81c-WzN5NK247mhc;ZlvzZ!x0Fu?ve@#}8-_hyUMR5fyy!a}F0YUC<~g$_~G$W;mp9ipm{s}vSGL{+UKMV@J)wU>c{bp<(S^QP@`h@Bvj->R6EXW; z;>B%Ck-6<4T)en7@gE5n?`=&Sf7=deBfU~G@o~Ue3hnZgjoQ74q5 z{1d?A3D&KnYXMIn*k;Wpcp|}0YtL^0Pa-(Uy8C^=lL>ZPe>o0#3c=~tqAvhXCD>#A zmg;Y8M@Wu!D}sknwk{#V_lOHu*12zCrBePvgw;$gCAaT$Vu0HilzNo)_fRArr0NaC!>rRt z(Zi%vv92MfAEEk4Bpx**afqBVsNQMaK>QmCPO`rL55SKR?6$TbCpHm3-e}95no#YA zG272)$?#KjY-TM#h3aQWAYyo@X?Q&uc$VrThMzMHAEapQqI#$GFok_L!AYjE=g$Fl zGx--7P+nX|wmM?`rhAoxbiigEK>hlvX>1{d@i4)P^`_H+Un5wz)|2d!h%p+2uT#C# z>L=Nw1SeTbupd;85e#GbG(+BKAY+eVoO+b^-X&YleuU}|h=*CdM+y0m5Ey$K@J9se z*7qqyH3ZwN*O3z^33gihodNtY!AaJAG@wsKTDFO1!YAc0pdTlGu6#X$mZJVNz-tM_ zAEBYX{B|5*#ackleOEjgh;AK7@j5pRu+4gy=I{5*01viqC#63;2za&iYl44#67Vsr zpF01iX8|9NJ#)hN=oNXX_snOg`}H&&uq(>r(w*4qIVRDZ-)i10;w_ltd98$O10n{^=CrHPs7 zwEhMsv`2_1$@&$>s3vBj+j={OinfvJ)2%YvtvyDt#~LPnG5d0uJR8G9d;AP3ZH^IP z)3)bfr(o?t{5v+&`oye*i03Ktj$6;-NM3uIV9B}%qegp%U^(X2ibeRtL*%t$^&?u^ zvt|pHV^(U<5sz+t1F_V05p1=7ORd~ZaE!Gh7DnxPf@7I{2eon!{uBbU$^XFmq-!tV zK_0z}$AR_=F)?c!Ob+b;!Q9#xUTX)*m}LDs89QV;(Vas2s&InIA7Y$ohr2?Ltq5I{ zt{wS>blNZ+wWBgNiOl*u**!+EVm*z1*8Wa#u5PtZE8if*X8nR%@Fu}dYmjsvCpgL4 z@PTprM{-;5QmT9VKC<&P{B5UwOc$K3Uz1;_4+CV@>8}9(gkZ(m_aflWz5tB>vl^3G zJL3avv%Z5-teqv;X+3!X@E63NWW9~xF9~+Xj2ThFw6BOK-D)G>zxG*?aCoeYy8Rm> z_lVr%azsZTJ=c87Z?>i1zlIsBUB2mA-s>(&cY ze}Q0|wGGu@B-m-~Pw-`eldR8QR*j1__|E4^3VIzU!C4qV-z!?fB<$#{F*MdgX6F^r z=rC`zroDyvi!baGK}uVJ)k)(5k<-po7f1q8(#j|{vOv@{jfS`)5G`#b79C9$*`A*E z+gNJ4#>u3u**XfkMIbY!W@D+V@t-?@^qSJF57rUQ%5MjDT4}bOyo=#gz|JVm+|-A$ z{4c=1RGL+NWBhVb?w9uM!rbzgvL`vb$Po!;e994%Vk z!VK5D?V(df>na%6yA!NfHzF4Lb#!}P7h^y#AlPP2#hlQ45bU%*-WUC#_ar3A`Vl%@ z??td%3>3XL!Rcb0=zR$GScf8TdOw15thM+fK)I*ne%{L%ReF(%$`%Q5jF*U*S0V34 zN{if`$3gTZxCzR0;95)RbLI({!*>*^OV@$bJU^p9USYD%7p%Jl>tpbeR|(dgU`-cV z$2YQiK_ay$h_^*=va??B9*=tPP$MrW#QGapvuZ%j<|E|`I7wkGENBEjBIHhkU>7$2 z5tTb4T|j@mEkbU~KW1sOzSH z_j=*aJvbI=EqeJS(zp{Ea~IB9fY+e3EKBPva>~KG6na~M^Qvx~(&wxOWfds&H!89n zQL{w)Ym)KxCwTF<5iAB+7XMkeGEdbuCIj?>vEtuze4(XX#UQD=bPy<{+~ViW9+EB9Cd`X$wi$8sYad- zzz>2atw~*P)P0J;bhuiVH3@YQ8Dt8S9ke<|D;90PDmg?AR!4`2!u^U1Vt7%76CyK0Rd4FkWI#|!4)?IWo$;H zgBv2EqN0L=BH}hMii!>@0`3l?g1fkVzh70|?smqRcjkTPegDt@`^@v)^f^_hPMunA z-CEA6)An@?IM$`x5!6YXMmbK41xl_UG}Ui#8#po{1xbYj*0t;a{RO| z=kS@|1!oZ)K&>k{e%gyf#RuU0It1Xg7eUSaP?*~B7c_THkqCc=xImbiM(lFSI5zSR zlSjyNZCajXl&2lC5bbZr*TK5QB4rdziWTMSpwz8@i+-;_T9(Jr^%m7nCIfE)3(=tP zNl|+wMqTTE#is%hcwvxZbBLt*+^_gto#u1D;&U(g)M!3>VCleZg2)p03#9M(8V8w~ zG>DcV%p%M3oXwECV>`@otg*vXFa$1>xALyGj&u3uoVAaGd~%1@y@PKLpWI<}XXE3^C+Cv7C1?*m(UEnxViCb7dTQMyq~;SH zTlWFp9Xv@9oly52+MiF};JULgSnfcT-c}2$lV|jq%$yhWKq8gOkQJ_$vLjjgh^L5zlO6 zq}TYV3qHhE@?6u)tTnyNTGPv{HNDJQ)61+iy$sg$SklX^CB3{3jexe`l3r#l>1EcE zUS7ZMRE)cNO)s<7^fGHrFSFP5@@9Mv%$i=_+89$1wWgO?)8kJq>1EcEUZ$7ysgrkO z+k;DZnYDzMSxb1CwS<>hOL&>RgqN#uho)M><2I(%Q<* zgA?HrUS==hwTpQi~I3x88;#@nH0W4W4_&z!?_YBeukPI1?Ot9iNY9gU;YeO zujb|UcL3|vynLOoUd_ulNzc`M%HLxbHR9@B?qs}PyvtpL)#AP99$PQoBYPJ zbSM_`T8w?e0P4lN+&>YpUcAfC8Lk)a@(aRx@h-n4tQYU{Yr=Z*{`Na&@h%U1fqcy3 zUH))1mb+^4F0&TzQZL@)T)bl@!wzM~xpmU7E#voQrp97VmK`-lbW*$GLcy zX7L_Zi+AZ-%rctb;$3M=^F6atmtCwYkfKDb)ZIGT2Q^YF z^+sx?-Y9FO?sok%VjEwD8Ig4|2|Hm{ScWQq(LJW7rD~;gGQk%yXDnSqx-opgKLOfg z@&w#UsT9~ty5ewp`h=O#JUoSLM6*F)^di@nYMoTZWnP&z1hdV-+-`i5xpInU%_jL! z5MzFiTz8~%oy}akgRjo@Z033*P@U_XWgx%Iukyowoq-Zk~``#6y0G}O9-jK-%We=N=D4l;^&3nX7g zMm%!YlHW;2V}P>0gmssed>Z(?p{wgx1m}F$dW4iSLD#fONnQ?eE%_tNa9*0_TE(*Y z{G!zS4?@lREkKg@(=CB|=X~1QOrpi)rkGusX11Bk{+MR=XU%MRQEDHUCGY)PW~Z}G z-)5Qkr`I1(rJ21=X6wPMR4oMG=G(OssA$0j2kzvx;!}s}5Tz)~GYo^eO}=jdK1hOh(m7qs%&K{Ex3nlAMuV$8*mA za#?~8$-lKKSxu(uq*2}~G1dIlRS9;?^hx9Y!m?y8r}H>jqAEQ7HRY$qujYAbI2%nF+#0V z?8nR@qufIU;9#B76Eh~WPB|1{uud5SI9R7JJXog?4%R7zgLMjFy-wNiSbClE7V=K7 zQ@UW%^pDml#o6nW;_P)warQc;ID4H^oV`vd&R(Y!2kR7O87(pE6e8p860=U}3v8WJ zV%90V0$`g{V%906fNgh5%sOQpupLeb)+rCIGlz^=r_kY8BYnsytF601)0l^hvbDuG zMigV|(qw&R=`s@pP0Z5eHNZ{P(xs_dx-|X8(&Z7vHp|64&^l77eXqvc6#La!MU-ML zUN&ylM8@#F{~1%x=4!Qaw4!Ws5Xf3|VbX`}=aB3?A&x}4dy%eOt$7}@3HJjXygB1| z=wbVQw9w_iE2cvo&RT2V3cgPfXXRRtDpmg1fVbRPbp7MFF!MdIeHh~01U{8&5hSX6 zHq>DT$3lqJ(TZE-R&J;dVzCtsIX*<1)=G}t5aqB2wNX+;LzDtbs8)sVB1u_jK9cY% z9IJjT=GRYy_U0;8H{ey*g8oSe)fLoQh)UjtK37!TU}6Sk$KV*PdMP5d zAW0x|i?dn&Dc0=>rva2zpTewPXFbKDv?G~wQVBfOqQIve44p4k{bwojUwhG%3bmA+ zZn1SPLv+inVE2s5doZF!%P)bymW7uVi|_|ocw69KXW>17yAXusVfy6J2q?-57zMmC z3!eN?Majm`?Y*n~~b3cJdLU786yp?U=Zx0{Na#r{^w{`R%C z3gJhpHtWj6In~TxtBU&(6``B#8&z-pdZ2stWvcJgzQhf{t6nHhov{Hf72W`wcfq~^ zm}Hs~8CC5@40|3=Fiopfe~U1dF5QZckZqa$1Hu<7VGiQ~n-Zo>_5FL0ndv{O@1F$q z&UWfBs)Btz2fHAva8-JhUy{mu67tlRi14S#_1;XbRb3E9Nj7G}YI>NkszCt0L*%YZ zWYusJ`9)UPcthtwKqG$Dbc8Xp#_2E|J^c`=3)S>0MX(HtSPMt_EiW# z5z&8lrb|9SmHc~@RF{0BD*35BQK?Hz`o)?#7wPC~YCofD72+DPsaL5;xNKa5nyG|~ z$_}DxT}i6vMvU_t(*o>d)n9Ethk#B>fbKk6R8@bq65thNs0CP{1jsuS0(=T`<^hvq zR0HyWA4-)+M^W=-n)gET{?z^f0kw+v9fmh{W%8Vr&bkZF^7VOz`TPR36hIB5?s;? zCx&X@<9enOHGPqiLs!kw0637;3<1Cwqh2;bSA>EJB5b5KP}9&_a>2USnoiZXDQ4dfofr%SHiShh?G|#%`ac48xYD$xH%mo zYL=ROYnB;6wC6FVwU>-mB23xv)bGNfqppp;Nmj>S(*o?J08bwV1KM^RPCvsNCH4c= z^6b-q{Ws$E*$B3#S2qVMW3`6ppj4<-6+5mu^^M!N*)kRmQyJ&Ut zIg{SxAzC$LSM3|>0sK3DFw61Uelwj_W@2ul@jdor!N`RN^}u z96I*{KS6v~gG1;3;E#bHWN@h5=e`I0V1}r@qVh=;h4Z#?<3|=B?U|Z4!1tS zpqu{%lOLgzhoJJmne6)+9NF*O3w(fKkL(Y81pFw2Bl|jvdyK)6{dX+RK!Zc$e=yd0 z&S2uOZE+gF#()9G8G@L|AI16@X7B=$zhV#Y;|-oK@_$c(MjG6Q$pAAv(cpO^zdHpy z$>33ue;xVhTa_FuSLEk0p0_9^`PIo0`QMP>6q6tVBM1^qFnCzxPo;pT8r&24r!< z4DO2jlSzMu#zP^I-eOK4_3PNjXck z0~+La)<(<;^1o*G=bGf`A0f7#%* zB?d=>=CVF7BYqHWbcPK^1sPth3F46dRmyv%NdWn4*`ik)oZ?^eZtAupApSy1x=2Y4 z@qcgaz&=U-%M>SNs3CqkcDg?p9OApo?M8z`{P8T_O$LYf4^zB98XV$RGyWEXL;TWD zf!{_P{mDXyyphduhao_Re4qH88n@8_=TM-#OgxHv7mIVZ!C`XJfc0~a!Q&$TAZBo% z!O>q&r$F}`9R2kc(mx1X^{1f2K4b{cVK*?rBL+u@y@@rj*5K%{b6IVV85|w983kT% zaCF!|vBXap9Q`%PUh<^IZS+rv1$fF@hx}0k=%8h6u%}G|bkJ60@T|e5$bW(vK5uaN zqd!1~n+=W*T*DIo+2H5^vnbGu21f_z#SC9EI6J_}ERmCXvR|mFJ?8z?+x)M< zlvEJ!3i}GI81kDlyQ?&{jZWO1HMqdA58lCr21h5ZBmK1+_t57aBGbhtJ^EM`E9ZKR zW5FQ`Hj$xonSF0+`hZa5AIHOD6`1&XuI z_OVRPAH_O)+|(Hcif-&>8%!OcPUo@7%q`d!>U0h}n7#$uaqymB!Sv5)dLOUJ=ft1W zxN6ZmSjo;>yJPC>BT=Wzh;C7NLx`>RM-<{*_Oq8WLKKo{o59i0JJ?NLGdP6$9pkqf z976SFzkS2t7_Ih^euu#^T0KFbb`r;6%h9TwExX$gpcE_EQQt8*>bxyW{hr3v$NMjz zq@F(t25{$plKSMRP@TQ-y;O^%L*>au& zQJfE%D53EP`sEPz%OZ_SytI=UUu@{{+I`A4X=Lazvb;+AQsS*p91Jb{DQ;7f07Hw% z49hf5Z7i{YTXTANct1`^f2il=snE0r@vjvX~b-`{98sgZ{eE{-rVs?Wxc^u=@ z7ayd?92;s9SN4s=(`-b+flpEk!6o@UxtyR9`(bBvs4w06nu;qD(svXqNA`>hk>EuNqhNFDx9keUJoZ|BH85oRq!*Rxvia#yFg zaKnY{Z8j>~`ts=2880}L8 z-r8XF1c3T1*L{_6U@&?P;J{$?8o+_UDB-|hl=OkYDB-|hlr(|C=ru)n-?YxDyNeO( zEVuZ$w87|ul*<^5;sb5u+Gq1aVGKs&fCGb3h6e_tgad<7!hyjkVSSeS+HrU%wZZ68 z#$c4M zjYzzNlT5dbwHBjBv~2u@*}`pSwEMKjIk*yM+%f#1$zEa%?e?I9% z)iRE87m$k1(3MZ^B)-Aoaqbs)1Dhe(S1m$xEBITk6Rl^PAdSNBWf=Lld544Ga&nxD z_|__CoavaHmow)t!LaR&>2M)`7ssi>BM`fp;Bs$?kxZ+M4RhL{qzX*xs_nh0zp=N$3}r% zPgAZ}K-uyN%Kr@I`Vy!XY1^=6Et9MVtHaEw|pH z%v+J+Hd57Jo6hhpW;h*$EtSpPPJWZFGdb)g%hf>2BWx0deg#xl!`rGFMEgOEJKQ36 zdlL~}zzmZ09q{qfM=6I}%wkGEoaLxiK0=X-j!*1Ey1rHvy8yQ$vg4(!n0{6QE07Ju z=i&2i^|!{kP(cM=y%WqI0H-`~MpN@7r5dXf71lfx*18yB$&)H|_dpA`e+A!p>mHSB zC&XbQj2f7gmhT=VUjv+nY5DHc^3|#QSE>9(`-{or2^G^P&0}qv#}kT2U7APo$!sPY z4U-euq+3 zdeF9B!1D7IG4DhB-R#nzs>Jn(>!q^&eLCAuRkjbMv)PxO&Hi6z^SR3AHD>bySY_wZ zg54l$vm5Maii;EU8~0;6o2boh@D-BQ=28PAsx&Z)1_agatE8q|EPP>ZdZPf`p+TG75QCS6u%l~V$=STulke44hil4&-x zX@qDBi%R2JG19i?(A7(&MKW_k+|qQ~UMg*hX&L>?w8@v5@lk4FQ;`<(^>pH+RN^&A z++{ZJ{bobIt|T7Kw?I2hB3pERNbErATfa;rRl@j@2=4k0UyvJ|TJXm^1F|DP)=dqp z$r~Kjwl%05Z_EJEjU*V{c`K9DJ4#38IMMzrW_FTfoAm&f9*WCN>C8@&WYP(l={MtK zMJYONX5q%b3RH+8h-^IsY0m*A-^y9w(Y}9@--{Iv8P7o^FSNDlA8ZzsVug}!TAJ}n zMG3}~=N{(-tSJ^CvI~aNd(~9>7GNEf@b@XQ&AO5Mw9tqln+H@ZS3oaL}1E z&fuNUQ7jreR$|x3deEsw7jTY(p~7Kh`ow({gwpwTmdv*WB1Lt5v(zD@aLd?U2+y~C z0jTtt(+ZXmy+rlrh~S%hYdS)-z8x&t1ey@la1dXK%Vh;>VL9Rug<3d}u7%50^>#*v zbraavu9O`yHg!}jc9lw%oKI=mKTbZ&RpmbiK5Z4B5oJMbE?0b>0I6=K6}p);)4LVZ z&S&y7v{IGO8eFGTqVADPutOo*cjwTyS*7_B+{Y>IFDmZIO)84LU^m!zqB;0x$vEri zAh$mUor1Ohg04eEc6^?l^PjY(AIqga=Z>)w?R8s zHS7n>vPPK#7axn)W<(1p2k2>x8FI?`fmo?n??7ZVy(q)72a^Q-_ODb@9gf7RGFB>~ z#sk$t-J31ceM+dC5!qP@waVqn7dIw!JcbQ^zk3$y;#ov@RB}C_q^Qi~z!R&dRRX)~Wol94pOJ_A^bW^!lLv$VosXSg& zd3elYxW@r@Ch~}?JWfmJFFekBpLvmoK98gPFI}Pvc6}ifW>JMK29^5p%^VO31=y~wM^J`eG)nc)?9BX zQXQtU?_3d#@N-ovYf$HftknxW-MDkwZ84(O%~C^(su!?o&pE(N9+(Jxfl-j_z)5$i zn-r%l$Wf0AOFfPYs^=!HTRhcu-^Xd6b*uL!D&Z>-wkDroiq#qHOIXAw6?^m&u-}mu z^hqOVJEq|fsNaca6ywf{@w1AtbsQMI$@gc6Vl))>`khL81d^(r^quKRb*l4xuPRA*ogY-!NrJHBAsfN+ zN5%58A>h|_Ha}_=n3teWD*k;j$-yKp8aZ__ogS~?}rDWzfF1Xn)##ie9KTj#got`bE zage2f+yf z;ot;;^uY-N;ot;;G{FhN6*-s&1t$oMP$vlIotZvCpsU*81mOq7Yq|FQ2rxK7@N+4b zzH*Y`!3hH4-~@qiaDqTspCELfl0HGWkqLtQGTg*Ykw}{~7 zkik817a$BudjXC??=-N=b2!|!{P^W0N_8WJoC`Wk^|8cQkJP$kH?m~=Su$lIP|qE= z2E%|J#+|2|mI3WabO6zt8GABNRn(;{>Q%rhUI60)C4jON5G_}ddL#4P)>0(RDlqB? znQmmJE67%5x{+)*2W&Ty?Ki+G8&u+`0&GRgJGr8Ki~NcaHVVI1{em>Rx5%z=c))Ha z*$pJBtiN_8ITTMLemlk5%`&Y3stWNAbK4B8#p&Q&jodB^wlcw9>5jr){6Bc zpp^%Zx|b>rb^USt!QzOjfaTUS%fqchCPAJ{(>xDXJnsy69-(-?LY_U59A1vV=6P1{ zXw4Hi4r@?FtqLHPs-n?K%>8MWqZP|GBU1xsU`V2Mf;H3X18zuxgj4X-MkSo75?X_* zzkOEGuze?t#5S#URk7y)uOz#3S>>(AFprI@ zz?%QMH2;mdz=l7mSZ|LKSNt|AeqRD-c1dfK%CGQ5!~Zh!ZEui=RtA9sjV!-FM0 z?l7x{Cuw}#!B!2AQ~0w<(_0Z6Flh4c+Oa|mkNx+yBK{jY5eJ_(Wu|}+ivQ1f zuUT9gYHu!=l*e5h!YYM6GNksA;nPQk)IKtN`pA&lM}|)y8B+Vm@aZE%Y9ARsePl@O zBg3bU45@u&_5l7seNSl^pPRQaEqg@Pahd_EPb2Q z`tXr4rHS#8A;(kRUIp}#A&rlW0{F=IV-w>eLr$tkqL}iLA@9~n~n$nfbSLte!1 zMlYW}GNksA;nPQkyhORu@s*DZc_|qg9~p8!%-Tdu`N)uqT4C4Fr;iM&ePsCbk)f~g zbA0;9klIIvt_kfU!>5l7seNSl^pPR8j|`taGNksA;nPQkzR@r6ks<5Xq1t20M~1xP z_sp0+GUS~UPUwuaj|_hiGtfRVbjI38hEE?EQv1m8=_5mG9~nBcdz8x?p?qY>`#OPt zO#8@?Yb<=0eEP_c+DC>@9~n~n$nfbSLuwxxK7C|J?IXkIHj30fGJN{TklIIvPahd_ z1BEs|GUUdk%uM;nkeknBUi6V6wT}#6`N)tjFoEOKM~2isGJN{TklIIvPahdl`^fO= zBSUH*89o&tZHJ8SDNyax*csIxLMf_G0G&c$IMEqb9lp8!M;`ZmjTWTDWZ;?3jv(&*Y zFt3@yoLecWAwFhYSlq>F&m)h})UfA?)1F86Gk7Brr#+7xV7QlvIPH1lQ3gkGXwM^$ zF*u4tdmfpw=ZVvvM`qdc#A(kXGxj`j+VjYaJx`qWJTha?6Q@0o%-Hk9`!T~4O@=5w z?Rn%$21oH}&m&JZc&>=ko=1)a&a*h!^TcV-BTq2|5fP_7kDOreu!z&1N1keMPsC}@ zBTqB9E8?{0k!NTe_B?Ug^T;zzye;B)l0IY46Q@0o%(mxAz@F#*ax@6-dE_kB4rq|y zTQq`>(;xP5o7@0AMiPIoPW(;EDG>DNIgP1rCVr0f3CSJ|>j6qDC1~KwB z^4A72aT>(PJ4}XXQ5wWZJy~>M5EG|CjLaCs#Ay&CGX^nn8pOzqK}?(mF*0Ki6Q@Cp z%oxPPX%Hi`3}SSPKV$?zkEcP5%oxPPX%Hhb1~G9O#K??6Oq>QWGGh=Er$LO&7{tVB z5F;}NF>xBi$fq&}F>xBi$fu0}Xki+}$Y%{MMVtmPGGh=Er$LO&7{tVB5F;}NF>xBi z$c#ZuoCYy6%OED93}R#|R0+-WF)ZDys_oGUUbl8*u@k?X@AR8Sf}j(;WpE5KsuS!o zINn;-3Enn%snDI^U4u6kx)Z!_aJ<#36MRTKyA%A?5ComzV~wXf!6zoZQ0PwZnZXl6 zcY^%}j|<%izA$(LyhLo%uM8d&x)Xc@T)mYAB7O%4r|&d@jZyA!*1-2B0bX;}=sy}9 zueoaUpAC-JTs69-4I%iJt40rL99B@e(WQyUTdmsMvyD|$(C86E5HxzO!5s{IZ1g;X zE&_}C&CU)NE{?GQiJq&nm$hq3exZMHo+ zL1X)Opowz@Et_Z#4jOfv`Vwe77j;P62iaWXHr|_~)Q7;(=Zd0kD_Dz0jMRllAw>z1>jmjHBjJIE*5J&K9Gf}64otEY@$>8MD{j<~$)fmhU zW)UWE;`CxAGhVFX^kOA5 zUaaEuVkI+Ptm5=yB{N>E;`CxAl@}{*2oC$~)~Hh&Ldi9%6e!L*dq0aqLnxUsgo@J;N^a1_voRLX5K3kYq2e@zk{Ls&I1Qm> z#t<1~bl8AGTz4WVSl5GqbXD48*Y ziqjBEW(=X?G=!2FL#X&Z(q{~z;xvSkS%y$?8bZn4rV%kf(GW^z458vQgpyf?Pze}9 zec1+exSfO_DXF996aK4$QIt>YvDjJAMfoh#JycQl8ysV;D#{lINBtIXe)W~X@ml7y zwm5Ox;>e6GPMo$lGRqbxF%ATdJi~#{95aTUsniP#Q#m!ETxFso&r^A4 z%x}UUmSgLxSef4xEAyLTWqwnv&2Jhg)ykN0#RlIlB0tL&o1f*1&Chbh=4ZKL^8;6G z{FeAxzS#UMUu^!!&Dd|zrZv8H#YVBBKJRTe=VE@AD>gsN6`P;sip|e*#peHwE4G8d z>E>488Z+l&=M-W^68K_6*YLAkvH4U}G_KhERd1w@ZEx;vH?G(GEZ1v(mg_Y?%k`Si zGl0K22K$Qns|ym{)h{srtYa`g%k!Gw6RRU*NaGvNYp6if;IFt|y9A74<{Yeh5t!<8 zFkE8|SXWR}_s8)3)SUf9|K4*S{*TW`QoUwdIAT;OXjY@|>4+6x=u;(YCe zjWngc_QKY?A>P9>UwdI=M1>D8Yz;c3kHjWGmY6ZBc@Xhhu6?TkqOQM2arQqA*zxs| z7{kN9_QFOu>T54-gbRG_g^h5+rx&)Z9q=l@^c+OU@v@C-ylkTyFEFZMmeHohsD{XRyQwj%c?{S(r>QZj*$ix()6^K% zyaa5!)6^K%`~}z!rzwnTp6;0O!uA@9)+}vQQ&xf+GDbCgVT6YZ65G{fys-ThOk&En znpd|T#QxKGWxdXS0VsU>R`a?Lc6|C)^SbUOKh?5cH^Nb$zSX>g2p9M_qjJ6OgcCkp zt9b_#E>^AY^&niT8s9r)Zvse}Pv2_Zp^T{T>09lMI^$c-JFG1No4<;o-Ktd+(Mi~L z=NG`L@6!mUC+ftY)_Mt4b=b=;|1&8&s`=l^z$<2gyrs6o5Y1o18p51{T#Rs@1X%T# zrP&;=*i7e^_=NrN0|dK|F4%pjxFMUzrOe<2CCYMy_fkCeq7>4|qY%ytPFnT1 zrL*j0vxbiWn{w{CT3u|;uzv@%hu>*aoD7O%ALm5A_IFZ={yi(~w@3Y3YM&Bq`V(BGcr_ngN*$Lit zC`JOD*{#n;*w@vmL%PCmSg%&N=`$VP64$uRLF{mZ9)%Pm(lMgq9E6$FqWL1`e}QWH zdW5f3l7A0s{cc^LTK+g>uIorso&~)8bf%nZe}g8xX0)h7t)Noo*-U#6;;YrIY8NWb z-;n-B*3m@@?|L%o*=?Qjy5ai&ci8##W=Q|mBD_OM@J7=>f;&~+4MU3Zy{x;ttk2M; z=Mh(RskceqCa$V$rK;PXiGP5p6pX6Yfn(D#sEi?Ce+nui3Fbldot{J>yVf7lfu` zD=`g(Ee?IRl`9Lhqp@a$VHM(3y&j|L^=6>0CWF;f2rvMQSc+NrKZKTMZLTsgLFnMn ztSz~Sw7Nl<*V$ZpMYI}pOO!C3%dk73TlxVd%}>aoTp1>;wm(LnY>k7l@^MW1ki7`_ zA;jy5KWu-Gb~ur^I+=aMUWDc7?|@gTBiA*y8tT-GzE+JFS0Sz%Iuwtp8d(9X)yr+5 zFmNsklPJ@LnTf&-rh!hY+P1o$tJ5)};xL4RK!f~zrp9yYblHzeVO?m_Au&phu=8R)k-b1qF1VoBfHzW3s z;8%!P-F~9ga)jNDuvS@Nk0Xpj*dbYATM>qPh{WKmuzd*Qw{1dJnB7i<`K|h0R#-t2 zf&92!ofX&=VSEE`$qH+WF!tStvckF}3|&Y(pB2_0Vf=Eul@&G|Vf+m2&k7rlupd!t zNr@7g61SO-Kz`T_E|d>JXNjA#p@mK#f?l6?AaCG&j+AvC7OZ^SORYMZLhx}fv+9Ct z5#1}TI-1z>aYtHpG;-kM&bR7l;>yQO4!7#E&X((F$i&A@T6Hvz;^SUm)%C?-!pA+u zs-xu`A9su;a&a)@sA@Kc%SBkcQq8>=x)=YJPZHvUIM0^Db8;R299)MV#~U#`1T5)x zB5Hdlz6zCgTi(_~l3ESFJDUi%3_+@D?v;bx56alb;7R>;7)@oF$=a|A)G zl7)TfSc2Eh1lYSPKszii>R=0}50Zq6IW##pAxWrW9>9FZLz+9mSs2JS1e_yFp{-%)^T|jH2O@7~Xhv3`|9G9g z=Kldb@#c9Gp*LcC70E*}4)A6rEW$_soJlb8Sd#7;&Pq7`OdARO<4}*nxfpdNPj8Rd z{IERlX8aZuEk#aWP(jCZUL3V!Ny+^$2)%Zw<=b;PHJnrFBpOAESdr zyuaa72nvXac?@M?4nt~E{+D)1@&r(@php^tIcaInF=@w}7+nseExZshdw&s%`H?7^ z(g*&t+n)e%AqX)2M%AtYS;Omr-i3;6cmsfM8FDj#5Z-5zEH=6@yMUBTKVSuyosME$z5dDrFR@VEtvW_(!yVTTT zg7yHuF^>35Wp%|PSgV}|5jZ}LFyqsSQ-ye>kmHjYi9&i{IhYdumu5I>w3&$d!jPo~ zsgNFZF!fB2aC-1Dqn8s5Y5y(V7mRz7-&45^|CBM{M*zHMMQYb8c3VAY<>)~xmxI=F zyhl+pXuSYR5jBGr5hrQ}Eh6D42d$&FWd-iJ$2LH}%Ta??jvll^7_?HcSM5Y&HB9BGVJb%r zQ#loClnT9QrFy*zH;WvVa@44lqerEX9+i#(&jO4}>+l=XqY^7i#Pp~{5uKPGl@0@m zjOkH{qPQ_VDoqCLiI5(Y&IZz;8xH<^S?+Q7;YE&7S;tLkZJijkbzH5j6Qj0{tF?7v z)YfsewoZ)NI%33$T3aVZZ5{VQrtJ|^1=rd-F>33$T3aVZZ5{WL?V#x&Q`$OiwziHte;2B%P-*M9 zi-uCqgxWf;*4BwpTSsr+Ix%YNxLR9B*M!#AiBVg})!I5SYU{XKTPH?s9an4X#Hg*~ zD*u~-wvJo>A*#JlY3sOmY+%OJ)^WAAj?P$X>%^$7<7#akow3%|iBVg})!I5SYU{XK zTSsSh54!~vX#NU~8~47G!M{*z>$q#$Vj>=+wvMZ{bz;=kakaKijM_S`*4BwpTgTPf zIx%YNxLR8$Mr|EeYwN_Qt>b2E>$n@yLq(y|)^Rs4VP4eMakaKiOlj-5T3aVZZ5>x@ z>%^$7<7#c47`1g=t*sNIwvMZ{bz(eXbYC$gqqdIwYFA{?Kx^x`JDcL%F-C12cQ-*1 zqqdIwb_HN3Mr|GUoj$FBgk#j!ao?>49F0+1$9=Ca;Qldc>$vZa0(^0d+B)tB(*bXY zQCr9Ta1P*232N)SVb4y|6|B4GHV9Cp&KL^S8G|?fgfPD4JY(>*6Q&r?7(DG7HpVjs z@2VRioE_sCgQs1?#(2iyEnv74;}L_WO>bg6V(_$U*cgu(JblCv>$xon`r$?ujpVjAsr>Put$ac`w}2XCzwf@cn1wy#+4v9HKfojG{xSCA>s9K0tsab^(XnS-~1uoL5% zgZCuiaExaT-bTXF#xWi`cux^2i1GF=Zxi7}?1w+6ZrowFQJ$E*X9ggwP#rXQFHeLW zikj+r+s*?hVmxT@UM1|rc+lYer5HQ_{z2MvWgZGF(-9oUGx z8|Z@u?}x_F7>n_s!P6dNV?1c^w8z*e4;nmJaIp2FJZSKY$Ji(j8a(4MHp+tr&v=ZD z@}R*p9%G|CXz--k^9o1RL4)U7%raWag9gu2$auSy2Mu1>YJ;eCPALx>yohx$ux(B$ z4;s82t2eOiPALx>yj-gvupLe*4jOWH+4}Y_&$sBwsfj*l@T#qapvmJwgV);PYa^mG z$MM=&^ZAl0a~!XobquHqEaCI^F0YF<5@@sMAe=RpbKu*N%&LD3dK%hjWh}uD#tG3} zt%XkE^u}J)Wm1cEAU@Ma9MV&nzXPpiI$)y`;neOBNT;o45W&?&i@ohrKkc$h?XTOX zN_X3(oqjSLhH6Tyli^TVt2svPQrZg?+@QP7P=x1_0_&`bBWqK) zfOqmoywBFVMC|Ekkbe6=E+?n*t~jX65zMmW8ddU_k-^j2n1Z{@1%v4jYGj!tF%{|TR?m7H{_>8)J< zCYf@2E1&oZVBm9{`NaYgGL_s0{Ns}j2?|y?mu!d8qany8t24Tnu%?k6I*E}w()Eiqj64brJ2~q zvt5kF0~e#rGMeDTR(ckZ@pi(v7##&{os%#wMkfQ?<|K@Z(dodpI|<`rbPli`P689# z{U6)fs2nav&qjHRU{v07uU%q8U8d)I8GoX*<1bM=e>rt~0XI_qL>no8qK&ja(Z=(! zG_j7i_`1%;{0nnbOafbCwp_|r2r-=FFU0h$N#Qz$e}=9DH=K=aCOkq#UxkD?bVb6E zO!%O>>+T5aZq)kyNZIrT#D9Z{ooM_l6E#tZmLd=`A<<1L5hOqi)4Yr{rRtDeQ+`1n z%hEi?lSdoHV+D^W7ggAK%4Fbt30qDQ}MNd zP6SJw6wA;$xJTm=cL&kb?SP5265=M7WE+JjMi{ioP#Ig<sZDE5Nr*xt@HLbk6~; zXwe}x=u^9RU~42Q2P1v>i)_GMG#kf~ttN3T;%gPtvrzfEWUI;auW6p#N>B3eSZkF&$QFcsfUdAq5;G6uZC2?AGNjj6LW{skbq zn5{TtcbB+dAf)w|Omg~1AeEs$5JI`3dm7?kHyjt;iPoJ-F!3RTzzrQTQv~#OstA(J za3?V-&ZR?C8JY$bZEi+}e5{sjnjwylRnaDJEawetLl3ch!Xw+z=$}tyPMeQ0wDXC~ zXmclaN%%z0!-f#X7csO7|Mm4>-M-Q*f#>jIVdn()@8<|Hy3v1TDG(f|{;P)#Np=1? z08)hX@#l#E&C#kpU2qm6#8Y1nXFXjnhWYhyp6Cfsgt#w7)b5D$%u`^PI}W=F){6B2C!q)EckdFiQKQ8Q z3eyGMDNhX+E4m>DV@2)))T#9dQ_W1LdMusl5vDpmo$8Sw)xpf`>Gf=cxky$DDytrT zhD>HpGua#@i>l0?X0ks3^%sEHN3-TzgJfvb=}-YwYu@&htnkfp<~hI-veD*?i~z z9p9wL&1D7dCF5;r#*ITkP3$G(lhcg%lJQ(JekYsp!oOoIa;K2*0rK6K<~t+J_W=2> zJhgKm@c}aaGa3Js&3MV*GcFjnGv>?_5djkR(-aJNvD|aY@m0%tp$sRMJ52TbKWK>p|q^C_j z-YC%>4dIAc)#*2V2dH_%s6IpB|A)Ew+~TzCzdB+3rQ1mTMrzduqb!kmyOaNPb+2FP zLC89IrCB?!+UavX4Wk$y58Iu65rD$uPM@?|B;j$ZZ{15~tIs)bCo|P59?>|`Skt2&gDs%E4XnoV3eo`+!9gjhTjyPZ zbl4M$4rZD~i8M*x9;Ugm7yN)u5NqM%0>Q7!rvzdmw_dnpT~`cp9c&A1HL z=Ql$y8Op=xnobA`=>ur|P-P}$dO=?(T-Y6SyjGt1^fqjG*>xC7L`W;rgp4B1z#1sQ zbmrFvsd?Rk*%Atw<7%x_gE;Ec$O5a?n;>?gdMN^TfprIhrMP8 z0M`(XDji*Dc+>F6ZJ)lC9*++muy!>khbGo!bcfHQ{xtG))`vO>k`T~gVLW)~`6 z?~&SGG^TR((-u4K zaF)uT;56W>*t1p2gMf?ZS4hPt??zy;B>E+i7EWdcH|C*CLcpsC)Cj zpw0L=<>FgZza!?fNsk4$e6PoXX=W_Ah&F#sZ_d@=N_XS&6)?UK>zXVKBGqpTvLn8KAd8cpV5Iw2Qi;er9TM;2||+m zCol2O!4Ds|rGG4b_&8PmB-A3GP?aCFzgI5iqe>mot8Kddw}6B*hJ~j6<5xndyg6F- zAAJjK-4%}EO5&e?7wo#TP@-S`EL_2eUv!0E{Vdqjhzd371ZtbAmZ3J}Xj9cPbm$VO zYYLlMPodtBMuf~9g}Rnb@jZ;BuBB74h9D;;=nrkT(nZ;+Mkf{SiTJ+aszwoKz`?(NIQlP z8VWcp?2$wU9}D^%$F@$OMpWckhK6ClO_3^tm@B%3VyAVt0^^65&9 zam3Y_b%shgm-s{qGg*m!H}MB4_L(;8>v`f+h)+@YhrkQ!k=-VYETZ6cK!>A1i4_0_ z0^nfR06L4|FGRt;2s;sB1rGx_mB1DNEPrAffEfS|HRN-UNGY}#d~0Y$-o2FS6IBsT zm%y0l-gNnrI;!zx6j(LcC#s500G?DVD9ds*66L zz@i(qcqImBU5m|CE!Hy=)ws=7E&Txe3}1bVTG%A+eJ02F2~&jCLRHhHz>A(>0V-4i zYed8GGiC~_rJ`Pk*oJB(s#N$Jz!MXVe1pJ_GR{^q&OywaE~&gWPI2yhP_Xu5^<7f6 zZJg3%HD$j;$-V(`la%bo;2Yz+I)+`eU<&YV6y*-p1I`1U-;ns73SSPq;58&y?YIK~ z+mQ;NK|Ah7m}aU2G^76=WpU-x7(EV_B{as$BI* zP@~HAX1ZJ*l$cjQOkJ!Fs#wc`7pZ2gv)HWGu>4BZs+|=23`@1ll;D0XC_PJCg zDD4&`I2H-=Rf72{!Do;|lq}=Wbh{#*4ANeTH#LzG7Xam($;*4wbtz)kn&5QBVb^#S znQT;*u@cd#lWLq&|4s-YTfB(WGSv2r)MYggEKb4hIiSMW^-8w^Y_guAZ*W?HMHrf* zNp}Y9(E)71rsjyB>g3IW&#znFjPnRSUdysS;q$^LJkl!bjn508@Jy@hU3`xCglAc0 zYm)%h5;?&t8;GwGpYUj_%mXo>Fy{KdM-4b4hNd>#eJy`Bn*h0p!Qb`QVjf=cw^KSZ zk27<(UryM}EG^_D|A`p{=OqK z3QEcT(PSf;nQi>z$;PkFF!;Lu3o{Hk93olW*Fk8EG$_TB`0s86>O*)~bxiwNrY03Q zrTs^fa00)Go6^L6(Y#?bU`#j+5ra=SInZ2`GG#pEx8m?jXp`r_1FYp~w`^EgAa9wxtSX?{uL}xM4u|SzxnTrDufn3cd`2o6>PdisAW3H9{< z9)fJMpK_dLp>D6+sj^(BVI*yGmFku2lyu>~kGwzhClcrM86xBZp^pJOx!mfJ52^?^ z=ddA&S*>Eix!B!FT?1B0Zsy2G6p5d6_z>KKv__E>@Zu2nG&5K|}Qqbeqm%e@@= zn2IUN9XSTpE9+EDaqhdz&`9f5Od~h}?FPEXL#^1H1#Xu5-@IPp z-uRxB+wo6-91*<VN!Y#BPtV#M+58pWU>dU0M7o-1Ng4ocl0bsF2V4@$id zb&6YmMXp;pw#-Mm3dOYoQy{36U{=29^A zDd`59rK&&<66*pjV1XWmh((h1xR5b2v=#4t(X~vth^;9@xb3m5Xgve2Wtn6s#(<_s zlC-Yl=SPONAWf_YtXm(xO1##!Sfo{HtP6svI3?}+Uk(t}=ZNDq2zFz9h2 zAzI#w(P40@yW4*B12h5TXw#CxE3LJotp!T6_lLLhpu6QagHJrt@<5e|h; z-07IU7??+q5q&YR#m_-RUkpsxiRg=g35O&4Vqn722u+P}i6!8Ih`tz@a3Z2F1}0n_ zp{bD^MYuGgF9s%D7NMyTE(Ru25uvRSZnp$n9nlv96K)&P7Xudou8qLd=WID= zm~h*Oz8IKrZA4!TOt@1-UkpsRTSQ+BOt?oxUkps1y(0QzV21aJ=!=0}!2KiUVqivG zEbODG^E3Z&R8`bm47`Rlp)Up|jfl|HNG>K*C!#L~X1J+|>vc`&i-8$l5YZO{6HY|* z#lVD%Bl==s(!((J?s|6!ltQ_yzeRIrA>|G8g9%-^u@qBGkq~IVJD(51|}Si=!=0( zX6%EHGrS<8F9s%@i0F%f2_v)Gw?MS9J@`k>#lSx>FMTmEX+*?a3`_)>!PE$MW&#dJ z^u@r0qY-^EFyVrTz8IKrBBCz_HYL*+1K)@Y!okJB7h=SW=!<~~iio}#cs^h!VlD<= z03;mI7XvQ_9F6FUfwAX_9-=P>##kvXj_8Yl(F4SW2u+RThdTf(Rm*t|9c^kP_jm~N ztxm|T!TJJh0^}=KK>7Zwm`y?l^HoGd%{^y-LA3Gz!(b7iPNsV$VJo8VIU{UG^gU;U zLlN2_xeEw85q-@WVHr6%36b1|yMee7ea{(NDjd=GoKcj({}02pQl|TR!U?66>8k## zbu!&03@?q)2Fd*c;j)On=ZtWLuqUGE?#)GHYOXmul{Q<({|_Y+k$$APgRoZ0be9pP z|DRN|5~nChnE2B^qLeb-m4tJYQl@(k;ap*#zYU33y+Z-a zJ!g+Ge=23V50gej^gU;Ut%$zoY&T##qVGA|%@39n(f6D&!WH%l(1CFuqcK_F@23jO zKjZJGH&=oYea{);z}*kwz}*kwz}*kwz}*jFt(56LO}JPT6r}1JIn9)Ujr+_q%+lO* z_AcU$>!0rcYNbr~RlF#2L($ajlkuz%CL<}JAo*_sWxc*s+c;ou#7Jyny)7}37;K20{!vohpgag+ zB6H8#CSW_9B4}w|U+Ns%>T1@YZ#{*g6>IY&x7y0XOsRzCM{a9)H-!v^P~7~f)M=EY zsgc{odJRmXD{U7CwFh4c;>Z%f)*%E%qzU1kgq_Gp!iN$LN9J(K+KX^BqBNp<4*+>V zWFEs0BOHr#z}sjYPPjp&f@%9)1^NVrB!=ZxJ0(DE3o|L)k~nJSd=%xEjlACCMuqeDe6FykF*$b0`Z|4{CwaL z;#y?YY%GIksbt}U;pql3R{c~YD%-_OW?3xaQY1*0sbXr<_Ylxz+PG7Mb+*c((F~+( z_7qd3tX6<~fY)TjYZG~?nnu4fLajsKB$a*y z(rcmCDxq#n3$<1icpZh>`U|0wfmG`#RV}&hVP+LQ0-^qx7HSVG?W43%d$mx_Ye7oM zSvcjYz1bSFd0p2FBCC~fw93-L>6io-In9skj`+W?q8$*Xsvo&b8v}yzios+bMq!hH zz78>}r}s$ZmtnDb0Rk#eC0!7UdbVd-kb|nG)z=!<$LW}K3)tb`iRQN=a!}AT1rbq( zR9vZ69m-rU-h^n~Cd-k!dJE7KLA@kk#T26X%RR7IoT#~2=(1J4*{X}TleZFiMt;zs zi&=M_QRG%?nY)H?nQR^22s5akx6z$ zdTUZ;M~WXzihh5W;$~6l93sMJfn8+|0H$nS1mJJ$-wxl28@6g$mIo+FHE8DJ*Q#$; z5R~Tumgn)A=)W!1GIurKa2ay)q3Wxaxeu{~GL(mkYN?jFYOfRuyr8J=2#)7Lj`ss0 zRee_XL@@jC4?!^5iOTUNxcS8Z=)Omn6)h%1Nl6mXR_XHQSgSyxd&?e(+HN_^kY^E= zp{uaKZaSUK>#MH02Nm4(S>pK$56?o1158n%a9kbOR5gb_wd#oI%5~t+7oz$#0POVr zZv$`kxJ6S%^?L|pWj?9Gvfp`Zxi=R*Mfh4Ry$qQyXGi9>u!c~!)SedD)l_=O9jydN zN2nTqFow5A>9KdT_}MuEQZ&7VLU*!u1D{A-6{54kFCng^?xF^V`+!&0g56EXxw;zw zjvr@&YtUi(B8);`iLln$eJqr7xFsrwn6%AD16ZCBKXq@pQBk(TRzPC z8_VHYh7t^rq&VdT)jPH@;5brD&;k7!@CpM?<@ZciOAWUqyd`cW27&g}z6*C*69GuY z%*e2Qm`JpzMtIJkP7#crwZXnaZui>Pq3FmbdSWd-UGd4ARvReh`R!_hgQ5KLT3&L- zr(jBLa7GksSxaYVeDdbiQc;~x&WPGO5yB_$JnbhsEgggi7wfjaBUrMvT#w{AcGtX50KUQvX6*<5zdhWs-%r+D=B(pjz;PK9B$I zM2Riwwgfk#_1&{Np?=$PC;nZa-gFSZ2n44{wfG+i>LgSyjzNt(qO!9t9LhG(l&za7 z+k1Co;R}_Y;P{9$-(OW+3>gKbU(--z;r^9_qBA*zIBzDz?Sm9nJ%x790a^kv-3tL! zP;J3o2&g@QWdOPpSP9?=07A|uk6~+omnk0KkjJJV!4?2lAw+oRlJ3Y>0A=V1j40lV z3^~G16@KOZvaZUKbI~bizFt@K~q6(Es{poy7DEDDWiz@ttYBh{>wno-X-j7G)<;9|FmD0I(Y62LLcQ z3AqOVYf*j*fVC(;2f$jCUjrBfKsdb8$?EehaDA$uRLp(?P8CRLABubP2)F=v2qJR; zoJYV1a2WvMR{kIbAG_SOQ5AebEnJ?v@NrtWJRsyFTe$mCoqXIA+`r<7k9U#FM&%Q3 zk#sY?*X7v^AE(^ijaWYJiS7aX@bNBo*`fG^%f)rrws0`8;J>!m$dS5LCYe^*b*~Vs zQ6E81hvdJ~(V>%W|GJ9qubsO5E1h1g_d{oIWKErksuAwb_;0z(SygU1qzt*A1ICzA z;kZx;J3j?dk2PZ*Qh-XjozQr3-Q&U?}H#5h3Zg|lN^NbI)t?A|1x-@p}zc= z!IKe8Ri~xD2#%g-s55*;-T=tB31t340Ea^HfEsz$b@M^02f%j`8D0Q%C>RRwdRE?T z3xVsSjH#%Od^N+qji56diyJi|yNxrjTA(?%gZN}5#w~&@5)LtfL*JYS5Oq4DjtZhu zJsc;J+=$>=2%ZoGGX+&h!p|UPE(M9HwNZnC6z3&owFNO1__gYJ-(6gNv0q`tV8|dE zJV?TCi0?}i@)9Ra_#Gq8Ct+ek2%W$xRp0tVub>t_25Gnv&?}jFqzAcm+6YjFc4IzK z*a-oAoCSqc6y_t#3wiFt$1N}9+?$VAUPx_PKC)%uZY1I3EGnFfT@F5O%fcjQihR7v zLe8G~col_I`Q;-k)aegTfZU2g&XDoJo)zh6hRW7JpWqS> z2P0R91Rtx){rcOG;A8ziw7m~_RMoXUd}iik7{ZW05JCtTh9ty*hyf81A|fIpMx2>U z0sE~S=Qq-v?9)cR5DMM}ApTD0H$ zu66dAiT3`U?|#qy@jQ!n?RTxc_S%2WK7Z!Sq}rN?>m}R5n>=QlIln}=ncZGz#%{5! zp?&_{HWSqAhT#bAea!2?HDwnzHveU;BPQ@Ztq@(vxrSrFPgl`mGd3e{xgb z4qm5$vfmDV_)GjoWHByotl-@rLM@dz`u&06Ofp@idHpIuPEF7rwTo{Gg==m^7jM9x ziDPc^thtsQrydAzZ;h8g6V!v@r#^t5q#g=S!lk8MPu*_yjmegs;s^BM@FjRV!fv1* z2|M%P-bg(+yz4nQ-ojH@G(6STuMt+}3{$^=QZMMg$KIhF8 zKL)j&35UbO{*0Fy9;V(ZJf%JK6$}yyfAjlj=#f8Q2Z~y4?8Cs|`~jfcPod^i2koc! z;J9ox18Vbo$HIU3m*uuS6}s3-_Clq+zf|I9mMb6AKZW}^M3JSz-pH_;cfgqBP)0%e(~2napSMiueNd)%eiJ5 zcAvZz7vU_H7wv+>E3Xs&59XbhhqIU!+;ah5#;BVFpPVIuoE!K_f?TWSB&g~(os-0T zU?Jt7eakKRTd0@w*G{OE`VL6%Gq+I0eib`J8#`ztB_1x?df@Nsg+*nxo$ zLTh#VY%5U9NyaO;yx_ikA9`Mcui%`#7~X?1WLCRB;nJ`le@qSRq9oUwISMK|9(&kB4LTnWAU9{lH6?JnZw{ORCud^#`& zZZWWdW|rFLGyl^PGX>}NH{rndJm;WXJQ?o%h~{EAcMQbsIaa^!R>^daRpyFPob_xb z+-?DTof~54dGHy+hMZ=XE&a4qOdOu?_${gHD=2qb(*yQsi1y#3Y_;oJ1)^_=-QA8@ zlJG;|2ME$~fs48c|e&V?ZWe&_GA?A~&)%g=H@B;nCybnZ&-H28MtBzD{ zh$D3h2KIuVR1~smDX$>|(~GV&Q^3Eu*!lJLAZL!jQkr`&MyC>1-pEdX4P$|CcHqLN zBcCyx#WS*w_}+DmRpRu9*X!^Sk8@(c(3-1U5_wyEF2z;1Rp!vLYB;^DC6iD80 z1)6_?2Fk{wxF2!Kra*ZYiq*9hmqbpG2h9?Yb>jRboZHJCTuu;U>y>6pnm#@NRfaWcjyvFD%eQmd`?z zi$6eh&NhD@58VyU4O+#{#~wM;kl2*I^f+;14!h1n8-ujH&bLS8((K0ILX_`ch@9GSp^cL<`60{BMLaIYOX#1IkWSnrov6f# zsEB{JUP?_U6<4Bl&K5s>$)2%L?k(s{Pgpq_gVc&EJ*#*CIF31An`VE=#ldkPCPgs* zLZb2*kG#h?+V~S`9`~@}!dCG@k4qa40iX1^mkoz_>pku*!y(>gkNd6RaIIIo+ao_S zWW*|d&*MTEH2wy}AjmmcNfe`O|I3b5-0nyl)peJUJ2z4l%vwBgX6Mf8oumNyxQacuG!>-YDt$p4myCXvv@s}D3mVv z7zEkngs`E;cog@siZ^<7FE+Nx5^^(W{=J^zO4D<@=XsOo>4c_<>n@LPG7*}D-s{m1 z8~SmfKLK5iz?%(SQzTnUDAx)grBKsm%)=S4?pwx5lR5{QNqr!+6UPhq#r80~Xb$c( zb=CT%AbFe@wedRaHG(hcboG#mzWi^sLYwYF5o!rl&!{jU;^-^;LycX{09%H@RS-Nlvk zCg{sBCdF@i_M2dLWGU&O9Rklmo2m5zmte~T#ff>ygpxrkKJGNE$bGymD7OB(QhHbihwEW|TuAPJUp=nD-~hLZ3*gD2`Il*^i0inx7&IGdhYwYdJCE%y zpx96krF3t!ikrLuLkvAY0xSg0H82)5*FVObQ^Ygl60gQ|ts&fUk9*KW86i>DfaXeg zLTIhN&f|V)I3CF!_lDuH5?=ARck^ZZQ5j2N2S|4J&)SZ(z1!og0&kw=P%eHO^bP25 zXQBCMhP&?EMQHA@SEH|~p=;v^Xb#%dN(cH|#m7MPcEF7{uDUo*dJ$$DTGxhsji$HU z&=X}>iGUu9AjJiqeWS5!!^NQ4@M}s3F2!tt;%prPrTdRI+|!Hj7ZX7nuJPy~#zxla z0vZ4QUX)IT)*!W>ca5P}OPa$$--b5JMnahh#fs(Q!ZF~C(k~R z_dnT zYJ#P=)8KvDk~0MNxt)dae)@rrZh~ExYdk(Vvb`UJXfrfqg|2!=qwS0Wd zJkkl>Fccd8QLejf1MsbV3c(^_fnnk-9$9 z3EjdD=`)?stoc9uOzQefCv-P;eWuf9 zfUVDTLVTtZYT5`(2+wqe53tW4$Y(mC4YApuFrHrD;Pwd>4YA^a}If?^9(SA zXFBfl1MKsz9d>AGt~9jP3Sr5`s^nEGJ8@ZDXY z|6GSXX9j!@Fri5J$tR${eJ2j8*5UWZTJGO3vwJ!(%)=K*e$Bt0k{7rhZlU)(<2cQi z=STVS{3u_ZALYyQqkMUOlrPVZ^5ywazC1t5m*+?M^86@Yo*(7Q^P_xuev~iIkMiaD zQNBDs%9rOy`3E)$S5@|v67emrw~2DFv`+4DHy z^WWwnl7AcYQ2w_zz#XH22NpTicfhmY&sX6f4O0=j|y@a7hU5%G6*l3JhBM4ns}^0s)yD)mTPv_(76Sv#-+;MfX45QMDxsfTVfJdc!Y6y@kO|*SJ^IBEj#0RHua!{Fpc$VeqxV z-3ZPtJkX3ZtrGd}^4cJW2^eS$YikChB*N$({A-Qkdc=Dgi;xX`{m$`Js7;+>i9cO%r0ru z8ezVkbtT)J&579+q+YNDPedk7k+M|8uZ`K)z)<=O^Zo%x@zszVmLuHHF2|RSdZR|y zn=PC+UK4%_%2vm5SX+S@?$NRPps`9|p!siXjL!-?6cVgX4@7fVZj@muN_ z=0a-yAk1v(5^3pt6k`)cxW62X7mqgTzRh!ME+HJk5!JrXdH@c-0-;(?&d4dpW6{e zGkQWYdW_{6v#@TS3=c)KyI7u$zu6G@o|ONNGBaK)8P`0d8Ea`AOUyVe8P7*CR(h?D z*CvdFW{5H46=?ZTTAUoAzm_PSaKnPt^&3oE>rf6fKZl!oJtAI{ z+@C;$dPMA!6|;@yX}9Co30@EX3Sr(8`)zkyf!&h*nTM>gR@ron_)o)(g|BOMLiRtE zj$X4&JGxg~7qc|g3TWvAme|psNk{E(p*R~0^-WnX9Z@V_aIG7=(!RiXhKIx%i5MMe zepT;FNt{dj?~>?n3BW=ATs-e)8EOAh+WsC&R>upujeU{Z19~+ArDOzd!%ckGyJ`6{ zm-;)b*o42h8EA7SiqYjb!a6l!lhX3gCV1b$_Pk9T_a6fQ?X1-WhGWmA2)F;aAG4ElNdF=L$(sVV7o%rdE6SyS$ zdk~XI%(@K@{Uy?qC|5j*aQGzvHpc_Ljm@v~c6@^)HYUXOHv1i&hr4x&e`AQQKSTA( zJSH|?c9m8*+&WFa-3r_kV$Zs>D6KgYB+vj5vHghlJenJiSX^KC;D7##LAO9X9$=N9 z@XTK~;ctO)i04Qs`#LiQe?=V0X|Cin8f|pFoKJ@Dk(^ed+^I^km@hTAfru5M`kyc$ z@1nBoAy_L_*SU9Hg%^B_xdjhw!^3U>+$I78&G)zUS{c-aJwMA<_|aCNwhgz>%101% zB)mC7SHo9>q7(A%>sSgV?vP{E6l!|W#$OWvc@d7h8HW5ASCivyU78`$ks z8&1XJFdjntf#x{{X3aIU;hMV|8I;W8+&8x2+~1CJ*Ea8C97ao5_M+VB_PekM$4a^N zN|a|xk(=#Q7G)JW<762g0#V5i4g=%cRH5Y_-$wZaGr386Je%bQGUcaO{w7YYp8XWd z?`G_MR-t@6Q(npPLxX*P>rtEwZ^f~A=S^+cx8S4k%3C?W6WZ`1Vj#?&*t?r${FktZ zLujHLLhDhAZN$*4V*;{b{B-eKAB$U^n{6?+Z)nd7I?u@H(a;&b?d5Gpq z&0KuURM}*eSDXo1u}0Xq!m8AUEt3lqPvK(oVBwSwG?qbDY?;U1pj@cwO*pRh!cR8j zA&_r-p7-YCI$fsv4Y0@R+`{2@`>7|qD$kfI2Vp$xRoP}59BjqvuGb`Rd#h1Cfa3&^ zTvvZE)n;Z?UD<2dB*M-cu9uK^KCE8Wl9E{YOL6i>)l@=!H%DJ zwn?VKj4im@lr77xGv1!xMrkXhR5k(F88 zM;lp?Fwg?XUj*n>>Ohp2FEe4@VF*)^%ywbZO{j0tE0

w3KU84|8aS8p3dt`B|77D+i7;D zd>HISW%SF$%zg3l;3$t^VB9+S+U8mRHEx&cov@SXyK`^ck6#y zN&OaCXs=$EsjGeh<@H>QyQO>w%9{00rQSA{ zr_aNFzgO&`C%s<$Ojbr96+51Adt8UFWu5XmaRB4UY_t>D@yc_P+6f(h-3QSDJm$AL zM!8jQmI1==Aw;TV`JL*3so-(&k{kz<&}P>^adX&`!z-Ob%t4NeAInq(8Y*wbPle(q zQKeYu47Xkd2#3x%pEA~ke-;bzkg`dAR4hWYB-ixZ|yl}tuEmnDXJsvc}J2PqU zv^svqJB>3k7Sre<%kqh0Z!G>UV^P_Rv3Ot@9M4LBhN3L<)g$mvsX37Zx_eH{t5K3g z_l39UV)N_W6LmOwEkg_3^&UWc&BH2x0o-wK`s9R#3oBf@^5pz^EA9~6;jmZIx}&3) z)<0Wut9l;ol*nbkxmKK_pP94*|B{M-GJ;8t#En$N04P7k3BCMQC@s6g6}OTb-Z>}2 z{w#n;T>A45)1NOQ>`wx%=wV>BrsIPs%QyyFOa2Yrw{Z?ad@&zaPO()8#52_|y@YW1 z#nyr22>;RJ<{+h=CM8GqB3VVqX)JU4jkI(C9@QM8=DwZ0A%3qlQ~C)~(3^$#Tl2#0 zPfYPv{7_$O4&qg5lqTJ7ilbS)#|nJd`Yssfuq1aqWv_AI5dy8=AFhMlww5<_zS|9;#c=dI2-(SQLGvipLl#M6h6z@ zeU}yCAu$jB^I>!s-C%d9jWue`5_DDPQAebFAPlSz63^hhy7wU0&fBo8nC6=(GYbmxKB`mZ)t+5GOqglcbT`WVilqDIW zi)Dy@q-8t_>nHXGE?*r(zd4IpOSs!t1(VQZ3minmSQ&L(ZVRXxjlpUdLw^>+zglFi46OZlzYfgEMZ5}(&H@glBYiGaC-N! zJV(kb2A@T$$Gs#LpdF6vyizL`9ithpRj%b-`#d~eh?T(O5N2|VR||VzMU}@{9q-}L z50?XH3CQDU%e^gO7drjXq8=?H#hOA{j+X26XdlB-uam=h8C`MckCM_`EXl#Hn(VYnwm78GT0p{H4S-7~uH(HU?m>)+txz>plgX50y9nTL( zVyqXq?_x{evKMUK#MR$xOe578Y+R}azW zb9r>Uhq8{#MydG^mifTq2f0HLV890Uo>v^3a(Ttkh_VdZ4|8>siLJZQ{bdrXd=r}F z4mA}GayIpD;?ZeVY%45WOACFARel`YQt$MFu38f4Z<_NC{!!IC|%yP4=f=(>UvG-Jj+fy%;W4*^(k>BLtyMyOpm3>riw-3h0 zy8o!RD{pCpgPF#>=}8k zKRDK1n6gVo9D$ANpcC@fW^`|go$xAM=owh)95`Me3>Qu>4T6!FRURJaeweb$ZkWsU zvsxY`^c!c^&*HA!yfDV!mAjEEI1V}m;&>;=p%&h}9C_JmjeVLh&b_X|E(=Z_=ib?X zClC1TAi2PeA|6wftHhjWGI`6DR&0T1?5W03(`p+X$%)RIb>%HqY^i6x!dP)b7F*?! zDML1cTnCcRU+xl;_p;uTmlqvaj>012<6YQQ@dM33y*w@24f_~5U@nV!9Zp5L!oP(M z>haPLG1K$_c0)oI6yaweg{h4nTGd1&&WBp%slW^$QCs@>>zA8lVy_&j;uBCNoB*p{__ zqkGF}tnAwH?%dJ10d7Qtd$1pMKy%17^yn)No)>`RM$Wrf`aHw3sJ7|@oZ{3@)K^2|-k zpRqiRmv5J~j4+rGQlkvYo8ZWFH2Jj+M;3CxDJ{H*AHlw(CVyWPg&BaR6- z>pj}eN;7`4&sec3p5dTxz|$&l9J4eZjq z1rI`RamU?+MLH2Se)9GYV{50=STPNT+i`NUs`wsCV7lbK3?ya>ot$GjIYT=6kl)E! z;`p5D`2LWd5e69u5P2ixnHGqsX6c*)hO(CixWkTZfm3SwFBZ*^BqvYRd)vL#v3?JN<5m3_?&x+BJ2J^VIoU2LJ`E2(q*|I4 z$)4<%-DaP6W!_}7<=nwr+V4nq=z=v_j{gh$n0~C5ejM3mhR7Aq4%^)Yx7odJSg{>E zZ;1*y@Ecj0JR6&Svvln>Df41a%YS8gW~Q9~6O_3jtGWCq+x~u=eIRhnKRG&o^;3#U zN?k5SO4l>lQIP-iiIo0jhcE=QZ zT)^S$e}O3-jv#Co3`R=kk0rfE_=Z^KpmK?PX?Uufs=mWAuY&LFzoIEE&Ik4`Qun+4aqy|dxO@`01xUsmy6a%o@8RrivqTU5*}fBC9A zPD^ZSM##xny@Qwd{?D( z4%F&D;%zmG-L3i`cx8=ZS5(ghQ0(&Rfq1EnV#j(6#j2)+E(-McBTj>q5WZ?%y&VB4 zcBSV_zk&O(KU|4-KmWV;N?X25+VTZbt|R;ju?_in z4OYKzY}e{*qo~DqMZwCS5@VWy_JPsx-;I@13&h_b#s6V>sj5gUBVKoV&%jOj_g;q~ zhrJ10B!+XSvRbN)Mt8JIjaWW_YwI3Y!?Ryt8f-bC*bMwe*-;+fb%FbZnT)}WV8W;1 zj~Sr(-Ixr1%=rxoaE8U{e({1ga2|+Ex(lmE?epGn-<@GMI7N5kyFx2a-#Ua16~ESj zE_fq)_q95r1^KYz-!1=PS@&^7|GOX1|3ChIe(?F>cr~~0R0PWUg%syqd}~y{rTqXc zE&iU{cgeK)DH#0j2j?W@y~68FLS0}k{+65--ilwz3C!cpWrYuO?Czx=2rr>NpL#I7 zZv^!F=pG9HYIwMf6~GgJJS-3Y=34im`85*9YhuNVerigwINt;2zY-vso{H1GD;VU^5~| zC2~O}+H(S51a^Wd66$9N5Y1&qUr2qth){5xS=}8x2&XRM^j~mlBi|mpJ4n438Yw&i z^GX!Z8v9q!ZzO6x9Q+h?Olrk&?vF~Xhou%n_r4nMI|Vidqqm#CBiINpjlEI4u1D;4 zMKG^J?DqId1$MB4mDeEltHBb`xYofK-RH-C)sKBGdvPQ<3{EBDw9a=r;ycAgI`CQ$ z8V@gAha%K(`~((+*tzLU051kbY9xVVunEhyAfIE>D`yG#T&b29GI{sPVYP3w+6tJx zyn_*((F;~Hr*ZZwzqP@9B|!T-&St&Ms8_fi_HUpWtKbiuv$nzQAh<;Yn)&Bc)W5BC z;#c(F1vAqsdJ_s8Tm&x;))1@k04UB&;V~!-T6i1^|B6WA2`Zum@311vrNNh&;mKeG z#1LtaU-i}oPfCNQ*dYEWs>CWPgTe;?stpDs zt-Zr7_%u}FYyiQ8UpBG(ScO|WC#&EeoYo)O`#_AB-VepeMtlE52|AZS-!VZy^nzLN z@)9jP4ekeQ@tkKo&lvmQZ}d7-&^)Ytgv4SBTI~wRAti~xRno{g_}H!luWNF#pT)B@1M^mdYB%7k_3vl>);V?Hb>(mI>pQJwb^cVw8}>I4z$&Z}ONLyerhXm=Xi51>Bs<{L}xy%)ytbw(TEj?aWy_lu7Fxskv>c+KRj z09znKTXH|y)&czWL67NlluU786PTmob0Na(@E1!SRcNZ^w`%_Gotm#h>ZMMN=34pbaIoRKEYNzlzm`zg|NL zJ=Dc~EhneEi}{(gaQ80e%QHEZUCftfa;m$SZ`$N^@6y41dnR1jg}>oL2`zJNb-+pXQ`TswbT%?kVJz_vll?B9jlwRhX?wtef{_PRjE z!G*(>?#oZxW!q|2+SdfO^?20I4Q?C1#$Y9|9sH@%ZB>iy`W$!j zI=jT3`E9$^wx2Atp9;Efr|mY|KAU4-7jXN}wClH_nc;TE%e~+}`^z=U>bcXZotvU}51;ezb$Uiyr^n63A8FZ_Z1%WXAJ+s6X# zzwWhLNgv$TzH6tpUHhzkYtY^KkR5f8uCr&eVvT$6I{Q*4blSTVll$itc7faaDZ8_K!8h&7Xx_j!gL1BIAM9vZrEYw_-Kn5+ zKPyDGjj~&7=czOrHU(+R=gxD4i;4}_7 z`L{TP#S95pJd?}6O(^aVDo)r7X<3KNZ`6T+--^ku-ap5R41ff!nzTb@2_!50v@rg{ z0t6`$RzX^ZUk~Q{>R?hjhhnsq)FDh3H8mfwK-Fx=JfRWqeY}Yer3Qk5AoLTpfzV%B!a!xe9yYtgOx2kHa zw<9_|c;I9_Q>;L5(!>c6%+EvsI|p?IV3n#S)_lZ5Mb3W%Q`M&YAfyun54unsA2WltPKq${;NQYYu)k+AafKVkC8mLvPfzZ=8Q0t`z!T{fp zoyB2kEJ0w~=qTZYvIMj%{b&0O5wH^3^$&_?lJHwqS^saUX6huf>+BS3Js~U+Qv_T{ zVf8jSX)3IVIU)4Sb*R^~JH3@AnC{g3Ymf~7Y%zS}gvAh@4r(jZK-ljas2xxP;ceeQ z?VuU}PUIcmLhX=R2>2OuuMukRse$m3Z!kS)deg-rL10oCZNhS83CsvAff01D_4sG~ zDZ(WQ>e-m_^oN*^BgL_v@Peo*!bXS;F_j(46Lvy4RP||U`_({TZv&Q7Py9e>g6Ujl z?8%(phR$(9Ux!||#>jVx6Q0l!z_8K|vS z17W*wpte&Dgb#fKwNKSR2)8w%sO3V?I-xHOj-2bM_E!sG7%gI;He3ya5x#-iNHq}f z+tFSPvm`R3mdGBpM4F@4PX;FeaQtCQq&aN(bzK!9 z2xin0X=>}#Kro}0NK@OP2EvC9{?k|-wolYTFvFHeXNE1|51X`}8MQ?As3p=IwK^G` z1i=iBobY6PGK1qJIXX4c>lDE(ucQprWnxGY=BW>1K7>gp)6{mWfnb(kQpWPvVn`A` zRUg6$h*qZNsbC1EIHXpjM*>0#~n`f3eb_as>yhBw>K4DZ)UACPJ-N4TNF7 zfm)pyl7un7!R!R*uzyA|1-zsta+&c`g}88>XIKba>=}q`gB46$n0Q8yL!g?_Phth* z50`M=KxFqESJzhRo?*|QuQ!^YX}ae zwaiibKR9UR1fTj}K5G952d(peI7C+1Mzkk$n z>;M0F(BA(4^QfJL1Efigm3jhRRl$b>kKQ5Q{2o#nIXAenbQZok7D#V}WltOTt^?8j z?(UyQySWGd6wPyg^z&#>cjm97kR~32;9A5SUUr@p=>Y*n7%6H4 zp$Wnv&pedK_$KIU95QFv2-qqJHyUDXp49`9{ToHvdy0KPnm7)EhQ|Y%^4vZ@M`wQY zLA00q`}d<=-G|dZo|(lkTvfkY%E8J6D8a}Vy1cBo@o zwUSz#Fc_i(Ms0{12t$2?X_Pf-VF%TNlk*==9k?+NToMxILl`kLtaNA;ha|x`B>h{e zQJw=M(_@x8n}%s7MKh5BFm#@I+r0;(Zv~x&AeVYh#K**`ehuJpRht28Rs9%nT-6hR zQ;;ymJ94r#P5q3TtOE1|(h38cYjr72vk-=$@d7}RZ#!D*q**Ffqe+}OorN%QA_Jl> zo~lQps+IuyshVABmsg3n8-hxNcRZTNE`TO{0>NweHU4`b5%jn9e^y@HJqL{}iS5o#dBeS=As%oueb;5W#;n$#w%fiMl?CVn0rF_~JE8VK_s+7`7%Y9K80 z4W=zJtJHz8+IOJVtOmlf1@0BUj_&IDEc8uE6E;J%C2HH%K-gWd4gPhyX~@p;mH)2T z3$HgJoKfO_2u71|M%2-S&y^+Eg>;^25u=6MrhgP|4z{mGp(g~J7NIwULvn}v{de`8 zaGg8xt!O8A-N(^O+zXCJN7TjPGDd3<#zM3Q)W)lUFu^yNv0_bHn6Y|rXXxmjJiv3% z2hrAB{~WCk@RZc)E1ms!MQ0*HErB3)LRCAeDMAfIb23fScd_^;2 zGQFrjqoz**_+3`EPRJ8ANx)Zy_#CVr7>Oxuy2|ulfiQjIRtRkj!NdX+b-Z5#Kq`nIjz-S6V0zv+`` zYj@+Z=x4l^Dt9+Gwk>fV`6PPMS=1g6*dSQ5gjJB13zf|G)Pb-;RR8Yj1r%RbD`79B zWpy&YR0qPLuQ=e@9jV``2jSRPJn&gDnEzAQ4~806({s+ zVRbB)FHqJkw~LnlM3W zGxcoXphQg&7+F^&wUcTfFp3(eB_&9Lz<6pfAzy(zleMjx&B3%|^0uue6iw=CG})`o zbU=xFwjk&w`MK5w*0kK_e zgngp=H)~r_+yUXKg1A%6@m&D*^zW2e{GD0}AB&nI4D2WioG?Vx6k!+yBZ9B^`$t12 zM8bs7X=W6>o4|84!K<2e9z6qx%f-Rm3|R+2on<{_PW&8#%Lzg*Jn={_kcJY94Cv@C z{4`qXECc(f#E28ti|VgNT2~5{AkeD0nM3(mFmH=Poba)z4TMw5624THP+B6RLMWr^ zSPjIUN)vjE+CUhnEMc&+gptY;Mkz}et1MxHvV^J15~eFln5`_qnX5G6KBWl@l_fl& zEMcj#gol+Syr^vUi0qIKCkSfw2lOB)9i;eas9!qb8SjD^Wg4;!3%t08SEMbtc zgu%)ZZcvsmMp?onWeLuFr3v3uny^S&!UM_@mMTkF0bwUHhjAw1gh^O?$((yh%>;qT zs)3rLc_&QXYGLYi5FGgg=Bx&4zSrP2xw;?#d z2+g9V2x(;r>y;%uC#n-CZdBR~P9{_$6RIH-Dv=4*kO`H@MDlmhO$hvZ2_7dL6SaZx znX(Si?##3s2(3kp6Qarzx+_blR+ez7vV=Ni2?=EhDP;-x85WKVVY;$}ot@<~x%f`# z_|_$pAiO1NoNz!{!a;~GdNWS!-B#&Gf}p;sY~RfE&_PEck?DTI#!taS!qP^9pxsYK zp=zHKnT{svz>ZR1f_5~S>0N?dnx_tgWuhhtYal_Z$tJE9Izf1y{U^^}?^T|l1K?lO zvFE=NYn*_$w=q&FLawO()o8{sk#TIuIQrKEz9T;L&v-UwJX^H=F&gCsCGoiEebU5} zqW4V`PeX8_NjN8JlAx*9o45>OCNGB6tGmej``6vo;QDHp2n$4QAS{Bkoc!Kk=){=B zItWIEFxI1q_?|iQGOaSsDxuh+qaUatVvZArLRwDIFfeg-ASA!$kOH$@9SF_;)?p@Cp8tu) zBQwimz|MkjCWwzfvgVK#_6rp!9D%gVaUGZ~5Y{LD0+Lmq6}~LP`o~lNBjK)J4M2|o zSY${r4w(#(!Qm90uVpA2S2*R_=I+lmI)St>6E7)odA^(enfN8C~DPeAoPZ` ztZE$CH+taro7fJa7bJ`igJ$D%U+TTP@+o(3rxtnw0yn}uQT-VohT;^VQquslR5jZG zoxdkkobW=7Vf-DZ8pU2h`TGXGWfRGTFhAmun8PZ`q0kpVpqkh#5O9^m_D629--e&x zR-f`;8+Z(H)<~@SCjrl>YQj!Naf(oy6z?;c_fFyBgk2CFR%*M|K-l9OsO?n);Z5IQ znqf%RW=Dyvz;~rgMeg_}Elv0G#kd`w{20zxsI)p~Z*Bu6mLxNx&GOM!+ z>_~Coz&g@$9?Av6B`X1=R5eLOd~2!;)Rb8LX&!@OvruuuI!Mcm=rBbb5`?*)Ln2Mh zS)vw#shY`kJlMy?!Cx0mD6%3h36}%#Q&Ut;s?&XIYO!ziQ)L6A%VqEgV?_+)F@vPYnc9Gt-AxP_wT%B>MqsRUHmULa@&zZwKyCdN1IB zs)qrLmUT>QMJW8_BB+!NC{VQwz%0N{0c=tA6@XUvyT2aQi$Qbo5m^!Kr%V^fn}KB2 zvlTK_1bmli&%%_tK2(@!+Aefq&0r>x}F0-|p+%M%?^gM4`PK#m5WYCkuuAytSip z@)`l&XRB~Od?VU=+lJSpdxFkK2=*5UG9WDKPSrmmGS-B#GM7x>&Z5re5Uwj?Z>gWG z0ZdZW3?!{pprYt{q`Hj1>5mWm!t@;5-Tlu!(GrJ^|3YfT3GYB!_M8q|#34c0Dry74 z_+~Q81WRTI%Upuf;Z2E9PxwW5EH&IxhO)ggHylsGrCGdFF9O(J);JwPv9L-;kx&e2 znK>Q8;*cQZikc)C-$YtZH5jiGa6Tyw)Cb|Af&C-A1k^XAew;83qHR%|p$0;eZ!lB9 znw8>^AQ%TTW@tYXJDD9jna;#cX2;HqCTk9q*!2VxJ2Qa2!D`xxOfdh#hyD(J_KfS0 zumz&MqV{7o5O(+m(<@e8C=LmNaWK8Y*Q&v;7l$N);qfh-7lPUCB-2}}F#l{pY}rj^ zI>|_yWPNt!dQ>(^)@Rqu^nRINGhu2LT66IC3+7nm7gyqr2Svn;!xicpmFQn=iZ9;;0w1E?vQ=an)8RjG)lY@gcO8LX2OgF z6F=Ww_g3`E^J%>mg8T?mAT9I5FV{tGJ73@N#p^PC-I@EMzj5d{8-i+tMWUt%OCT*H z%=g%=6B(VJfS@{Iy{IX|3y_x8$s7`gIN=yo$4U{8Ls~i?@z|`kwu5>Tl0~szs9KsQ zq(p5XjEA(0nCCnAyL+S>Q(hyLlB2vzSyvZ~afevrgju4d2=gEsJ8Vvo$QWJdIKSG$Ugol+SY*E&$<5&YwZWJy>&sD0f2dJl?Uo9wxjiOC&F7Zl}X~<)78ms_o+HC1- zn$Plevfhe%1;Kv>!T1nH^rD&~)InMvQ9FGHe|YoY)K2P*DFSG9eLS+BWxjQ^ zf8bPuyGYDtNn152_7keHKS1O8>+T&Sa2UebBA$h0?OM#ZUMj~4kBRCJVOuP<0GolB%}@?pBosqhql=U(JL?kd{l0%u;nA ztoWJ(nJ3hNu=Z;X>%csx4up+a4&I3~Y17JO;rNV!}rdIwaE6K2`(4YYYZz zr_?|=>)=1Fl4&fF-B_jrJK%a0611*K6WInPl5pIkiQ2~`8ww*~dYeVT2=9@%Libu$ zEDha}Fd!C_FtLn7CSJnCGbR(0gVGIxbxP(CA6z)L^jHLXxq1+qAzyb`CJqV0 zBcdh=#y67>nGNC)Cv1nb4A$&`dR9CVgzt-*BpByRG%|a{Ax=01X&H?UyTu_v_?f6l zg7M7+BlBS&{rCeIISFYQjUGoJSlom^h?*oA-%K~Xz1cEh1Sn5Zkwn`0z$9;oYPD8;Ahj8O3E`#74x*YJBs>bt06u0)({u^%H z#o!=lm(a7HR3`L;v>YA!z9PN}f^o=ng3JpNAx_xnN1(Pz4V(I*|J!{FwH<09?Dh>N z!6cZJIMj~^OjR`#&_BPh@hK3F1CcKLSi-oHXAavP{DgYd+ zp<`Ga;{|LPS^m0;qc6knMgA_-C;1kt5AGgs5rsy zPb+_^R!9AnqF9DXA{mEYg>NJGwhe#LnnZQ7#O*?zReI({FCjj&g@J~SKzkR;7 z!MEb|Ut`|sn^PY{{ZuNa=y_7rQveO=k1`YJr0EjML`@MYl_d;NmM~0N)1=)W$Dh_u zWa>9$>L)Vw8%%x9TxJzB;%yq0Fi+G*!UAOp&B_wiD@)h{!N)R<#O+EGc8Tg=XtRM^ zg-Q?>iJBs?o+d+Ws~QN5@ZV*bWR^?BcQnB9qu|LQSdB6|J4)uUAyXMERqF{GMQtE# zg5WpNoHP~ffIqKnjG?h+7*=~~X3(){-XP}D1V#&4_c&=PT1~RiYO-cId%IzM7lPx4 zP;#mEIG(0f3W0&p#WzqZQv)HU2HyWtEmsSnS}j>yS*Ec>c4G-?jP6;7+Na1SSEy7J zz;v>{w^<`|8EYbAHS=w4hVxFXy9eOx zRsBr>{!Acp^7oxC129Vv?zO}!2(EVtgGH?;3{#dcLRrEzh<=^3JhM50`wwx66AnPU z-#jz@+Ut8HG9K9nd&VJ|?T{H-2K^NT-5?x-w4Bq7LqZ%J&m%LFV=lv$Ed(J5%OEX7 zkXfY;gcn3j5jH_u`W^>!MjYaVz5^MzkuU%P-|Xi zC-i~@@z|BvTWLZKM0)0=sn$a1W&SZpB?NH^UXTJJ-9cy7DloMQJXa)a%vrg!jXJ3u zC%gdp%KXz~q7)1 z7OIp;h*T*+qxna|Nz^$j)#JIawi8ExUt_f1O7*@R{eM#Q2Et}Y%S?8Hc~czX1ZyzU z_D`*(_CvVpi6bK6;rVrNpFJPnz(z12W11%=99Ws-!>Iz)8La;sv`$SC< z4nSH4qwhL#NDz!e!tqZJM?rrC30aXV(o~K^*z-?-Cn0FYtaEz^wmOLTQi($7n+Gg{ zWcl_&u~sR99Z? z5`<@mIIN#|7CN2r8gjtTg}(D4=nG-7sP%*;kQ{86_<<6t%hkdbH;Ec2Fka?4r^TXu zr9>lyAxsVDAF2_x5OO_BJWVaC211cxzAeKpWIaZRyCp2YNzq&=VxLHObgCyqM3+h8{FDvJChRGI|qwpFrE7o|-{vwiV1 zsAxcX%DZuRk-DsM1sN@{fomC~j4XupOc^Pi==92s?cPwOv=r{Kt1e-|btd z?SWw834475wKvs3*ykIl?NiUwG_RxIAA!_tGt0Pze2XDGs1h>efvVrgm` z)jxINPoYL)Lv{ZLMn+1bDMB3tFRujCRBjMHPDmP_N=gfMn#yPhx=5I4cq+GQA)Kai z8w5^-X@;NXKwB+_6k&}Tf@vyG2p=aj8=lHqE!b%)PeTxuu+i{THfbT8rt%{QoCwkxPm-h>EG<%IAl!b#TUs;DpoET0`JPXs3p7no6-2B55j}AaEj-8=gv)7IM>6dO+Yrs4+a1X~L%nGa=@* zp!=T{MS5kDX@W3M)X{`x5IQH))RwD(!0A#0wRhA&IOZFueWV5g2T*HJtCfVD(Zo70 zqePn8D6xztjPVWBv=5`R`;fUBVHYYO*zF1G+nDW}xlQZ^hkoMg#2I)j1cPRdxFVQl zLU>FO*+Cprg#8{()OFE7=!XFGCNdzr2^?wCCNK}2=@6I+i0xpR%?YKstoNyb!06!Z zG?!CfjYnX3@Hu`!&d?GFo+d5@aEhQV2WZZtIUye^dlbMKfrFV1{N}Ta{|ISf^hiLR zs%G%L$CT{AELge>(+&|UAsDn;z+jIi4!@doYCFIluHM8b1m05sQ$3pKjJ$@tzb$=& zMoviz@hE~7K(g9^`ZnSomL}pS0cTV-Vc}rLdJzIIk>GK{a)?><>av&)4*oOea=I;p z@aNYCRL;aNMzO?-dBT|UQa6A2SHRW`L9>MQkd|$%1oNagBnj$UPgnqo9sK zFolFKAuWe1l`EL4JU z5+ZjGRL%p9Ih|``sG=YN*tR6Gn=fB4`|cPFNf();M9NsD3N7HVTy> zsMQ}BSe?jCVonm&?B~GZ0M4MerCLUJ&1g7wEhh zg0o5>P31i`do_@bFo_)kq8*3zNfnXgFq`qS{W&-%bwjSo4 z>PhQSRX+lJtg2Z79Ejp;B_v^rsACAzAT5W8zU{;zK~P_3bhdM5jOg4+oRfr!5JpR; zscF?4P0bK5kFqn$m5TKQwiLAPZcAjKpmk4MqDjxmyxLs_x(0$ve?or<{>n=rO+{Pu z$A_-f5KaYA&HniRd$T{0Z2LY4=HWgE7#&Id-Z|cBSlyT;YU7K)>fXMW?_@s%qn8UVwsjWAqJ6iKb0!wvt0{7 zF)yIw)vGT9S1qo75{#;@gXkKe3kH=?4#EEIq^W2%e@U}=D+KF>Fd3pp7PYx*AS|Fk z|A>Z3ZUm^2;*lUs5H-bm^Hn9VUW+GBeL?gWdvX9^jH-mO5Sbt<6P0H)hR{ zp{$M7(>z7Y^@ORAHn<{@Ck&CAE@=|e)lQiCRXd3$wVPgXK$uO)YW=S?6|X6RLtil% zZ|1fJg5e{q6SaZx0z^lW+GaImS6eAmf}mFam{5-yG~B1ewI66)q0Jd8PWWm;)rkc_ns}Fg4gL{ zCfX3RZ^neh_DT`@ikc$yQ?}oAxS$yTU32<+y+o#7L#Cd;)WfJ+1mVF%EQg@|-T-Z^ z(Nv-b8)aizeH!4Pe64VC!fH`dgvTK*(_&rqO%RMjW~U|deF#z@OdIvp2pNY29SZ7X z{2PIhb`Um~NK+dML7Ie!7bTIV7K6Y*Fya4Q`$>l117S$~Nfl@xNyyO*QUFmyfdjP` zl6B*l3rFUFUJL}(syY_Xq-yE)*mEF!m4(QVsL!0rMU7Vis#F~TXcX0d8KDeeDm7H) zHNf*B*e>U#sq}=j!Ben)mHevUiBOtEF9^~h4EU;@#K1atMpfI6&PMce9|XPx?L|YT z7Y$4`R}&*dMQtGDDNE2X_80j9L^`HHPXfZ43&*gX)+quMQ^nK45@4y)T>xdOnuQ%i zUCt?2V;xXOPG(cb$UoSY!@3HBo)FfEI)<=CS%Ox-u@@TY&4$oEu|^slL(r%PjdBw+-kjWr*a0r>jHy;gbJnG9y}SJ@#5 z!c~9`KMqJjTGTSh6`^832(E1iw?c54yD-h5O{PF)E(AaLUli`!B~5*yF*?-PzOgcY zZMuPwf=EcyB->zkG|6g9RV8p}@i6xO1!-2|Flx!vJd1&1|1m$N)3`f~bI=AuK(pIJ zRb?8(RW&032NP;578RSrQy4Bpoicw<<{IV#Mv6QVC#!1ePD6z?8X4e3-h-~27PX^l zA)N6I)V@>$q2vZrgIXyBsS&#P25Mz$AjEtFwQ@BOY8~H_o!=^HEJ0vW=qBL)|y)*R}KRz=ivDesl)0)U>aZX z=!x(((u9BbFNfDksgxkB6SaY`OVrT>hQeQ@YqI)u=e89{Ggk(~v}I!Y2hsw#pN7bV z2NfNHIH4G|gr}l|5a&P-G&~g@27d|7M7`bYKTZuyMa}+Z!s2`gW|pv5)JDRa$`bZN zbm>q#pa#N0Q5y;GC`&k@teFUDg7c3HRJO50)D+t|tSmt*`D=R~s10HrL+f!>O~^(R zmk8BBcwE#tfl)EA%t(Vb5Z=2%KOK7y`VsXYd?;$1a7QZCA_9A!8xup;gr&Zvk)Cw(>1nHA`S^cUr|#8wnN{<|I62VfJJeA@8jEd zX14DdHC9aQF^av{7+Z`k2v!nn)Fj4eG`46KJ1TY*MHE!**ijH0Hf)I4yP{(6h`s&Z zcZOZe_w)S!`#fjfb5FZ>X71d%=g#hI47pjdB7mUO7)02rNC}5zHi&>qm4D<5h{>xz zAR8KQ{dp6GynPJJ+s6)h`xuzFj~(*%F_8P1D%aS7a1q3|2F8%P%=!(gp_r_fh(STP z4nl9pYm6^9b3&6}(GtqbY)8UxGTVv3*6^iJ0hLQ(!)wZW^eRHr8YEzvFbr24?xiTf zr<`C_4flxeN{a7F@t0-(F$^>z*A#asNLZTTj*O{j`P!3%)8L0P|A&JZ0>U-YO*WBP zHX;f{3t}t?7Ca`qa>c}xirBlWwDunO&nBBZ53T??0HLf$<~x)HR8+)-R7L9D**vEJ z*-6%R%<~Dz^XcgI$p<4^pry?K-4u2?V5P!t0_+G-E*Gy8Ajhz#tS8_bOs4zCR7Nddj~>AnROHVLCUr0ABg?0qG$+BW!5)DGul}>S^&XM z*0v`ofrAN*hAvJ}+(G(-^Ax(qpT@a^^ z#QPu|9SMfzWj{Bg(N|7&fHf3x6M)h<-|ZqBzJ@^gcHstk_lOR)6emI*nGGh?Q>26j zij>eukrI>&e5a4ae-$lZjLZfRrYllHHT;+nyAV_jP(xSQl5!oLSVt3?brYH?QbG$w>LIpP#Dq4Am=LH)2|eeWPGXuCGQ_ zEr#loa-3j7j?8-8O%boP9Mjzc&|6^%{be?oFhG$Kl=^+GyNxjUWNkY_84%tiWAF#o zRK%>nt+0fSAX+FpfVHp7h(I^wMtNR=^r9)Gc@6L#roHgt{>YO=GEUjFJ)xlDMW~=i z8Mc|iwg9wJSnhNikHWKIfeIT0Xs@uWU)fz>-}#@46}_+Lu{d4!b`v6GHi$4+krL7r zDUX1>_D9(~S=KHVkR-G29e}+GOHiDABRz|mik5J@Jr7lTLJmk7JiL7*&o+Rz>w;<9 znULVYM!Dz{!0k{pgp;{Z0%FKzg5W~%hR>TxrR17xR5N{-Mk~l#%h5W{%2j`MS=~k} zT(Z{V&Ic?h^X^&z#mU_sY&Tij?hwFFuD=7Jg3P)J^%NCskn{XCnQVqxV0X@0jf1~8QV&FY$mwkuT*V(zJD%q+tAs>kU`$rt0hzTP=TGa@3 z3>l>XoxMTxB6N`>cwnMY)s;gird_Zg!xZrb2&?ym5%Q7gK=>C#3*vrR+>W5M zvXi%!xW%xMN)4R|nIIe(W$hVN{@>av%CUk7H9+`g5gzM+Q97FMJYPg>xKC=;XHk7u zLk=o&cA(ZxI4!g731>mf2EslPN`vIqlBuG| zs{v{$Y&}3z5Iun&p@l4ON0=+K0fa@0)Z4cI<0W*H)q#Y*AbEYCOuQoZy0t zOamD)Vdp<@iY&~<@khIT7d3aK00_scAb@)Swmg6h0P78GMUlqvB`Ag+2xS#1LGkh3 zj~YOvoB`k2JJ0&FWDhqXv?D`yB8&t5cN94fgFCWq070?s__=M~`FRh6Vsfxxg1^jm zCbUAm#}pDglBRK(X}gO`c_C*|HE!(8cp=?cl8y z(%hQ3T;MxGRyY)po!oS)rLc7RSz+n)o5Fg}1dr2fMMUV=iFNv#6ampnMH>&uR9GvF zoU)2Za7NDNOb_R4)Sg(5u?6YN`K!P4x-f#2# z1L@yL5qom)!z$H0*v5h6TPm3h5DpH3&5-3}o+@&}OPOs)kmN>mU@a9DmcW{1M>2I3 zIa}U9VHGsg_{h~9a$TgXXu-)42I_aqj4O68LNC} zxk5srE@XoVMP$}ZCaOshz;Wd6Qa_T4xYR~ zzSCY1>M!OIgvCR33UZg}Nn3U!gk-=Mr* z@P*Sst?YvD-kk&ykH%*shTyaEO}TkK>dO1>CTx}2AVLc0ze8$0*56sSaBl)A#=fDC z4rz*(@DYSLRzIRPg#17iF`vxO>_;pp^P~EC`vOCp0wLccI2E;uD7$%7Gy8t#*Y|(@ z0_n%FY!(pv!4MaY0U#)>1TZVC6<}9bZ#^Cz%C!FM=ElluDI&s% zpQsHcjFee7;a`w)Fv*RP6~TlVK83dq#;YO63m`BMJp*FEdE`JiI*j6V&AX4$wTkQ- zNKl&6fk1oYCV7?nx^F!g2FgC}_JH0BONfx!pt*qe3j5vz)XAaT62M<(gQ@_Ox`J3i zs4R68lpXc;(j{=u2Fb6R@7^MYd_LLQcj2W&bQ**MOi;AGanr+nUZKP%y#JY&zWCI} z$=U!yhRk*(WW!K7isW9(ZXF4XpeVe@Kd*{FR-pt5R)WaaU;DfezP?YVSh>1(1f^ZR zW4zKA$DQZvn;qSU_Mz-2JZ;LzV^URYO#@OT~~?!upbcOvK6p z;d{5R_zQ31V@Wacoy0{DeRh_VV@Yy6!j1!kdlcS#l2TAiRYZg%G8;@tQ>26<@{R@<12j`uuRApq z<7B!4kxhJOvlV1*KzV1AC8ZYM*_0sq?1U%>%XfQWaibj7O}H=~k}O-aBb=An;ERCU3hUk3hbX?1MQ*~F?sBgogn<||FLiqj zgM6}qy8@tr!uIQq;dO+_aR|seV0q>KxpEv@uZY#m1YzJJ#LKL2PiLVRrDzuc zmMbhlF;wnK?0+n8Q`CffJ^$1Fsog4T0|@>-sBK>b5UsF_0I>?Y1Q4gN0-{*uNWOtK z8SZ=KNNxfx@NI-(q9j|o33i$F?W7Lj406Z-0bo;DC!nCrx(P)TDWRkyC6ra9gz}1% z&`^;!0yOKPEN=sa9~5yLKzo^W6FMtWLSIEn=%+{tLlh}tq#`B!t4Imc6=~j)@qI7p zE{?$>kF4&Hr}ka+7ona3Ez>$;gly@a2Z&Z!0uMe;2Z0Bk#zgtps@n4eD96)x5*Ric zgn2x{_7NNT4=fpCNe{j-*DvzQ1-vVK)k$;V#PpVyM74)q;w$;QxCx~|{BLw(2uQ1% zncyMQO)iW|$Q{*GDmwasFn=J>1|8UI`riw$G?X=D19u%j8-)!5SlTN*A*5#_{%~}j z#>2Y$DRRP}AhwB^zn9#maUL>7Y!fol2yA9@IT8n`zEnoZ|nx0 zTglpBLXgY`66nVh4N%+z2?KgXV&DAuXR#$B>$S6#sm#3!m2KUG6q)t4Bu^XQ)kZop z%>^SfF5(}Cp^BU^MrM7TNOqG&fp$O>g(b8GX;goYBq~n6Rf0UHc157A2*M}^@Cd`e z<4OO&%5RKWQtEE!tvgTopO(uZ0*V2Yx_pB(cQZ=Xx(SOw{AE=PnbnHi8)(Ka9!v#g zSpXqcW`hVz6e(eyA|-49$=}QNMwkTwJ$XSAqe0j+)GK!P zn0sRk0ii1r@`IGl<_!@7$#04QVch>1P!gsX5T^Z)!3;D){zA9PQ`*C z^!dLAlsG_WC83GT1`}F<{u_*v0NKDz7$>tqgfI|oKU*WGXtr$OChV2jV8S8Le*;r; zLN;&{iuGlCf(Ru+|Fxy0f^6U>wEmBQd~2a7K(Qe7`i}(mNgnT{)xdMuUP{^ksS5#3D{Co;O z57@624WYD8L#~{nAXM-v$W>AlgepD-x$267P|K$vS65LG8u%38JVIkdLul&LkZZ0e z2(5eyaz82xg4?Gc*G^Fo+WQpbIw}f6xKANpRgv`$nkDt%$P(*yE{`8X!!-P+AAU3f z6oBEtUcje74KODcUjrMVTuZCiH3F7Jxi7FOFdkSCxF6UW=>1dxqfz~4!awNABD;=X zgHoxA;N@Ea=Lmx#$S13mO*BBG^0Rs!!l1W9HV@bh_!<}i4A)~V5ZKRvivTzS$Oa_= z*^mq%D}N6h0}M5yPXT8D+W<3-4RC`3Q%^u(wMrE&Dh=5H41>Iugc%nw3pkjEBKMOI zwi=bOL}a(Ex>}Zw7W6M@h5_x7qqgb_MDEzCt5+oqW$tGLuo3Vy&>yI?VsZiGhsT?r zMxepg$nuH0W}U}@*QnZ+^X@*1DjjOv06*&ZaVntVZdbD@yG4d9rSb=|Rn;7kZFh6i5ko&(s zSAH7EqnwqCIY8Q(^U1xzqpARghdBh;1{e?A1nl+&e!K=e53B~{hgF*ME4{WPkb%9Q z)?hTMd;Pr)s|LLvWI;eSkRLx#uiWxrApX@5dn7Ydt5lx{9EY+-b!ntGU;^xT`5gj6 zsA^h3xt_y-g;0(IO6-3HF)X3Xzd4@)4F3|(t$_UZZSDyF+}a;lp%8A2yvqy*Qv=99 z=`D!>{L9%oD0@HEzd2Yv<20Grk@59mE)#FDvku=17Sk20@V{!=3NY#7iVja=!+LyA2G zvR~x@djLC@P%4ZC)`Q*UuP^`sbtP40gjz7*AAs=)3&Mqqv%*6__IAF{*^ij} z83)v;wibmw_a7~TK?@+?TwEH+w-OHq>S`#RkFS>Bf)4?oiTVR^?LZ?N(SJICD|&z>aib?Z**jf z`QpaDK)!O3Wxh_aERgR}>;>GPE9Z+5Ss~wH7zX4E3#sS31lfnYAMTV!W&JL<0UA}m z$bma_UwH0$B`Ut}uPLmOfPP*#U`dchRp)ze)6eJXUjx0Jf#%A&I@Zi(FA(a5>yIz(!6e!D$%0IyTJw{) z!qDc*g&hv$lp_wvJ&gx4ehQEy7+>hY>z?o2;Oz^q!SKkv%-%vdlx2b3YrZ6cH~<(9 zeo&=BK#Wce#l1#Dd&=3c`S>7{8HHs$ob`^cp$&7 za~H^Ogs|cK?geked}_}!pO>>&^1<&g$Y+$pv$cL;_y{Ww$R{kVV8F*C(Lg?>;E{;$ z9(g}SSF8z_gj=J`&shaj2|%vr?#M0kl<~v6SCL-I5n;In2#biV_UMXuBIkHd9#{YB zLBl1t2%6=7(tsLOt4OaM*L3_KcunOc%OW3XX<$=s5}+>(?HVt)A&#Qc)EC8<#^F}EZ!wrPKQ%4e0$1KT&ZiwtpZc$`Fs;;V?MJ9|SCW?{nRGqsPIi`ywri&z|i=@FI z_O#E5GNy&}u7#CqoxK=~%m_a>BTrcqTV$~VxbqH}Nr-0bBZgSHMEFuk*)n*5J7f5b-esUE- zObV;z5)wjIYH*|cv*M+M=|)4R9LC`9#PzB0c4mak21y|xh8|!b_nTrtcfrF%kVznk zNuGBnO`GA4bb;rP!kXnxj+yTIVN!==5QoKaojpUP7w1r=5F3SCSUQhGV?e& zhXXQ)BdzpPs5Ae<{Sb&r9I1T?T$~^#aU>>jBqVV%F^41l1!5k@9F8;##5^uGmu{3~ zm#or}862}W(n%0AIQ}&*GdN~%q+}2?IR1I5oWYgJ+ZkqX{0~s?&XH%f7P;Y&uAuxk zpUl}v%-KlH*+|UUNX*$t%-KlH*+~3PN9JwJ*+|UUNX*$t{JT5mZOqw7{LeO~ZA{H_ zI~D$|0R`r0B<5n?-p0I(ITxuthY7}G5N9~jdtrdTAVSS0>i z6w@rGSfsv{J$ZqcR}Ic3rc@-RR3xTUB&Jj(rc@-RPTq!)w}O~PIYCUL@`IR0F@+*A zg(6h}F^y{A!NwbaX%kZ>5>qA;QzjBqBd;BKrbr~FNOGD)%>6JcVn#%o24YskjEKaH zh{S)?U{>T|Ns8;gFc&keK0+n8|qU$TOoMF{2^f%_U|uBqlCi`}1{h z{+Yp$n8A>k!H}52keI=cn8A>k!H}52keI=cn8A>k!H}52koeYdZ-wNUr+CYQJt#0? zAu%!WD#*XiB_<+XIe8`|Bqk&zCL|;#B&4Ug#C(Lryu<5Hp7{rfd4`flL(2ja4$`n( zN&=FYaFCdAkeF|fm~W7nZ;+%WxFSHzH%QDYycLt53}TM41jHNz`GrS*gCi3R(n%1L z48A2W6U6uT@m+h&FG$QUNX#!t%r8jHFG$QUNX#!t%r8jHFG$QUNX#!twSMq$gG(-# zm|&2YV37F3cm5Kb`2{I80GAzz`2~qT9b}Hd{DQ>%g2eoS#QcK9-?K2sV17YjenEO2 zzzv8A28js8R+ zAzQ-7*ax6hskMGqV^KU}(pJ?NYXf!KYBpoA%+#_QhssPHhp`P(DV?^iQ(rWzEix@_ zJ(s@d?ActN(bmsr{7cq0FzJi_zCs64!!PtjPpqe1qx|}!xY4V$U+eVwsx1RkNUJxL zU9E+#Z~@~qIvR&sxb0wN6^#q(i(W0JhQpV}NJ@+~Y}o3wC5*-);I!j47UMB8^VNm4 z9_Le|P(rSLK zFZL)5b$(S+UtC)jOlhOe_;@J;eO<~}e)CMx;D;QgCA@j|*#@8}ap`!6g5+&bO@)-MWCs$E0ezSv2C4b}Q zU1a<#8~g7eQ@M)qq|8*2jG=oesanC7s%;5-K~rRW$bc|;;%$~(Vk1dp(m(Rdex|4 zHMWI2X|dgXhuf5$8f=rYscrq9+uxCk>QxW13wo9PIQj6tv?=q9_G9gOY}Yp?KQo8X z(qd>C-w3~((yQL%Sn5^B){sAJrm5u>Lu7Pdh%qCn9rK3Uu7emN*hFpFi5 zPp4!^ZSr%v(reBkT8dHR@8l=HCXL$5$7tDV2PLx(YCHgEepZ< zhtgH)Fs%;xNE@3txH4^il^gNC5-r=6;C8=(+kf#OO|M$IiI#y!$d{VQ2n&q#%6duu zcRjbpvU-(Auc~{Qh5p~td2A;661&O2n?>gf8|b#yLP_y^)P5Sz(2Yg%#g1~jGKxEp z;iSBB1$uRrD4D;Cz5)Hoe>I4FV5;1#YV_Lw0uqm^=Wh)C_k6k)$RIy^2>F6l$@i#B z-d%`p&*k&|(`3r~KIZl-`G6;#qfOI|v?(ed>PZt>S(n|EKk{ew&Ck$&e|K)bxJ~&a zBO8G~VWX)fhK0WE$uAzvKu!EhmO zm3zR0I7ayEcS>H$N2RKcUOxs(*>5Lj0Y9=3=!Ap<7cuwj||8er2E< zBWU??KjlT1Q9fcdEyqSuK6wOfCgF6V2V~Qxl^o|&Lpf#`?XTS8c19gq>TA*_|1i1# zTN}{L@h=r7T5wbM(H zKevI^1XN+5=w{^Sb)o(I8ML|CkK0yq4^Ay{i6r zRx{p@M&smek}-*<{w7wYl^ay$GOIZ=hi)lbY1!fwy=Hz#%Uwa-mWbk3J)7HdSEvnl zkhfSEXPJ{;vnDf6#hc_??E&vmm8{0XjV5$B`-tk!kI2uNNZ#3*+IdYW*|M0DCi1cA z*_@K@HMkAv%nJHmr{ytuB>1ry`2sCjeey+mgvr_@G?y=uIw3S2 zcZ1tY?YJ!{_u(G(xW^Y0D3PYo!B5_&)9oqGSD*5;#VJ3DdzD`0!dfw|pjoVD<~eRh zjHmqPyR@_|q-4B&vSJr9LYhauf<3)iXo&j``tv_Dx+_;at1tPEgQ&fW?}6YTZ)czx zpSV44rhQBy@;{6s-)#qNnttN;SPM3*iH;E->Zx6^hnBr0Zrk?cw)tZZO*_l||CihgFd&hf~wze>+n$926unlh^LT$@i)Exnn+$WG4!{Iv}|gn#1YOoW6Dro zPi~g5gz^=;xSisneA-*scvO{J(@m;Nhej1yK{fdn+~q#y86#=>l^^*@jj5d|U*8SZ z(|*(^h8r`9+nsA@qnpC*l%w3%Uq~C%5N?+yu(@UATiwQg8FO4^M)R!rf$Gb3C>otW zQQuISs^vp8sSPCyhf=b*H-mnG6?xq0lgM9_5Bu+JsNKJtjj*lew!$mMT!^n%VEjnL zT$$6DqD8}@`+6HYd8vLJsLNa&i1ANR_Q%XqU(!7Xp-Ml+8oa)2_F-+V?!r8{lnaW+ zw)~Lxu&8iT4ZfmwIY175)_*r+zMT&}-9=p=Ne?(NY!XJd{#MOH;3N~y51;LE#56mOd=LdM99{x9UFV$sulX#_Wk3ma53>CB0I4+fDPJ_1T zXkIDbw=0ZxMrv;Vh|u#i>S&baYreF=FG}+;7_dO2^E87pTC*b_ZZR665#W&KWIEgr zYliZG9nm!6z5S@BjT5Cb&4mn@9MhCg0n#-CLLoe^kvJzitvSJ~=#1v-8@Qj;rrsa#tbc7(-E=d zAdEJKA7#GHy`bD3tP!;vyVfsKz;-|hPJM>-*^F32H*^I*Y;+nthg(yz9id$do5^ZZ zTi*YssF&~tAFjUq8?2|QN3kzXQwuF~+vT?#39r6ZQQpIA>p67PxyE6r{($b-gSNl& zJh^Y)hBlzj6UfJ^IV8%vq+7xG?Axmzmt@RQ}N<=k- zy3*jz;J**Qjo4j-IoV!53vQ9neYm`#dtuVzmoK?q;$nB!iJx<8%4>%7f z^zuvWN{N`pFsYTC-=H$oevjnDP^WHHoV&VpZ)jASdcS)54^|oKUzny>85+cWZ9sp0 zjCBEhqler!Zp1vWi7p-O|L(_H2-mdwYDm8CdKcBS+2{cu{H8MGKb`#mo4)fiu^lvR zJhmeT)Ibx*st>k?I$Yf$8Kv3ku1=^HsXv@RX^DD&X{^6sfNJs>#U%CpB-FV_oz_#M zGVD{!P2R7T+k8MRH#$`1AC1i|0cd3oqc| zEb-ofMwEK;HRNUf=Ar#I1<#=Mm5+Xb`=7q*R37Krt|2h0f1bCAhV6OGo6g1;Wc4jY z?~Sm)U7mxYTX+h-p3E~)?g!>r)tCN?C=Ff15v658PAA$mIS78XTE&zjLcRW1z#R1m zjxuZ1yLnB-tCy!ixYnb-%4~Xzdfsn{v{n5NXOoHQh+BxhNBvLtt+v4Lj`|s=Gk4X4IL6*nf42peZ`92^ zfVXO_WvcY=)SfM4fs-`v+rneArp0-LnW8E73}NPL{Fv}YX{uC*aDiqGJHRSUN+AeW zYw`_%$r{ZUB~jX@Dai$qWKH)PsA;>VOKHGi&6dm19nqxnZhTbpIts!I8e26~e^Jvi z2XI64r-#kBufYqlRr;rzrJUYA)BJD_$`_g^8hE_XoWm?e|4}o)97>b4wV4ag)*f;L z=4tzvLDOQi6UV?LR-2Dw=i+J%i^azNXZ>no?V zY8S`fGuoypxi{=DpK#K&QTOvwgx{kZRmppkpTNW-MSHq2;GlNksKe(rB^*A@eQgt2PhSSv6GO$ip4svUia`G2~09j~wBS{+v`PH0Q*0i4u6tOq!)ZH^=z zJ)}r!c%0LEcEIwy_R=K4MeWu4sPK|@BZrAA+PeKvx~gs578PFCMpuX54Q(~vma?>m z*z8-{clRN@qg}WZmUp$aam{)3_qE!5kUr2px&nBl{V^OhJ=V_M0C=jc%W>+NcF!@u zbM5{JK#n$p1M*AlhU19+O1oeNN^i73KLWhf+D0Mvdu`Tizz1#HDya99b|9w{p}Oyu z!C{Op|97Zptj_i$Og!UtdoMydLANpk#W3CJ*-%c>yq8LE z(0Q)IWR~tjH~7ug#ms?luC4)=arKe9$!5TOT`AtzqjaOW&JnGvI|IUnx^KUSL#!@o zHA;(hD=_WQFZJk7F962rGI=F0*DYasvO>3*Bl0TUvtafe-43Sb@w%4x;J;S4g45-_ zx{e)C+NZ196S`ELl?UOVPQ$zLVckrog-3L6csESbZDt~QOgC&L;JB`71=NtCYqB5i zCv~2XXRtY?tJ?(C-_yMvfoS)2@44RbP`8k)s*iM`#i4tmJBaCs{;6)rCOBs61_r|N zxo!lPWM1m3M8o8juHG9|@m9BWHA?Sv-%W?*N8KdeBR=W+WWs&4UW!0zjD8wN?{WIy zJnU@a^{bdDCF(c*fM`kj-qTR;cKzO)h_*vNkQ2^bdjAG6*{vVE2)aG`rChV!tH0L{ z!u|Tdb}%`huY4E|2lX`@!Q_zM&D+Hhee)hLIjaAzCLE6Gf95AVWWYGSAZq z{c?`Yr}Ph5I<5bC3QW)Gi~I|l^ZFi4tuE<*UxuKU^`&^LyQY8p38hSZ5suI|^&fY` zFH4WCNTt86@4_y7N8ex+;GTZT1O&UU&-W6CWVYdBGx$Xql1>5U8U~uU{v2tDst3pU zhGB1D6J>~H@)K<+&y|9Oh61+%F$M>7$XJ7UIADq4st*234Fx%$U1msm50mAF@m!Wz zX_%i6u*#sHhK8;&tl-|q8^-Z4uQMe509bE$Qvy*o8p^$4{<+EUBQMSbL$AM3?-s-O zVNfO-rvHjklA-NSC?y-FbwO#nVd4>#b{f{O@9Z*U@g|XC2u?&R_88i?K$Lxk2#(VG z4RdCqlxk?eMCza+zz&BahW%U?K5AHF0Hhl>{S80QaYM5%z>|g=%iwX!(2a@QSwo*W z@Hl6v9f@cc4P)Lzc*#(eQ^%`@P6bf9W;noJa>IbB7|wyA(2qFIw+tBLa1IPjxcqY0 zP$dDndxkR14jvftmxaSa!y?X|9~++h1Is5KL;o{~_RP>P2gPhdj}9=+F%&!kcwtCk zI{3=)$1uQa!-}eaw}zzWaCm1}Vur&qV;mRvmmA-)>#Z`*+62GV#uT24wZ;(>(WZ6A zo3BvXV0`^AEH@e_ZHCEa#@IPR@5Da0e@eX^!A>(S^c@7(Ymxp)b=0~thGX`*#B;8nX zE8w_sVI{x`qn-$n7%bmZwUBctiw_I zqj6s!1Pv7uzd>oV@Ol|aV}&*B^5cYNEzzb4!dJXtCko3ZLpVt|z{5RRD9jNpTqw)w z1&lJ{cQN?WGemay9!d~9YHwnUKcx)CXF`3vROc(`|t-?U|gd|}& z$NX)=&bbio5OxPbxKogfDD4)0db}ArMm~aM`2Za|HCO!Hi zLiML89u@u^1dn6FBwpg_LetAAWe97SP@NDej|7|+YG=XnjPP^?f}Rt8>$@WKO`%3Ngw7JGcYw}wTQIJI^p3D; z0&MQ#s}O+uLi4K-J`}QfcX=dC;m$k}`m%dG6{>L7F}4C;&R2|bH!#{7>*Rza~eP2BlhDXtSE6RXC~2Nu@xvS z6q9Wz#fT|Pd1J+rUjUYf`PL!!Qt{Xgm@F5&*%5n%I0<>2ezo{ZH|W-gU+;i!tr&g> z4(r4_%xE@xh07sE5jyPv~W3WyO^qiaHlxC zD@wb>3wseeMQq7ctUcnd9Le{I?bxRM;ul2_G*zs^PI^%6S_USE#i03sBVv9ob)|_J zg#pJz#~xIeAx5Xc;e@Cyf~K7kbsnUo`qQF=>(OV$v_-HvC*}`?{{^u+XB`*CJ2z0_ zGjRfk(rmHoO*rI;rFec{h^@HZ`AUpq*Lf|DAnFlODfGXI$L^}9}aURGnb4brOtcN(D_p41L&fpZLI(cB_ju~MN-I* z@Qale-{t&svGfZk@k^!OngQaZKYO8y<&v2T1S_PbyjiT0e(ep9)l#4ch?kCBhj6Vl zyemxBOMkgh;Rb0Nb(^G*F{om*R4N-4Zjq|-&c0PDS_F_JMOFZ8ldkYsY?o$YrPib0 zA@yN`wM+6l0_ko^{2j6PNLzk|aIduEcL>v^KC>V^F8S3&6&X^UwSW^+07svbQYRx? zaZ0ilhVZo1{|5-qNRxTrJSX*;3*mXG6VJ#6X-5&jMQIzCxGqT*ct|{#r4ek@6-kdJ zCH+-tH~ZstX)Sx{4Qb;a2yA6G095{Dq-QXxd1 zN(v#o=Pwh?HKAKB%szlfD+E1x|g(>yme?YkW6v|ZL4SV=O;SuLZhlGyd zC><6`^g!u|@C#P+F;rgjgJqiVH}kw>!paWNr3-_NC>CqkDYr1Qz=3E?Hj&yzy% zHV97%UrfN^JT2fmRUAeqVim@tKP$9d!E+_F+yc|{LMZ257ldd=1lwLI?+hN5WG+FMKL2Zh+D=;o5nWvW2RgJw6xG zHSo_7`g7X%LReS|CNG87w0tFW=RNstuUP@{%{ke`Qjg#uHr^fzaB~p#gH~|SR~>-)x1&6 z(GIY!dw^!)CL1 z=OIc7;z%Y#Tf~i=BW)EsbCgLGU++WpNn)*^P}(NuaIT*$j`$m4wu@^b;kQG~S_j=u z@nt%6yTsooqqJMh<}jQh7H^0e_K1IugXLavWjspz#H<<|fA@TS@aiRXEMkBN)A0Mf+)Jfp|O(fgpw5LZ1% zD^7@ICqZ~p{8j%Dj(lA_*8!y);;!#ex+x9|M=49JF%YF& z;zO<{-Vp~g`?@Rov4!`<-Z&%3|Hb;e%RdkkISxJ)cb!6G9*OllfX8AOC%sR^0jJ^d zRP1pQrDx(D9+_;h7VlHf#g^Q|9C7|=2w#Yc_z>=;n7R@5z7h=!p?fU`w+6fsbLQhv zz7-QW@p&f>Vt4ku7dOv>#|LrdK)^>aPR>@v_#J3Ws8od2kCu{nLmwlhSB1$~sXZQj z;imcHN|eS+4Y_%ikH4o1J+9EIcUW?X<2=g)=RsXr)`i1 z^5WPi)hGmqP11KFgYaM=32;MsbotCk4W2IL3mU;%oHU}Di({g7! zkYbhlYlnd-os>p%HT9IFt%x?Ama5N1E6zwu_~_%Tl>ci)J11S|8rga2#ZEX}k|cJv z%hH4)h%)Z`9t?=Yo|6X#ehsg(NniD1;rL6qWjW)eww;p3E_a}s7O*1(u8fOaS zAV1zTdkg#~m_D39X`*RYng-Wjn5l6lq?1g&zl3zMDeE7EnPQqd8>Mj5A~V8FHLdT6 zFw;zTBM^JKY4uW+W|*S*EODl(!bZR>)6_Uv&Ne-4O; zeKBdL!lg2#mW9xe-0$7?W6HvQBKrJbfSwGnNXX*eDT>UW!dZw1p7Qvw^h$FyfV zV6VxahkKuC<8WB+H@#Q}zXPT(`K&h8G?Kc5rc8G7L#9XPAv|pQb|~P8Y0-VaQBzPs z)R$)R{K6+N$4qGxQA{_bo`c76Q&uHZkzsnr5%q*=H0L)bP1RpPc*+#dWcRcwiL0$= zOd|>a&YFJVsCCX%IuUT*R3#oUFPKut!}7AJCZC61F%_u}xN2I(^@eMvjeHE9X&T|# zhAOU`Hf)3RhAD?N-87XQk5ZPYDHj88nbMec-8Q9PhVG838T<2H(@L%<-!uKoRq6Yt z0zCH*OlLPD*h5pRHL!eSO2`NI$EJNnQF>z1F(Z6x>VFxQ&rCc1fn~PoSV`Xho|{H; z-7v>AxerP&OzFJEzBH|2M}B3h{tWQi^t=LuZ%p-=rM@*?-HJB7GaZ-*;d@gl-uOP4 z(s{*wG`T{sqn}LoLG{<~k1A@&pFRzbMq`BiMl;)XjW4Qh{-@G{l(kOEc-r5(KLpULd zHrL(*SZJQXD}9mK69b4bSLe_lYre^xXtCMB6T8Ixg9)Xj<{4Zri8Ehu0hXDghQM;A zIpHtpR+)G3Cb8N)ry(j@W7eI6Fy1_zU$5}2HRtEU!FA?at07%)PKbs72J?i5@YrbX zP2DE*nFXk6vw2W`K!Q1t7yK6UoklR(YA(WCPNMn2a0rvkX-q-4nKyHUNj4|3b8k1- ztOd&*=6Sq)cbYZXaM)!w#Q=7D%maHunqrBYGm* zQFB%zgz4r`H!M$>ZG0+s()@NZbZ5-x#{$lp?;9XIXa19mkmt=kzeeeT`OGT7Me{Uf zW|z!;E+OV+bA5K%D`w9h40_dk;0XM$ncH!&&oplhga38&Uz~c~Fxxl?-8ApzT`kM} z6Zi0z`3i^r+vcdYh<(qTdK_@yypf5_1M?4OPtY;DZIHn zH5cciz%%oF4{ur7=JP+n@ws^lmqc^Sv&zHtg?SstvX|yYJovB72@9cnZFX@X>Wx{= z3*fD}1Ro>5GjHKU`MueXcj!;%HM5`#wM27hm}D7ahHkQ@2)}eS#S&i_F~cnermj;h zDMNVuO|u;0RXN?Vye&*;SoS4DIny%eIdl=0n8t`U$8t0YCUY%EIIE1boYA2)&+>rR z$b3soDlDTcYG%g^EDgE36>Yha1YL|}Rw2|IYkB<%4vQ_x&0)F3GUYBzmRi2na{W8b z(yA*Qms#4bhH|;(;Yc{Hur%j0rj?dfd<4A863U*x+7iOXtg)QsLR7pZW+Gs%rRRP` zTW5J)8Kn)D9sj^&qot9AD4Q&mITzS$dCsZR7E2u0V76L{%>pD^n&7(i=#wmip1^dw zWx#aU?66d07uadpc?+domV=7{dn|Pt!DO$cL>x-{EPEJxzr{HYO*?2AHX6c1mIqTH zJZ!1VZ*3j1{K-M&sO2SlS(+uN9fZd$a|;5}EeqL^j$8V)N6C|6Svm~mZe@dlx|zTErh1sv3$qb%3Y6T%2Wisho4oT_{j283z$B( zY~Bsw6H9YWdY)P)@h<+%QhXvDb1cXHf#nO!jG8FDv^;MOcw@Q8C~qxM-$M7!@&~`P z_TFMz58WqAU|$GBtu?bC9BnPo1D0c~(tMQ0TRl~hVKc#6brhr%ttVHa!Z0h=^w4#! z+ql2s)(k)RPqp3`0Mo1^|HfX-v@YaB(pgsRV1$`%jV}R+upZ)MDbjlK0xaiQn+C#U zzV#Gm9Z}Xp8k81V-?FsG+Ui@BVyvw_ymiD{i;RSHv9&QDDa2U|--L3RHRnr2TW*~^ z2^Frg&f_?>+PdQ`glnvsj2&-1JqWt>*1v-Q8?0aKgm9y^Cm-=|vJM%7(iZDaQ&8G! z#m`mI=d8_>AxyG{euetBTgMgkK)J*EhD*3Rtu;BpNU>gT0oY@8oPchxwK3Ok_E|?s zfK=+pHZG3%A#XiT~_oZaiVRY-*Jq_rLgx;xgUoGRY6)~%1yJ?pRq@VjrFxf-Ph*49?|J+!XA1;0nu3%|kgvGp4+Sv;{` z=M%lBR?Rc!f6uHnI4ERW2i!yK=hh_$0Xf#fZ2k+YgZ<*QwM%!Hys_>wLHE`w=^=b) zHFDMKy;Z}^>4SAVFPx9owtW2c$=ZQeW2o&ezb`i0R-c_^jP3jZn2faraAGme){!f* zp7FMjU>N$u@y&)st;|_8|5Y+x)%Ih1(kQMl#hFQWLsqwsc-V(`{qZ0W)lU zTO#O8TPIFMX4$q%Fqv&zJ`8>lwyMnW=GctPj^^4TIOs;&zUJ~zlZ_vn}Ab#P&rAI4reUc}U`HcV9ub%yxGn zO3Q5rcsZ=F-Toe>m9}!V;jqTGydX;PHd_cR*V?}4`t>@SKc6YDw|Ue}A>Ck$;8nTN z)>TAllkHh2f8CMz3+U8z_={eiiZDD%eR+F=b z3$|U?VRO;;$OE`!OW@l0W!u;cl&;u@a|Pn6ZNOASyJp*27m#U-X$j$Vo9YALhArI& zhnu$LJTY0ee!QLBvYqG9aNG9b0pN~pUR`|uT7TD8o&(K2Tl72F+_yFO4jvC|Z$lCE zp>4ugl%CkCaOvTxZOK-^Gh6W>_-EVdwLoK@+ctay_Z-`o9OYlwl9^__v_ zc=6h{l{2U}HVdDJzO^N92fVXg#iMDD{=MxC*Iz!^)LcRQXp5?Y*q>~cXoLy1r*Q>v zv>gipxPa_K{h=FckKph=&VDrCSmsOeyDzuJ)8$`vVA$9 z3{0_a?F*A|dyAVWO|{o<2|v#?`)e+B&$Q!(7Px@y39aBU+uoXkL4>_6zq>QXK9Y~b z=h|;FbBnaEc?XzhA6Wo?^X$-t%dvjYHe_7z;rU1rzc0xY)&vtO*RU*Z=6R@x^gqqNH2 zi!-p*c1LA6tg-L7ilFiKvoqkZ)^0iplXdpL0w7#(k7nky!QP}VbervooX{lL3v+t3 z#om&~aI4*OonaE~AGuzYWG~2JdYk-C;QFv1i)=d+lk((ZYT9Ct#Us-@O>ZgLY#(RCLJR z&ckHsuzgc7lt=6r<%za^BF}c3T^$8DW)J16bGlv2HQnR(tE~VT_8=xSC+usSh<(z2 zn$OVB*#A8YhqLy^OeW9Szc>jvZ?8WeCKv4eqfxqOU-Ji=cFF$JAe1iK$9=^ey<*q= z1)Hn(-t!RVn%#Z_rA+%G4pi6e4S7ay*f(5tpD~U)NX|U^v5s-vi*XLTu@;w;;}yI7 z1jq3qS=nAEg+0=YzTw9XO3g|eH7<9a@IjP-=SmfALUrf0dj%E zzddx(4s`-d7drmsopO=Gl8)xbI4U$jDb|q`29w1OPigoqaZKkj+fv8SV=#$xG{!_u zzs#|YDdKX+b53+uIEL_<@=Aw^H=tD>$9|rm)sB(9VY9{|a@r8@7|wN&wT@}b2G==; z&IYV^?3{v{HaMdGhHj%HoA=~Rj&FcZJ}%&+#qi zH~SrnIP@QIG_DI_s^dGJrh|^jzaq*ZhyMla;bF&be1LYuQMf29k2>~MM3gkgP_Anq zbF?l9UAkju69|tx9(XbIviZIo5WEFw-%SYX;XHQM}>Z zaFnTqU^g8T&oe>Ka@>u9%`Hdm%5c2xh}#F7JC4|8DBX3uV;*n zkAUAp$1V1`M~=XyaDVLB+ZdKl9D^Ri@~LB1B81NzjX4{|54FPq&mFoyq04d9=tr@$G-cxN1Y$pq)`|G;6Q^C4%GVb0aOMkYCnVZQ6p zPj)7>hsPA>`aUoXcUIywXR7lX?#wi2E#|b-o%tG}G{dP&gUL+i<9`9OoDbSUINQ0p zFd)MD$c|Rbac*ZiHrJWR8%U(n$VtmQXQMiBnD4w~M(8MK3tnjpoEtvC#1rlOEgOyt zoj>e`%_8SNVNfo1E@76l#A)gT|E139v4A*d*L%<{a~|YXwcOeGH5^tr1DKevbe1`X z(kf@Kw+Ob{*){^O#`%zw_ju=<1Aw*8_4{G6&iR2i#r4i4&e}J4oI(tW8=c!$Blafe z_;-NK&i=Pxn&5196gFF&lOLh9)tNLFr9|gF&J2>AGkFKu<{ZLFOR{r4*I2eYlQ5;w z?{Id>2g{w#u>LUFaJO?;CA1>Nx#%&3`<#DPgTsEOCtIHDISX)IFV)$TzY99( zY{Go^kTab<_^|U{AgVaxY!d+gqt5OZQA%?T;}Xp==N>;qOLsQm;^uMZai&EX&O5v* zo^bvn0Zuw?>^i5M-|%L9+PRE}>x}bjPFT-6A9;$SrgP3w*8%69y>kE;oY(nF!HZ7S zE;wFt7Urzwva_uN9#@<_dGxM2!`a?z&WK$QW;!eISX_6ydB48lOyorSmh-_8lx{mi zII+0nthojzcb&B^AlNSo9@sYDA=L?UW?cTujiSr4k z`%j&tBLL5wBY8%%o!9v#>gUda!{L|XT-G1(!nt`U++R8?l!4_dXW-9(*Um-k32&TJ zxyJa`Ig0m~ch0?y5aqq|=dXDEeQ-tw!1SZ@_i2!Ra`xoW3w2FmPa5rN#i4MFE3*Mpki7x-T5Qe!T*e@oz^i@!r?8;%fJjG=h0AaYRYa|?|x(cwfO>=!4 zf*Pi~Vlz?04A+xsDqMdvU89%@&2lZ|H~40|&T~#3;fm%RWR9!43NY6-G#|o5x^7H? z<2+Z;J~+&GRUQI}a&_P|Wr3?peN-RqY841r=xWQ*~aXgvG9| zZ4q;ctN9b?JWE}A&c5SZ8C-o@=GvbEo8_)%r=eWsn!#EBYF7(pnrmDwJELjwuA!Y^ zxz_bhHwf3c%5&&m?^^K@r46o|nW$-_tJfd!+vFO`)^B!ANdY9d0_-r^;@X;u8n(KQ z)`exF$MtYHlu52Oqfy-EddO#*$*%TXDBkY6!v{klGOk>=`k2gPHqjMh-5yKL8Cdfb(n3SovT>>2z|xaRS?Kk3@W0sWM#3m2bHyPD1e zoN=A$1Cz6^7k?nwIalq*Fgfo!#qZu+aMk+)!i%mo9K0^Mrt|zmgS@9=U#Pjwp{^8+Z<$xQ_Bp`P6mwGK9}usUgfyvR&#>D4)A> zs-TqPy4@5uFI->q+I;ES#Pj>gWl4j_YuBl(fH$tq3n6^#`hOf*f9?-+ZazzfE3IKI{;e|z+p@i4m?UbG*?Gnysf zCosw>!B1rT<_}0>h$CT3W^AGCdk>?K7K#*xEg7Lx8Jb8)dl~igUZgP|eF(xn#@Q!O zy7n_R(e9tl5Yi@-!Fae5+DyhP)$lpMuzJCEkkLt-aSr3vW+-zR2|SFH$7rkuA)le6 z)uVusPnQc1F=j@>muE!Z4AAz!xahx*bDu%-gVNNh6(ehr+DE}C? zlZ?3&A)R9QWdTkzJW4>XVSG({%o)bdw5-=MUJU}Tj`5xjwt9x^@k3}E7%#qoanCd2 z$>#!N)>QBt8AoVczR39S9VnX^Ki@)_ON{X6AYEpRqZ{najJJMs%wyLGw#=eafcx)gYqsTmL}yrhK|~7_ZhRB5cC1V><`Z_#+B`GdB_+?Nq9Gd z69bnX#sF0?{frIS;0-W7qL_~u-_WWx$oQ zt;`cg;OWQwgI+{`<}2^OC4l+y2ekhMGXHbJxQ+R#5ytJzvyF%r#N^Ym6U?lj)_n-` z4rMK&%sM&=-oZ?#yTxJ5P2&(doH=C#5XJmj1c+uz==?8+8SpX)JDHD55iFLu?k6bY zm=|fs+QrPA2G8Bhnz!lvFP`~!DMm_Q9{B-~$ZVrFc@lHh03ew;aUx(3Gb9>gCc(C!d8`Y{bmrB)7%7AKD(z;O%mXQKIlxrKz?Q}Q z{tZak%=vVF%qycJ}9p6EDhB=uH&st^# zRX}yj4=2M`&s^Gzpl6wB>7buu#(fE812gAu5Y98ls7$!P+)2A|BQu27i;GN`jH;C; zWKkawq9n(B1nDAtb2fdrq~no0p@Eo)gCb? z(}Ft4TtqwkW9Geo0Yl71c-bb5GGC5_=NQvKbL$DS?*IQ_jVEh0-B#Sp`im9?FV;md z_};9Gw0!umQXgRmUzYhb5Vx?}sA;~HCHxvLeykWul>J%lG*tpvT3Y-9S#h+WZezVL z57Ks4-6F&cVnyDBOEBvK?eih5-)WH#WwDqv|8}r8(~YPw)^~gu!&$?p01>Q7CE!Q0 zy6NUh6zi@HBSo`rQ?nq3rJ#y@C#%~RBgL|Q9mK=Lv7V)6Bc2udE9eO<%O2PgS%1C{ zTM`SuCuS3CS|F5rSc+VDrm!Y0!M~3@A(i#F3bFUHKHdsr8ta37aNWmRO4<8<*26o1 zbXF$)uo*7+xE@g#H zfK<+!_ZOgo&4LbMUq ze%cmBSzl3CWQ;Y#1mzRfKrI@1Zf-l2JJQ5*&|cn62$hL3kYE^D*=SE7t(~? z!A=Zd@q48>FIWY47UFpj-EjB?Cf?1BpT#Ip}g zg)xD>X(NIru`kje4<@s9Uqeb^&!MCCRCe=JzybDF4WebSYiQ}tW{;D?Gl$Kh+XuPq zIdKS<$2L-3pU-xq`v!;DTH0CP|BX4 zhcHLk@e&Zr*$0cEtYCk;5&UCp&Jrk(vkT}@zKT768%8?8uAqdqn*ErXz$e*9TLGup zPg9Ln!}ec|k|BBEVqTNB~g!Ty3~+%0y82rhToSB#Jzu&4YD=wc7hCf>u2 zri<6TY~R=6GQf7H*YXkj=M7K}u`3Dyu2J^VXt;WEK0gfD%(+gjsbJ1~Eii^~{9nN* z4CNHk%-O+N{|5MB9IFgcIOiw&x+6HZdEiBI9xQ@q6h}!*Lo`R}2G1CdX&sb1Ik}Xi z#d3UW!HeSr(b^f$c}TBLf{Sx$J@|>7GTLkQaJEwJl)^bjuV*UfCjFhnUQRL#$~4X} ztsChaFG@Z#IKi#pXL4kexgFq~r0OV(^LQg%ayZY^j+e`6tAFc%Ji2FW>^F-VUEePG$gz7dgEXAvJNHG(x(>S#bhEFLO-vXw97P7KCZxaOm*$ z3TGx|7FRisY2vkVhFIXWahQ}@U*jY_1Lbwj0@@GSIS-fOVQz4WIk0tb^2=zsyvb>N z55`W;2XuORhqHAVly^Bxq9EPlOr>i7KIct2ln*!`(azPyng1PZ4>>pJET)^YilX&! zKBqoaFQ;`ig7tAMlL7slw?2Z)0O#eO0FO9-g}`NylScc{W6rg!bpAfXIo1hrl=A^4 zJ!71Kr|^hRIQ_J7dUAc%0yc9$`3g2K?&nl9d2@MF5XOh=?*s7Vo;d)eA9vl`ko>v( z)OeTxZXlhP1af`5z}v=UQQo?pdy8gj5Vz6_2xA8*B;OR!U|QxreCkOyXvr1SE4)zJ!Zw4|g|Z9Vy(0pW^XTxv}&q_Htit#qep| zU>&4=-1h?zdp~#CBY38B`{}A!23Jdm#hKhc_Ch+q{hP|xEUq>Lv9q~<-GuTWw}!T{ zJg#B{kk6gVg{^?Q$sNyhh`ah62!~zVRwj&v+_&j!PZ76~&VGxzb=03L;U=ucxJS5i zZ-7zC&87VFD7U=|P{u8p34S^EJ32q8;CfK*a*X?!GVJ5rA`1wW++gavR&n18K+F@| zt>a-k#cieA*r&Nozr&@5>neI0+B4ir1$=6`8aiCB<6fqd^Lp+S>J**ja{Cbb9CyPm zcs6j?&_-~cJ6DJ>7r5KD!`8?>CIbB;ch?2jnz#$SV7tV9o(>K!bKiIY%4Y8VnF!Xx zJ^Ur$3imlmvTkxCTysI}86d=1il?${@A zdBA;(R_QLTi4SYi$L*ra-2L2VsX;uzEvM=Gi0ifl zo`c+(&%1z+xo0jyJHmaDy1%2`_0&5U<5tmv{Dg}??Z-Uey+D6;yP5YKWh7p_UpBzz z&5NQt1wOpjs95pk6_>$t3orI5cy8szP%p`kr=UsY&(qUp8o&!l!a#vMPr6^Ujo14- z?SI>O-c&9G@%+931oK{1Aj}Tldo#cYxr;YS3EXbp!!;np^8#ZLI)V2T=9X(hBCm{A z`6QnH12B?##gw(|;q43qr0{B&Lz%`~Lx+O4WAm`ylH?l zyf^6*P%UqKAwF6iuY{&}J+F~I%vqiXCFtjQ-*1HS0`Kx1C>wc3x*>3pcY$iWCf*0M zGF{?*K<~w6-dEI-Zsu9S;nKnznGWR@o~w{*+N(V4=LplvlPm|c@x+l(UgH%dgK?dw zr>v!&cbYQY8@vmP!SCQbpf%+tkN)dE6FPYjzeCx@v(OL^c?;@6=;qC$tgeUmW;tAX zdB+AI_3=KZdZwRuaW<3#yzg9Z0UzA+sZM^vyFl-%7e9ddFW&qWRLuJD|7e7ZFMl)bE?f9d)7!a~zu_PVetdT-8Up!Y znSgElEGo>m^EDiLt%LXzsX!0rKluR=!f)q-7|OSbVcWs~?FwAO_yu7YBAmaO1(yhZ z5|yoy{Oh!MMe*OKr8}A*OYdk!yn}TL1}0XKlmY(x%}Cf%&rM} zeEw93`FxcMP{6OE;_wh3J!H%Sejk>LX(K4+r_=#T`99PMILg1516~<_ z!%|4)d|xi0f*(k~{W1RJbMQRQ|A7jUN`6cUlvR9fHiDhtTc|tls^-5>Kl4fcDm8pg z@x_!yH}KoC5#~HUnNIo}`OP~37x@Be3pVllDUrL(FCPJ+ncqb_bPNCX7?fA}bu|53 z`7fja+W4|}0oVA8<^ZnqcQj$ZcK+E95#J$NYW)QWQvQK*o8S*FV7s7Z2(}=>TKdt01@Xfe zAw-ZuOH!zyk4`vt2vVCNg$XP!+9kpTk6%KV2!RP5feF!qg>(`XBk+rWPpqKu0U%D0 zPT$im!8$r&i5G}}flGp*g)*>2LH1{mk_A8ghG2UHUeroX5iCE0;Zp@`1Hs!XaHqv9 zO>lu4WBUX{@4<7wAgO`&zjVQ`zaUJ8U_YHNWeIr4q0AOYmqU3_kP!_jNAQdp{9M5W zKk)Mfi>E;<5LoG5KO}IYALg*&ASK#`0wbmC#e%?m*h&Op3XF6_kaP@$Qo(`WVLK`) z-3%xfgeFt|Ss~EXz<5lM)d$z(f_y!qRSI}lp*$h@_GbjG7APk{c~bDvS->g5ykEgT zEoh>TeMWGJW^=7zDt$q9f+=?a^@2@Qnw}N>MR)NU1o~bm&kGiO0qKIEf@VyU;1dJw zf0qP0N~SLhF44BqEErD@+#(pG3j3mw-43u))ME|~TT2sZ?O znxO0uyurmY-4xs^2E9|T=PTH53BF$gxFb-WgYB+h1`oD-0_hph?+bo>9z53r!P>W> zeJD6-gtlAo8RaQG0#z}fR}elM{64|O7}y2`+v)dxB)BmHwn4$WZIB)d+^D@UB*>uk zW<=0J8^NfcKn<5M!5VsVHw#BY5XDOvSpZLOVJ6l5KEl~-Jd>|Wc>DykTZMttr}h(0 zS_PuNaDF_L0Yb)fNP)uT^sgao7cSWe2ohF~N3>w!WqP|qgq^fSh6>+Y4hR$0JOx|0 z@Tez(MF`J!!X;9;o0i}x;cUw4VuZa^iR={akA)N~bZMxvjuX!O6#QMn*=t~o7jC@- zWrDC>1%9GXSO-WF?r4E6S-6QFEk(HQDqK>9sgzUi6^_tLx?k8g9#PVTlXZ|XgexoH znJHXO&wD_)TLWdbFqAG09u&S#UuBN)b=MXI%@zLeD#SeD{jb3&5FVf;{E%>%a)HCb z)a`&mVLcrg6bTn+0ZN3QEQ{R`1*h4F*!fgnz$+hq@+wmCop|3tyl*tX=reQuuTTE7w4}DZKL*qIC*q zD8auayg^CrZQ&LJYV~B`!A1#K9md$3J*5H^|7#d3S5VTcfLWG5#dT|D2xid--K;UIA(+LiLiu< zZ%ED3lEt>xbJRi{(>ZbULLM~gBUE@O<6~X=({Umgo?hPuX~3`OXXO&=mlC#B1FX{P)3R>w?K*! zC1oMZPEi%r=dq%{zl3L;=<)!hU80~E*y2T}Y>*N}zf-q5QS?4tl1vgcN5Gcs62;vC zriflj1u<20{~|uqUeR(FAWgK^3gtdgDHUMpq7^ei%n+S<0z#&!I0Qith(6y4mn_kH zw0#~F?YIqPjz~qr=ZY>RftM$mO>LBX(Ha`}kZ8tr*ba++dlpbAaxME6J|&{P0)#mt z($l6~DoUXA_o%3X9;Qq*NTqy*=#N-1j)|sy0yr)zq1CHW6n_%DD$#HgpjtG4GI%FN zt1sgTPl>D>0jEU|sJUMwGK&GVqU(i#I??+}5V~Hp-nABZR@D0pwC6B!syrT0w7FlPG&1q|2hoIKZFKEE;?OWs7L_&rr6CqD}+aMD_n7*fr7K z_W|vq)p78=A^M0?kq*&Rn)jWen|C4I7ReUT_k2h6dM?7;6}?XB?>$lID)`(NP1pdb zOB6tNHy(;!vEcJ|iw;xe)g#(U8$qw=7Io?RMX%Dt8xTF34(X9-|26OiMIRmlZ&>tb z2+9%Bs{0sWRP_2Flutxuv*F??zOj+!-)8Z2TJF5XFVW>CUvVv^23y44w3uua|3II~ zPh4~k;4i*Kn_{5YHxpsDiJebD+Ai*+%r8j%Je3f^;>DChgo^jm^^6_j3G2WM6W^Qy z2p2o)t&S3(umGaPJ1$WFGe(?G$y%)VHcg;7u{#I+UE(FPp^O*%QP4zj9Cccg#8YXt zOcqb2Pq9b5=WRfWcmj3s_KJhfLP`@i?SQmT{N^yC>=)-zCoWy=IUk`j#rM>Z4u~J@ z0%VEH+u-8L7JEMj%oT45hfkh(5xw2{V#R7iD-c(a@{o9teu~3lU+UWyieI6gdx_Xd zmCO-w`$8y7#q&gPIVuj=h+t*n^&bMt#W|EbREWJPE36c^twpdZaSH95C&ck||Fha9 zj!uI1r1+hG!9OMbDhdK)R^0hL2YqikUA%`B=Pv7WhNr;_Hxx#p7rV9T9Wrv}ja3_7w`TeEQD*I zq@G$t+a%d8xNeucK<{jjWFk$KV98R-XhI}YDQ60m{5%TJ9g-%0D8nR!4Nyi%&L4$m zq$FPkDN3@q8W1gc|3A3INct!{+bMZ(GtIwPN!NA6j*~151?-ZHQ6`ljSxxJ5qT~W~ zc#|ZHsZ39n%=LrI9!WkmB2px;JD^OJWOgCiUde@bp-hv+mBMAeB$`TrbjhwjNEwoK zPa{gE!$iITar&}(m}};Dk^g%*%grTB(ql`cD|(SWw;hdHvGRR zl+-Ulw8N4%`e6zst2V*2NYW4nD3&azm$XDuLN7$Aq?5MDqY}?Okjf-W7sI7o@*6cS zDAx!3tW{X!UE$-$s3aarzC&eh3&MYifY3eNgGx4XCzY` z@T`?EDFPYH_I4gN85hFE7w*Ct^FNr+|3P7V|=_}w}lr$2(NpkRY z#JuE^Y$}55Wl8uWL~E8Dd>*b>B%9Y@+^dp$n!l}*eyXS1B(3`a*CZX(rMoVfQv+VR zdC6UoF-fhWO$q05w^1=6z?n>6H5#^rbRTq@^C9aoF zKztziaRZ=Ba-A-x^+^7s{k~UX@kN+E$?x=*^-IJnp&XD{U%?QMBy*`C8I(Mt+~u(( zoStb&^7l@-j7XCEU>lW;qql5KQbM)+6UnzPK2L(3Ez&1pAa0fJTmV-;=`LSL{!%Hbl?egTzkh-x$r+Bpv-8QnFMy9WE)-L@^*$ zYP|*eUg;_yK$`RhE#&*83Tj#Gmrni@F6q*xXQ}?nkZz;WDO1`r6|Py*t0ZMhUp)uc zgHruexaLSF-GMS!`a0F%dD6Zckn*L4cL4>`*IJ-FB>jtCh(c*O8&Qg+5_i~&rLWV^ zTO!>=d-4(KM5?1orE6b>@~BjY3G13rCUsInuUtA>2>x;Ds}c-YDGmG;P$hlkF_b5y zHxuDfEj>n0aZ)<)1(c_xJ02tGX{nZ8;2Pm2ha1;MJw>2t_xC0A%Zqar%}hVNjigCte2#As*)~CCsH=rEM2!6VOpf2 zlQGg2>8q6AT$MggYhbJN*d}p|GOWT$JP^p-I+kbGr@{{ptiR332IDl7jDHhQwz>vVuq>+xj8K{QQ}B1l1`J??$;zoM5g|KBoz_U1oLUG`vJbt% zi;-o%1;S35AGNt-WlOa9*t=vK55TrtwnGA2yzGrm*b-&GWkX7m-J%>XS+>(fQ#?gB z^J_q=ES-w}y|VY-MC^UC5jvIIFKhh@1E$Mfrotjq#-tbdfb9G+*s^4t_3%6>^P_4# zM|O~Q|6JKuk+9{4#^zf0*Yj8N&<>y55LAhC9=!iE{uCbc90H#N@b~Z z`R}M~cmarIvNtHhE|)2l2wEX?iQsum=JPiA$7L(2l&_TW>7A>Rv3>^OgsegWsanSQ z7=)9u;=kcpBWtC%?2If;2B?*JQy-&V_Vh9YJ1gS^Q2+CsjB5qsyv$5K7i2?}^fbyE zQUFad+kMzB$rhal@m4+5CFgZp((TAK>3#l6h@Hw0p8y0vPYh#?ycgWE0~M?V+qO z0MIQfDh2e&7OV%cPsZO5=$E}&2i}0}I=xAQvU5}&J(fM7eP~G5YlCe>wx6zZjmqZT zgK|uEU^iSmhXKZtfn&JTpKP=1Bp=OX!~I4Fzd9pxDChP1=Vp$%84yy)4&G05r=#qdK`oKJ!ONSL6$8A+^dgsf*Jl7q__(?V7yo zI}oqS=TmRBUH%%i!fwb%mtfou`8O9K-IUK;4MwNjn{vcka;6M$TmDHHcz5KJD6hIJ z_oJHUo_sy6f%oML(!qNmpXmdaZu!FwD0}3|o{)Ow^>y&iIh>=F*r`_N(Dvz>28k66$!S+Nxoj!}F;+f5m zycEA5hKsji8qEqHMGoa-zKS6#`nM>eJ>a=jasLDAfBGp#XeIYotX~I4fFeH$j6lV( z9*k{@;pZW3SA;Hr6r|Wdt&(8H2ef5`DAsHSgepF1gfd*QU>&}$2*p3+5G+!$HXS2H zDYE(?MJpN}!ZSuOnX=%WiiwqgSOxzATwHOA)zrG!r3g~Mb+_UoEy3}MtOP)ULXwNv ziHapU*pd{x*MgX=c(fYQ9>xB5;F6;F^)#eZ#TWj7y^6W>p-fZ!5(CeD3KR8a_ABPh zgEC!F=)wogP=s7Y%uGdXD3k|W3V*6EvJ}lRFlH-0qk8$E;tVAiIf{JB3Ud|nXtgd@ zoO%;3C5oA}LL5;PP%T}m*uNHVRN+NMLz#k2d26|1{8q%QP&~f}a7?j^_WR=s4gFzB zrQ%m_NL308W#%Uot|#-suT~Up!?-6EztQF0Q;H$#w4PS9)0R`Kc(fU=bqdjYkm?o9 zPQY131?@cN6zmsaYf#Lm4&Hf%leWQ&ip6x0)ueE?LTXkt&~M+Oh^DXkiX!e`@UAL0 zQk8O3vCKt}->FzygeSeFVDQ1Xtq{KkxT6@O74fd(JwL!b#WO#Ge_t`_KB7HPtP?@m zrI^|R&xeXrV~EnN7{~GCy>zKku8|M>60~a<=<%Wr{ZB`2Z1JO(Aq`r@@a@kb4Y*AWiAM;ZV zodVBasR{rDD6`%06oJa_x8br)d3_Wv+m%;o?F~{&=sgZr4p`wCqWnQf_di3GrvkwU zQ!b>kEK+%%hKN%Bdk;Q4mCZD_cPW2-0WQ0ho_?^!EA1LQT7q&8)nSRsRczRjlwM0A zB`Xg|A?;D_{}qs;{O$+{smf=c#khNwr*}b_ru^Y`DEBGP9ijf`eq|uN>zT^8+hIJQ z%%FECOIc2_vz4N`P##oXVStgNw51|UuJTSWT=JAXcDNi;R?t*Ctn8(rh02~E0VT>^ zwE7%X%4z>9Q#xp2t5!aybK%p&wS>-7wwC9y#O0+L1 zOKF$5s(fIE)T-?G2GFK_Q-h$_l-y*VM5H!sxI10y;QH!V(+bT ztO4Ceb^dLDuWBvz8n&ohFH(ZxuS%pfFjTdLmgya;&!}l0uA1Hnp9s|)N*f|o5s^?v zsj^Byh*sUBY&J&qpBG$WRS&~3M4YPqHavH!PEm%uTQzYTl<}(OX>dtU#n2V}MAgq! zkR++zVzKIZS_?~5XK0QcQT#EgMd3C53Y=Y-aRox6grz&DUY`0XuEr4`e_0gXg@2=|9(x)*0?x~Wfy>MT( zW)8FuR9k4r>r%Bef$>n4Z-ug3)ifI}J*uz9pzKwRcVdVE6@w0DAE~Np@SuaLzbFxV ztQwyN`mn0?H4sKrOQ|0*s``e`V#ZX`bx=N0-4a6bQV%D9=kiu3;V~xosE^QCME&mD;DxFej|X9g zdK%5fFm=#W5F*vPWgN{%GS!Ep z00-0uCjhe4!&LZXt5@>@2h}rZZ%tNy7GVxGFB2VwHnld2HAK<%u8?T~sR zWwVFXk<>yjR8N=%TakKE5(vfW!@iJ8)Jn=4j;NoZZLn0G@(z^c>VKvKD%5W6P##kc z`vH!tpMD)sseT}UtxBzO86cieci+Ld)#?Q=Bg{#4;vqcZDfPD5u$@*%(dEAy^$#oI zaz?#_mdRSRG#=7f^&V=mo>On9H?TpyiQ0P?)VZ`+H>&4TgZZM`*9hsd`WW3sxT1bC z0n$}Jc{#(XMVzM6?^~T|TgNsGp_!@ur$VS93bm zJCu-assB_W=xz0f{UF>?r+xz4UG>cp@b0M_`QY7GFTW1Y2kP(BMR4fgt%OgP?R)6*-lq2fx zQxJPp&E5^$nEGBcY){mGngE`fopjY@v*u;08@)8^HiO`;X{F`HN3)H7G+&JiKOyo@ zO-3Pn0yWoZ(cPxWTaDqjYu=$x7^Hb`8C-)k*)%CbGy#+vhiX2duWN^fO@(ThMn$Pi zxMl|}g%KLlAK*o5wy0r?()>d$sc21&JCre++mx8>)L7{JAXdYmhjGPergZ^#X+-A% zyEVILt4!3WRzphCJbN9nlQpl<54%TmpVIvljpZ?-rD;mqAf;<6-hxYp=0|GEWNN;m zl>C6^1y9(rG#6=g&(?f28`43|A_bl>NAvv%2)UZVNOJi!7tFrbKrVN z^D`wVhczSKa4ppAqgHm2rjf3~7i(5hW>BK3re*SortT#`spdIqI~~<@QqQ1Fb7Bpm zlxq$=1*t;w*1Mn|(|kfzXq84p=LaV=_CVOGHLmaxxSrI^p={}tX26Ad}sr_>pPqA66%!ATPyZ8~nTg#y&+DH3B1zdc! zC5Pa$MVq>Y`Y&6xU(gcar*(S5=&vn)3$6j$RChq2Hk2x$ZQ2g1uC{9jt&oDW9ZNw7 z)=FQ%qlIWI=(Z&1pBn!v2fY1eTVYx4DBf8OqtqA$sioi_EGYmtvx{XjO(D*NJmL| zS_5t7`C2P&9R=EJ+hIJU9Ul(cVJ+`>3{j}PMVU~MR`dd-Vr?URiW2RYUx08#Yoryt zOxq9uDA(TYhpj@}{|@NKw3F*0RcX7Z@;ad%qMpzx?PTiWG`O^>)zF^TK862HxTsC` z0yJrByYMiVwC%KGUe-qb1=nV6MLDE)ZKeRW8`@|(ckIwcd<4QxZ3}IRo!WA0(%;fX z-v!*(eoPtT9qqsG0Pbq1ya1Pb+AR8E?rX18^Z0?*RY+ZmE^YUR5Fctk+zaT|R{n{g zJ=*mz1A4V8Qub+Iq^4-U_7G+71KL{Z6+Y6wP6_Xz*6{@JSo_?&a2e8WyANqtYu^sf z5$*an5M@;BNo$FxZq_hhvo7@vJiT<$uIoT=opKWxK00#=Tzz%hs3W~aw>kl~t-7zN zr{t##d2!pOwSvpqWX z{e*FM>jIZSiq|b7LW0ixA(V+a=f8j?ot$3MWZk>NkoM@lqKzv>SI-5c>T0Pv+N&$2 zRXR=A6G`U}`*fMbh_+wHj)G6RZs$b!Waz5a;tS5y{X+TJ0iBWh0$I8b+u@n53#Y$7 zI;bl=49^_hq)C8W-CBCd^K?h2K+4xW6>A zBiaewjER71orYHV(>f38nAGUb)0wOHa!8H3(_^5!F6wObVl?Sq zpgr=EuI37cxUAc_7|Lc{!%RSn?h8utuIPBQ{a)4O6SV5K(+<+6W6+9tO(%LDa9y{I zewcRM8d^PW=+05z+M(M+Z~jf4@^eU?x{uC*eoGfYHNb6`u5dl@j_%0@81L#nbA$1o zuIVTq=DuzMT}gPLV=M%8>E=-S{7}bpL$q$)rClKO=)CDi>($Mpy{1nW%mlq(r`!Y2 z0o@DKNO+{nI1A;V?kH6-k9C(cAPnhxXssXCx$fM7aYPqM+4rdKUz+!x`n4f|&HDG$ z@bS`@QQ7OQZ`pvLK6+Irl)n0}>08^PAG!tDs*j0+(oe5D44%J!1@)-{^ktO82kL*J z`g)uG{qF$V^(&|y5~QC;MR2fQ?V{FHi2lI4(1z-V*CWgh{hL}qnBH#@l;Qet%KReq zj6;A({Wp&gJ4%1*B%(#@IdlLQqu)+L?9`V~_cm6)mI{M7{TK9F?$YNeVB4*C(7l;> zeL0n%3Hl&f3KR8FpHlxNNuNSraI*gGZz1i`KX@NfivA}TTvPSFe1zGn-}W<}X}`XM z_U%mlH|HT`>-kh79@KYihfAJ5{%uJ4`nf*?3iPo*AlM=O`x^m=^~}7LzJ{F?~-b^s8uYR_mvEfpAjqHWSh*{c1`hPwS`HA=T*fLSQ?iKeL+Z zzgm6dA@I-YRW!HH>CaO$sX@Pj^3U^nE*HcL`pM7ZGd1cjPKL`x{bH&#oAkAmF<#Pd z3Ki~nWSFfRxwol(j3GXBQ4|dQ8^>4Sq_E`Tp9a|2$^smu-HLRabU8E6x z%>OqF{ZtbeV|tMe{3rS``VBnYe|!qC+5N?Dq4aXUehz$Z_n@9#jgYWF+k7~-`1J^Hn3-9wY1JnLRVU54}S36#QIaew(=5U#psGy+=PpQn`V zx_igBAhf$rYyjbgyJsCjcetMv!==-G?+=uJ-f~YIhcLI@KcE-tu6qwMz`f#gCJ>-6IBZ3XPpUi~Ii2J-V zfKm5x%gu1vY{>f>N*}`nS8d{6tnPhnF4Mf>v zn0N|=6vMCd2BsQb@ud21ui*{qz3n$Fe-Fe=LoPLE4j4FXfGopJYDH%o8cG2N4NY_? zpJO=WfuOmDbCi7K8Mri4^9`Raf>dC*NGsYQ!yIaG9X3p&q_og*la}Wq1N&t_u_1)= zq7s7#?bog&hCffhr_}Hr{cYk=L&!l$Wd>0jjOB*P{}86aaN!18`*c$f}2ZP-FRzZydmT>v{{XrV4nt)YAYhOaYBPJyl7aG$2i zS(o7t2E=oQ=XOJEFc@p#(`e}30_8=+*ObvT85*hKddcvg8q#IMKpF;YHfZRWsl~99 z{+Q#6p@hEmtA>qKKDHWu`2or{!@Km!t{L9_61?jMWd(TchAc`KZWvlA-|aBCW;Mci z)9?ZPzMY1&^MG51QQ8P@8$PAP^^ReR1Fm-sBlH{GGdxfI!25;-dPyG|mf<&?&}%Rh zLK-$$GT<^|*hu||QG?DK@WkN%Bf!%*Oquy+<1xC2>1Dj)kC;Bj%PvZ4eT|zLFm5q! zpnQ9)v6`APe#Szo%KVM#V}JmoDj&o^qoNY9&G<$w2-}U9LI5Gg=0Wg6jm^|0*kSCV zt5;#h$#nh@ZhZAuND;mHPuOYXeo8%nSmTr#Fvc0VH^JCt?53Hs z+xW`gu*Dni&}*G!oHQF!it)&O5Yvnq4*==LQaXh^V0`~$K(=xD=ZJF9IE7x89HWcY zi#+270eA(*r&NGL#>Q!|9X9$3KqxZ4ob>;{e>XmO6UHOP=4NP1jl5R@WyX)G*;j5f zodDywv7M5pO5+DqYgHMuX$`D3)_nq7ol*Y`Z1u($X9CU|i-KWmFc#6Fe4}yd5lBtO zZEFC{Mm3d8Eyn$E2z|x)EYAB~6RsMcS&T5(jg6jwc4N99j5mzo^uu--CpH6a8Uw5# zb{bWBgt=vWI{?ycC_xMTF|gw$nx_FdQ>8m~D)=r;cP9HR6Xvj*VOYvhOEVfu{g z=%?s6vM8w>FixW{!S%@KSP%Y)u`Unxiq;vO;_oxZL?`QE$?2Y z%x@9K+Z0j;rH@H-5-$Fx>Ks6T=>;lJ0!`o1CAw{LS3dj>ePmtKBsps!gLvh;DkuiYDzVuOuermOswfw`q*)%UwdKOWpdIxx7+mO zHp0Z4J|BdXVB*spOEmS-1&1V)pcGQF>45@-G*b&@&-+aMZ-KDibm=uH(@lry9(0Du zl|V;AnWo=qt{gC#sj$y7byGf;ZIWDsPrk`>7o-9cb~?O5CMGp?4x0+UhON*v^C;*= zrfH`^FE-ur1(cX>E<=s|)$g6U1V9ocC5iPnpY zrj`wmnoNnbk6bb>oq|WZY=V)9!9&+Deowov`oZkjm({2L|) z?fxC6XDG9{X}X$@0Xt2t@o>3i>KewQ-8S{p9(Tu-OnG{jNlm-|L(_5kOx>oxD9h?G zO`eM=y(afe*!oOST^P6D6i01^0aFt76CRmXQ{!aBbmvRzzl@s7DbpP@scFC`rpie8 zc$%xJt+?6zDJ@%G=94t>E_8rbM;g}li5N2&&%dd zqrq=BFWwHR#XNig4|BzA_!RV3bL9j`ZRQD-R$Vjy>JQ#^^XpVcwVO{|h3$s<`Q3mH z^V4pi-!!{+1wy=S{^orIy<_ecgK^i~ln?2iIf$062j-pw;CGpG>5T88c{T&eZgb5N zNWJDV`h@-FtU5>o=G9dg_mTN1Eq;UMDO4gpHn)5Q7&f<48aiSwnhMWRvy~dlPt1#4 zGa-6fmQ8}u+tN>|wU1@oa~Q(cGUaWA*6uw^I<$`H%FNq|sG$y*2(ZW*LkHo|ghi3`L?i}@ac zMp@Q{f)Q<5NB^W%jO8-jMc8SXLq{vImO{EW7iYN@3c@anjZ>b*2vn1SvOTMM{|8J*dJJmynE$VXEiYzJPAQfAD&j3m+ zess=LYWcVap^sX$yD@yZ<*X+@ONAxlIY`GW=Vnm<<+$Z(s*}J?%Eqihy)meI|Ay98Q#)5R#!k}k5XZeVFO6M)pHv%qL*3&}MXnCZ-xJ{Nm z>P}p;3{f-ZvSkykKFyXzl#91mPErEsYPD2z5VXw_LB+#0OBXeB+bvxwP~Na?2nX?| zd?c)!D?%d+fmD7!5I^c(b8_E16J zXPHH(#Ql~uHlzVd;atEYm*v~z(j#)mK3)d%>V5+(|TSw^{wwHB` zk{5655KRsr>o-(O`&zeC-)F1!V|mzBfBxVx>t-iI>Y8hZ|qU_DMJE6LWBa0E-W-k~|N&-xvG z2^rS$u6$sY^*4GibF7P~L7i`%(Sm4)t$$4d6kDsGhONXp_bB*Btlz32m0FY3upPB_ z?1WTiopv8Xlw14hx?Y8~iHeEi@@oiv!g^N%>7?~#+FDOpKMbOU z>a=wUr6e`hZx+JmjJ1snNQS zqBU9fOaff8W=Y_A+4>yaRBN`*quRdXdaO_8z@^uEm#W`BYY8oP{nmCWAqK3gsIC9V z+DKW)pf&FsC?8v!pFkS2Djwk}My*LsNS?NOYL#rZW#eUWP4Ka8d>L9_n~Q##Ew(~R z^0wMCPk`@dJN7-4{VOv4pXr}G0|6e3_*+Qs)lVuB|)7~80DjGM}7VtbE&$cBQVe)O$ zQZZ72Er!0QL$)WIz&~soPZe&V?avbMifpnZ5K3&XMSyVJmcAFZO4}c|VXLyeN3Z1x zTL3jDt8HC-5#^+<`4xDcvblbL2ins%$27Q}u}SF@*4tt!K{;!4r+n_5O-Jp_23t10 z5a(@rDyuHo-l7&yqwO~3E*EXrZUHXY?o%pq*;X2gT!hPEf*Vh>Lf$f=_fG%5cDd3@P$%}v<8|xnsagmq4y*}Fpn!k^1EAs(^ zwnRDycx>a-;ogw#Mk}HX+pbXEIbu661!2_2dlfKdJ4LC;6I)*kz|%hcFFfdG`%2p9 zz3l%@rv1;`{weJ>KK9vaXnpNNd5E^f{vmZfw%RAI0QlRBs0*~st{V@}?e_F0gbA{b z_k}XpKBop!h<#lghL5(FRKq34KD-vZop#1@NU?S|nzwQG;sua)*^kgJy4!xjO#RPz z`_D#*3HFH_;ge`LY=cjded9NPWczQwXIL`$I(o!vJa<1dD5=$1GsAJVrmVau~$;(vDV&p z9I@-{3#c7ZZ_kbg=)qKU@yo7oVTy&0^x$aj~=_pe#Z}lW_$lic(&L# zQL1^xUQ1D~+P@wTXtfVd1GL${rI^?36KVQhciGQ;j%e-n(upA6uy0f16L#3YuLIn& zyD0a$ZMV@LbFWrl!f%UXBNakh9j+t;qE^!X!^N6y`5quc71jhyH zyCyqcp$FaLm~{oV6i1G0E?iR`8|X{i>zMs4q%?=i3$V{|jh3|ij*S|)raP|EMxWt` z`U_H)V;eQUavcBC!kX*o4}vn!VWd4d-|@B$Qh{R=<>H4NnlS`B?3hXwOrc{cEe1u7 z&QUswf^i zUZ4bH$WfgF-mv3uI*c4~{QDGaqmI+<@EmirZ-VWKW6Nd0X6M>afR{5CYbXBuE6y0o zUwxcul$`oHgB^e^PLFE%_&W>pVcX`Mpa%pyr*(LEG;U=c%9IS?V1A18~&keEAPVD|1e`1hL$?Vg|;oa7IuE zEh(>*=1Z_)m@*&~QHdM^*%zi{>Tu>Ai>$D@|MudN;#)Z+2;*qQ~tzXykA zMSw^3V(2K4#GM`<@4zM2Lu!N+=dpY~ zTy}YM&|0+H<0us?i5}TZNJ$>MUjih1e0Kq`$75y&2q_+2lv$^G$f>)x*CUxGWtzvV zt#toopT`M5i2FV2=nYKw@VN~s!{c}!_?aGQwcsD{XyJjM<&j2jV2;Ng$|Z6=ey59p zc^)y;(a!gn&<5pUk4w`a6?=&8LMrv>UJiP>$H!cgze)F1p|V{g;2jScwC+UypVA-CxVbRZZe&K?i+Xg zHNgIH#{W_G70_87&)b>Zd*3&~-3bX2oZ!J-N|6>QQlu1zQrrqHT-@D)ySux)6WrY? z?#}l-Nj6ZRl(zKuKi@gY&fVGBS(}}mo!xuiRRD`sx=7%%L`9^jvs7iF_$*UDP(+ri zne=KoE7bY{h^|zVxiGI%qv-Nlt=cp~{xzz~_efi-lD$GL)~NxT0oE%IE)*NoGV11y z>US4NHmM2J?VDAp?}6N+;-3Put*UQhAh)Xanh+bDgkW~AIn(_vuzpKjJPTW*KW1`r%)bZlreOtYur{Rw3vH`I-DyT0&2fg|f zkRA0Q)XwgtGgSeyv;Of%#0Ka$bhixDRdNFi(hj{QgY`KY5JR-Hk%Ko>=VyC|>9IWN z3e?-U#Tl-nM+I_(Rvkb(QZGG=8jjNWxWgK)zvTvEj2=fPmE3MUVi7X# z(cKq->0bRyK7f6CM;FxMjLw_`lxOukt|I63wB-Qj^>Rwk1?|T>2RHS0s>55lqlFB& zbyW`U9qnHU$h$i2D8%mRWWgUdc%TFBA^K2v=?BtIR+F7z)7v`4`*B08TsILLVoSP@ksH!RP@UhUR5QeG%L9tybJ?FAu@~Z9 z4H-JCv20uyHFg2GbyXd^BCVU+z6s^_P#sGkZJ;XH36z6W#Q?+xtFwnunIWnRPez8S zYw>4e_iBQ zpvD&hc9Ckwo?fMJlq@Nev4Cri-dEwc8+)!E!JKmJ7is|axi8gS`Z!*xGrf?p zs~%ApIlAc!KY_HnzRA_7x9%ORjr1Yfk3)1ru4Y5^#SH+%bki%~GD6R#)Q!}4A|T&H zT`f1#Cg~#d4ouc#d=Q(W^QHipuB*^?cV_6Ofq*l0?&JWo^cBkKB3-W{DzjL3qiMTT zC*Uq&tOoXdr}XVBh@E!y4IU_+(GS;x=B!Tc1#ahb`Ah(}bt>8bcl4&c$Z=N>eFMq| zdJF~Xq3&57$VYn4ae&8q*JWgXqBGObeX0vo0C=GXQ$xPg$2l+^t;2J`tdq5w>tSch zBPKF*v7C8lz_gonl^)Cf))5|lj<#A|11hfeE^w9lLiJfr9!o68~6Q4|^Vl{K#s>n9btsU0Z2kD{zW zvTX!nLnUSl)M}U%?~g(PB^3>B7y`=>8zJlICKxG|nj-sXaY|$bcAk`74Vw9qlxxuf z$>#w!3*|ER#ET_a2Y~ew#SbJKBn1`VMp>2>u}!j~C%|TzI2Fi!QfeKT2FXFV;_dx1 zny&u?;v+zwk}>T;a!#5~1@gRHK8$=9k+#m9u(f|l0H3RPb6+H zWPB?7k^}inUbjNV=W?nC(mJb`qmkA{^E!1j>YJ`FYQ+e zS;}tz1^K`06A5)Hw<|5@fBC$gKvk&o5XluAH$mP?zehn>`RAMnt9qqJxsB7)LDi&b zEKoLWGaT%isnd9Fw<0rgwcp1x2j}cq&~%U%OM&Vr#i>0zNf%C?&JylNP;`;AMUmE3 zd}kuoP15wF|EIgeOa^QZ*~=m6DKq?$v6mEY0Gi$s#Kp6Z9OQnzuQcz7w0<&`d#C;q zmu{c|(w1X4P+Cj_$spN5$G~9O8v|g7^g0LD!z3sHVoRkkcl68THSMP5a&#T_&qnD@ zXEKJ8PQT4EX*$^KmD=2H?31AvfeaEKy6N`IPkR7PNyM5+J1v{9pxiSOYdBhXO@14R z*j<@S?RigBcI0~@1?nL7QXYPVMT+Z`cg_w7vkaoIHEU~_N{$^6`6*D@ei3BI;qc}a z?ldFJ<=TKc=gz{>&vR!F!o0hvT=Lb5iZFjvnkEIlWfcnEDvz+x)Og@fxEP11$Y}1M zioT*2`s%ce`W1Uaef;%>#t4fqrcGT^I9yJtd947x8889~-=^^gY3bejkhx6Ep`qgA zowOh*npJ2AY>ULa&DE+v{- zrv`CVRFbsQr3X%Y;R1<;b{#(cCOr^)0*$S_?Z zMF7c68E%1Oi9BWZua&seoa^LiL8NVvUuz-XE*bSbNSxi$ipKd~X&(TZW3mL5f?+g` zKD!fAhI8?h)ZLG?tMZb=eobOhxUNggU>jL_(4=@QSEB$tkyq3c&m;jYgU%}RU9j$= zeuMbKJc{Un0=ubaD*<|_t6W8g!2X_wj6+qvd%y;&hYHvUDl0cJ6V+P!<0h$@SyA9* z6}2UqP-^*0PCCIQzJ?4&fvno3aBwJLK86erJ z$}7aStCuSgJEWYGTT!>eDrh>eN7N|_!BKVj9>6h`ktH2hE(*#?mG=jLYicyR`?@MU z3b7mN=xW4%R~^eC!%cPY7i74lDvd$fZIxj#((b5D$&hwe^&5bK?y08S5#Lu8UZQFb z)GVg}q7T)kJjnP+9rQ))vAWy@;E4)PKg3hjvIa6fQ<@6xxvI;my--Ie@CU#li`CB0GM@_=^-J+}yu9d)Jc0G;&e8UUSjWG?Albkv&2*Hw@6b5L$KU4ceG zcYT-L-9uM6kHnt30Y{{l_N3>cw+>HtMjxGc1}OXL#=IfZPag~dxBj{mSJr{L^3MQ6 zbjOm&K3|XRhu8w0WIZSs>iX2fi*!qtuvpjY0_0NNdLi}yI-M&J*!6n-eb8*sXX&!o zsLPKBn@#!%J$RdS>_y12MVI5ocdNcjXX-XB?Ah(QX$Fw&(1+O2ow~sxQ0~%YXj1Ri zS=>n5qX$I>asS}zbguAdD9IHB|V0C`f!qxa^N?oRPKt)Fs7bVd*S8QIV3-ZOwa zr#EnkIIj=nLB0#RIxi167j?Cyz+Tc176M$>t@nY=6`hE#jB9$)?}**h<+;1*VO{tQ zBt5OQJT&cXb?y(QL#?S$1NJa0ArBmeTY2e!oM`=83~7_B1)V@S#oCFZvJgvPU0hJ* zN;L#wG5s2(PW>{g0e-4A2wWOmT8sjIUPmLpVSakdAz1w4#ldWII1QfLe%Auezxr?!{@a_XY{9Xicy51#K3Zo(R-|^2 zd%QcL~PLl8OtNxg>sCp;YIRGae&1# zo6~EFEKd)Tr6SybFOzv3f#uS9HoywW_5fg&Okh85k_fpF+bsF=g7r2j$CI%Al3*~v z0jV+zX$NKDP40hBibr^WQ%@2h6cLAm|Z$$E(OSJz?!j8r`e135~)=>ayQRkW!9V-%Kb?!u$)2h*u4 zhBuJY)bx`me!ALKAIKRh(I}KOQ%yevE(_Jsdq`WVb`J&RO7+t>w13vB8~uUZsFt4t zw{5Be`Z+}SEA$16CsK7N@;;SW9YFO=w&wuyxqQVF`A+Kgejq!mv>g84suKOz1JqX) zkv3HI<&Jc?T9E~5qgD0{NSm&*_5_%r65m7(W~%ZxI8zp@!v4syM2$!R-b+;vDxGEO zA@_6}RYcmVn^bA4hRw=1_%fu5cLDkKsW#l`1gSg2KzUppX@de!s2>X>?WDS$2pKLZ zbq(OMYWxep6*Vm(DDS9-?U3)T(gW%LzNadsL-e^ydIA|=sN1Dc;7iqrRqU!qr9qBv zI`Y@x-Cg%91~5RMqjnsqi+KVJ(uXP`!zew12E}L{g{K{3b)WV~o30OYw>m@n4M*Bc zeU}@dMcV&IAXn*Cu<;x$F=KfDX_wyE2jHMyJs&kasjGGc%|$(VAaY#N73t@>tfOoI z(<|EE4RBRI9uM$b51WPzFZ8eQkz%vmjmN6ptOz*}>uyE%0!a_6Neh61R^1BVImlYW zo&R8KV;3NuA=aBghz_+}cToH=Yt=D;Kx^|TBu=*aW=Gm=>%bx)7hB&c#8z8#s17$< zXHKA`-IntjB^|PUPlecF>tH+NJ7QgqiVR0B8HBWBR!4w1e*?D*>CxG2`x$_;7F1P4J<>p*IhQ0K~bz&9;H?{c#o zoDD5jtwd@&@6*WD{x!Y7PE9U;9i(L&L^{cuC`jomHBSNAPY&b;#{rVo*@@@~nZmu> zL}_~hG?Sz%r_dCsLR~Rc&eaE)C;qh1=F1kk)fdQlf`u|aJJJ?OL6*8inhXTfRdT+X zX+vMOIAhrqpe=fRH%cnAlrmOrHm#=yF{*L@g#`kUKp8Utw8N!cpWq7l(33GzYQaRn zzU9VRkc^f|l=CstnbT~nRN)J><0LwlpYf8m9WqRiei0FyC|9-tOpc1B4w%Hwn~Z1AlW9q zZIHHI8gl{IAy2*n$xdlT180|b+y~e#r^f-gN6ypJv{&LJMQoq6nGX;oyJDgE{gU-1 zz(H}sB?PxyQf(hdZ%gDmpt&P{o=ChaQQ`o3Pg=wS$zy3xfp{VjHAr5{uVqovE19qr z;I+)<4TH|AcSMkMQ7={i*;TdX#g%TV${3{eR?}(b^idV-1KC#%p|vnbrFGgO$6&RD zi^LG+*A!r=x-kmH4^zcgfM%4+FaojhYDNlVoS>2>LTsXHbq4t+DV-k3=_(d|e>2n+ zTG2CAg!n+tQu{lD*=*J4Az};E^IQN6)#^$>E>hPYg3DqxaG!(d64j~848SUN zJP#7rt7w$~wx}}Ph;CCGdLwPST38FQJt}Q}q#aPNivoE_Ev3WzzVfez*h5v2``|}v z@o5zJSoOm3VaSBq#a(@w7r8)Nsr5RL);i%y{a5=5mxDUDxM0+c*$A1NoutZd-eLlX zS~QFYeEZ1zfpLBw1IiB4_D2x)lDS-;d&`*hK=zSAqd`4PE<6P?P!_gFY`A2h_MIqx zzkmd$0~PdS8BQn66v@jSrZZKFa?zhA83h@qOXo_!&X8za(PxUov{^EM_RMOj`vcO} zNcUTat(E*#$=hX4FCcfwGkO^zbAdBF3jj6MVKOrF<8+6#HbP0}mLniv@dsMXIvIZ!#P8Uqee zyT1d?VC=k5?hy3@9kxT&fEGXwQ;%sJjaENX!HrS7xmg*j{An_dQ$1@V`*>9-9ZH&@ z_R`%jQSCp87`CmHyvYz5P)dKwFq{dFW4*bLS|916I=e?^9sI{Y>uSLt;ffLyC9 zCPKb-diisJjk-1KdtNu8cm1NCO+)djUJ<~k!o9h|d3Ca}x(Bb86#YqX|{{MG@Si(a~qykD(|4t%lWJQn{t?r1z0 zUrwi03FV2rl?rfCtDJ=%mMV^&5eZf2gD7N{)bc=L&YydNFxRzwc+S0(n~yw0JrU-e z>q40C$PZwdzujaMRUki?l|rLu;koqwQAjN_n6t6$g=Qd+zI}2H6uvdO<#!APSSHWBHhFj z>r`-cy?@Qbs@$b%U*OCngaw!Wh`fbbZwFz?PCTC}RX91Gzj;ClE8XNA_?OvAi?M8H z+}3sM?*{RDMfu@RK~&+%NF@AtIS2(;Kb#xvYGh)zn(JTUxnW=0vW?d70!`!Gye8A+ zTdLTmKK=0Ato&Tyn-8a+YH@*G+;TKM2d#YSA#W!c$31gr8S*u7U8EJ=JY8j^Lldf- zME5~rcNxXSZ=h^ziP#`!~=L@ zB5}DK4e!U?aqK?pHyxPra>}f3y}L|8cn_f60srj9hBZFk@j3N-att&q;nuh zUP<`!Nb9ODbq2DVY732v@BgU7ToMPUlQV!Fs2auw7^H^Ll{8r0i;s+07$<>bsEV2b zwHT((bM^+RLE%y0aJ4Be(nhH0+&bd8FdAZ`RQx)KjaHGPf!P?fhO>37%GCkLajH%Z zfblAzG=MWf72`59QT@uz%p|q!TaZpxn>lf&s8|<~f2ukj5y)xk72W(Zl=lu$&QuvM zqoi4?+$zMDC~FcjELCaPGs{$IDud-J-~_-5l{5;#N|pWrm~B)x7mUqn1RZF*9hH?H zmOaXkYua9Qqz*{;sS6JPg49!5iThRTq#!+@22i&gROw@Z@{n3Z*T7-bV>6IPRBX2J zsJeL`8IGx{b&=t?nzR$(gqqLke^Omd3vfz}N{$StRh+@#az;5DX$PHE-{%AAIdun1 zjeTClErrAjYB+72i|S^4q+L=wV<6*YRbd4(+)_&@CAZZo_T?Rw_BCR6)jTdu_f!V% zqwcFUPmtk(Ix_&shbrQDP(D(U4QY>6bPn1RmC&h%#HXr0Yx7JsquzP0%F^@yLOr8C zd8tY+M8;RD-WGs2Y5;wM9dv>m$lpuXk)f~d^8}!u9!g88ziz~y z8K7Tq|2$Cpdn4Z<{gk%IU|l2l{m)_gN*W}N*Kas+C+LR-kT_At(n;D z6#blTz^VFN9Dr$h$b67Y*O!AY;OYcZ(FwD4m1Ce>s(<*o^)L0DdC0Z{LASZ`nt*@@Gbs58&I-F8emEt zY61Npvg`yL0ivrkq`Y^Nv0N&;OO-RoF+e&^02nFPI5Q?mDtf3VOVr1poFc2(pHrn> zH)NP6k$A&ozAUBcSRlt=qwtmT{1;^3A_XS`xmD8C1<5w)kPWfzlE66vnjJFn2% zq(LDXEU!&5hV;`HCZU zM%+}`XC?3mz&U6>fb%kxhSdc*u^%KCWy~4s-}};k4KhBE3WZQoXY~v3z;scAIhVR& zW+66EHMt9tLFy7`^k7x8Bft=~f!#h-%}I&aFx7M&G6bsL)VSkS1`fsqwUT@LiOMfB zkdss??x1F?Lak8zJXNA3{l5#;gMG-qNKM6kFldrrIZv0VoBfb+g*x2^$Wa-@Hlk|dwby!Nn5k3q#K@VY zr`G}LY(1EU&|F=Lh9Ra6m-^+p_f~)v`gj#oZKHOsf@HInDF9n_5AGzk>xPlQ`=Cx* z4kaDYNw{}8rkl0_$pw8cI+*>g1F7L}>b}%RxAf2_Ai1lf^3umWM-QjF?7nVr7Njrq z@jIY-sa=%7S9%1E>(@FK9V~Bjk{w9vZB3+Z?rXJt1CsvM_5z3vw9axZ8f;BE0pwUK z(N~C#w~94KY@!uj5Swh3rtfB|^(!aibgRDyVlypgMpe+vw#snvoNF~piP&1}?h90A zz4aS!EpD{hS4O#;t&8VDvdt>(2a*HUa2g;7tDwQ+VdKah;K53hy(u_xGVZzDR<&Pd~ClAVfGoNW73Z%nZ>(StSBE>;6z zn!V^Mm~OP+d=Ie6j!zxC+5RmDnC`K|ai6}|{=NvZ@3Xh|M}{Cf84bMsc0<|`2kdP; zDL!cLrc3vbeR(0z{}0>u?jU-^-a;ersNIC?#xXk%xBJKK-EQPKVVAoJaMJG74Qwvh zo9cn&hCPa|vfu3kqY=Al&!RK-mL2XX3cPL4;)%%}yLwz8@7aZK0Nl4dpMd8hyW(?z z*Y?fdX#c*kQ)jk3LbR~=Qe!Wz00h_Q^KNe30~+ z2rm1j746LfQmZ8L9h8in5j!NA=<+!%{o5jTM554+caF+oZWxZqgVV@(TyF9n;|W=P z0I`#DYA|A_q}HzhrzLJ=fHUIr0vXTBkgWjcWHYxx*QMJilyqORanJHV9^^-59?CWB zFt9mJTouR;>ei1yc2qGnSa(v*X_j<$RBsm&`>H7Y5bdX)v4#CrjLo1KuG-SkIYONt zg4jq^oN9iQ@@7e+)v>xro2tgsc%7#j?LyjoHJ8Uo3)JQYsMyI5mnr8?8su26(*1;*u23h+BXOlFS{>Y0shUX;Tdm?1N7@>7;WlDx zRk&Kncvw|G0dPc>;IY?HRVOF7oL9-{1G%6QRz&Qgn$`*6mdaZZB)3)UBFKJ6-RC~y zscKRLl+RQpU#R{)0bZ&@oI$Tt;mb&St?Hfv5*Ix=@jK{TL15ESKS=|U zPTKc2Kxciu4vOfa>$gU%s~+|mpqpM2fDGMrHF^ko=&#w`J@tt$i1pI#Yk+5OeIt$o z(ms0lI$-*O%|biEWPiUK!C zP!@n)(v#b_-O_wJGVGB`9Fn8bX(iH*Nr@iRKgXrSQLwovIol%fl02n9_Ofix1#m^y z{|4k$SxB?zn*97bGG3RI?Gd{nUAct)E*avZh!^rpE5u&P!0AYPC7tMx>aH^JEW3xA zGY_$zYU?*h>xJ8spzN(~UGc#DAFi^^MdAo`lrMmcRO4tkj8Z}+JX-nh1@AGcSpd>z zs>jg~o2AB&MQpb6&5hU`)jl6G%vDKh0jyMUdC;*+9SM)xuU2#FfXi0(11HxuwWvCf z+f~EH06WwUik`DmZG43syVQ|4Al%pbi)dFF>OSr+s4a<1QKU$B< z3ou?k`vG8rj?R^2qJF#&B$IW!5y&uAmu(1U3-zKwNW&F?!iX)_iP9r&iFT&$Lv*SB zZU_>W=_z{=Tdrj_5?AOJ0RSs?u9*OZf#9ht~XQ{g~U6GrCqvB%al0 zIs=^3RcX_l*NwRJT+nmM0bJA>w*p+!IWX05Aav@!nR2aeAx{o0^w@y}4Mk$Eq14v7 zV_!wFc@ohG&pSFj@cBA6L70CT7wZDv4xPn?+H=h;zxO2)Dje#Mgo=rO0OtGSJhZFS z>@bR~oTo1+tDG1KOw~eEvp>9|F4^TMogL-HFs0b)_Ro^d29P# z2Th&G5kb>FFL%Sv%|qbdL5?_7jvb{c)o*9{r5UIPNauq{94KBHfgCJ*wwX3o>;;zd zBsE+-SSbCDgXwNbtw4NO>MuarDM`Bp;H=E&vVTp^_Xp)&*-`@}_arNgp8N7^9K;?- zkvsqo9eFhoIUdO{2c(bX*JLR3rDUWb&_}JR4>o;OU9Lv`RJqik9Ii5r0CI$CbQau3 zs$YvBZItRmr@|PuyatdHRe|}4O;*==9xzW0rVT$|J?8#>f!cTuTo$VCsX)0#Inyoy zu2q>i!`7+z^}u_*%IlAe8&oQe=0-J^-1ezr+yMot^Rqy*UnQo_JE_L-R@^By#}{d* zRj;auT~&9xA?=zvUkW8%SC@JNJWvN#f#jjOmkhB-Y9L$vM(uY5IXdX|olwP&I$R%s z-g@v%fIj+sDuBMaIhA>!j@T7BhU++8!DfUWPpfj0KC=&CvL4Jm{uF%_`(b;YZnGR< zzFu1llnZo>K%}kG&6k1MYW)pQ^4919+?uY{b855K*Xiq=KD%^^p#ZyerhK5;qm$EZ zepL5}2{y;{>ze?__1TlC??s(>A!3(w*@57FS&uCU$~!tDJLs;SK(%;JH<|(PLQkVj z{1Q6}q`lI6S((>*8aFFFt$@tj|M#|jrGDvajoA%sKWlbQ#70;fXe*Ai77ay?QPy|4 zkT%*HL3hg->zEC0Gc3Q6pqyz%8wlhqYvOnyXIqYq+Rw2v??l>MYwt3|=2)YD^i>z5Vqs>e@yI%{9>~X51xncyYZOiLrjgO7k3+oc~=u2y9OvGMU)w#00w#HmShBsDms^bp!u+xZjw9A)8S|`V@ zPX*rD&dhr?UGObvBzCob;`%Yp9!<44-fl%#+XQ}GrIHh?YmxF|qwwd;*REw zz*W241yEkI*VhE=>-PQEKt8aK(~2BBRjhfz#IFlvmE(5xSmliJG#Ehf>>`? zBsV}G*NmQE)7O=VD{DVjt49DMUDfM@a+E7-U671+yrfRS54mo1LWaYx$MaFcv#t_cOU}7=X@K*tyBUDI;94IQ zlowrfxgEOX8q*)K%dP=k0Is-79RSHy*S?56|9Ij$5$uF?jSL6uGuH|3+Mm0s977Q= zTy5YavAern2@&hz&h<5l=;^+pK{?3XbTD`icE9A_aEN;k&4Z!ter!abdpY&;aCfSF zNE_jv77J-3-EryS9_@Y}5hP>Wonb~f_BeN7AJ8mtU+)O8(A{7O5*N7>Q)m{uN8AN+ ziQCEyZcE*{xN0qP=cHUMcV8(9ZY$ieF9EsIU4%y6DtBsfS?zAk*|^4?tPj8e_tJaF zaMJyC9QK8~$w`3A?yVdj=Zf27GvHNs5VgoPcdID?*WI;fcHVIJ8j0BN?jHic^rrjf zW*~35yU_n~+nwn=iofFy;@W!GJ%fweJ$L_I0QcQ@IiL^R{V#&`L-)zZ;PS|wp_F)p z>~qsrK-NafqS61?nmF0(2Iy-eAyIuGBY0q{zm^+MWfsq6xHBezEZbWkIy!@H`N+>G{84I%^dQ#rbU=@2#X zTjU$7!m*dfsSO1{IbQkmfMTZFItOX9)G+!CXDe}@0xnfYe*$)yS{4YfT*ab0b(0!L z&*x@UeI+t(QBAm@>{BZuAr_>zvoibD8XtfIs-7DSJ*XDa2tK4zE(PUbwXP+&98s+o z0(n%mnS|~-rdl*Y3|ESmBg0v>?-KW4=T*QBkX}$iE9|2Bxt@`e7gRktD;z>%FZsx(OD)}KzdhcmInm= zC1F|Q86aztf^eYBjiN)Ua4=v$SL?$8rxqda(SYJn0geY$ngX(u0js>h;&ec9>d~_S z4pvU)QWISs9`3rZG_{9lA1`-87~eXRa%Ts$bmnmGa={PT!n<1sKjetuE){`EIV0K$ zFMT6;$`#2@I2bC)(zzqMn*}H4aoGtQOtXN>8^unze;yO_MYR)-PYUiyqS-N=%$UqN zUUb`)Vx~r7{21~?o z1nx({50NbQeVmwE?r*W zoucVMA~yP>BD({8ZSPw>P-f!9b|MYY)_%6-zCDS2k~m4+xu^3fX;M2;m707?mdqVt zHXr=_+}}brES)^L``BDQq)6fJHIEM|Q@Rh%=R>Mg?yq4KSvqxU_tW5qG-=#-V1QaW zZCZD|ReVV2cBfv=2mf^LMQizx-rwD510OOt>D>o{pE71}ci2c&ri|{F+xU<A4fEb8BzPABkD-hupy21c1WYYH>5G%8`79&NMo5Hb%hOSY%`*9!bUW%8PRxV zMB|5!sFNar8PbGiNWIOFCNe|nV}{iCgCR|9hBS#8(xhfYz08OvGb8F}Ml`t@QODB^ zX$mu>Db0|kGDDi$3~8E=45^NtHdI-pGs?o>D2wz)S!6KEB4e1c$P}zB91L{s%tl*; z3)2=^!n8$Jqb&mL7{SUSezuRPi0np1%^2I}wxV6-hHcASO$tsR*+s;&VVQ=F0XnQ?r*KWsXS1=WIZl zTtgV<{+2X({7I8{9BB$xCZ^DMhF?`CeX%?aNI5Ay?3A&YD^()aA@zKwW>5?>&Luw6 z>foAeBA+bz7-kDceD-Xl&oL>u^a{l1*+6{WLwwE`n`PxM!PEi?$)VuQ;5v*WO=173 zynp@GE~1J>VDi@)k&SaSFy`Ais=u=BM0^uK`&nj6y3CYxYcEeTB{6|Rw5*qzlHoo! zCBvI3sonRTk7?NmVN){V+bJ38y(t;_y(t;ROv$KbN?Ku4GMbr^(Zi->jF2hmXup_d zPR24?Hny3PamaPaV*;aK6PnrRZDwO4GaG%(Z1gp=F)?N%><=i| zBxX7$HM239nT>vCHYPW-F@>3pDL*nB-QQmYN4s46Sw^lt{q~0Q?_S@a7v*2Qz2W@3 z*Ejz4+Z*)1{EOE&X!QT9w>L2V{>|$fF!QjP3J>rP-`;TG3H%qYZ(z6n@7~^U{@v>v zfA;o_&|kcY{t^AZ zqthldS`50)-`gm#L`H%67zO73r~>Djc z%!>ogKYnxI%e*+?{NpzV+Cp>w`xghCE6`p4=*SboR?gcU{* zU%B>jgjLebM_9E8@zwV;tkHG}!kUj4BCK7Xly!Cz^V3y6H`q?rKi6c~q(AXZJ;=G) zip2<9mS*v-<}+^_r#``N-!sA4!D2c|D^|6u^hGR05B`h$?SFN>-T9C2xBu1kcIQ97 z-wylilh@mw|M-48-M62*-tPRz_uK#Kdb<-J`u9J)-~LzE+j;)-U*2#3tLyEszyH(w z?SFN>-GRUPzr5cbe6sY3>+Q~ee7_w=!Y8k{JOAUz8L8TZ>&<5cfh0OJI^ z310AeXE)(C-jKh&nQ(N(FSwid`Us1@ofH!sMgQYtWM~0-d{Ru{=RDcEY0eK z9OwPDqvmg_R@*phKA~BY!Py+_e-7|7-sa@S+w8(g-lrYS(_T@v{yc3xcRk zl{p*kABK5pe+@grw~RUE(q8Tle6ya$H|zB=-)y+QaHxb2^UX$}Zx;T49^prP&p8|E zy~Cx*#y1J!G6E1qdqw>~0HPTIi2gAFi18N!5Hm~wVnG0$&^sKl-xGj1 z?+HL$BLMM?07MQGfcVBapCHURpU^nxy^V7|k#WxZ80Wk%o%8g+rAlnP^GS?LEva#- zB{MEHKVwfPH}-T2V^60v_H-&E0I7{RoyM5cX^lCZ&YUp%2kU&uU$*o{>1QwkkkJT0 zrjH1K`vG2H!i^itUC`n~65G9k4{qMcvfOEKc@wFb?L;&8Vo(!jvAqkN<>=y0O&zAW zhcL9XcX%xJ&M_npf-z}LR1o?xk;=8cb z&j6D#$$o^HS{+B2B`U<#&U$Vq!hlVXSUcO{(+IP7CO$`OGRj%!0K#0uNT0j^A%uA% zoI;rQXQt+hx(Q*y+Qb*`g7(-&da)Ko7odV6rGCGi{^#t;S>qh1NRl(!c7(Y%vDiGz zw<63JmH7O-(KUAQsBB`1&SYHj(jJ7RCh_^3Rcz3=rC4m~BCKGUb-NIjElvD)!MVx> z=PKWnJS*&BSaHNDp8tPeflO;$+lR1bTy(Nst2HZ8y9sMs=Pp}R_XqY&z01Vc_hDcC z^c^eIpatoFp2X*dfuw1a`W(W>wOK%ufP)Czydmed(^#!v$FoAe4d#Hg&j~(`?X+R* zJ4ktgj*^5)on;j{c9D`~*;Nj)ly0(;?0U#h4t`I0&H?TvM~LhrHQ7sjBr_zm2xb8x*TM+XUJAEohiA=bhb2OK3w=D&$+UmjhH9XDJ%GKey~hr{+12NGM;&|eiJNx7fF&WKdY4e8nflV%c77wihzD_9^8yP#k`QWm<+@T)TDso*j)Bx0V7@lhei&a{AqWRAvR%aW2=vw1LU_CD;& z9QP<3IS-+E!CC!cu)WFWv1KWzQ$SKLXP)%a8D=O)VaYrIofu4=@qRiNxNc;nv#wzG z1$3ccWZTE*?49;g{&EZoo<(ukgSkCenLIVwvb=BDrhKC~zWE)71-r8{g)T9*a5j!x zk#E?Nul5jM%$L>q`Y6NVt;nrpGIA?*ihcRbH^hH?iPbNCmovFc9#qq@%NAwv-;HFA z$|azrl=ou+6>hMm-)A7BN^x0y<=QN=N)=+Nu4S$tt`J}CF56z?8LLvWF^jBSk^NJr z9r@Iq!p?6Sg~Q%17CE$Uie3utpK>J~)}+$mkPcJ+h2C8=y+RbCP8`nY((p!?Mlefe zM6+auU3-kAy&`|GWJWPdX4LnV%wYRJVzj?lGoy#CnK9lTXvchS$&B^hk{R19nYafR za_uo<*peC7ESV10)6k7le6waIFl%N)vu1jmH8YVp(DpG)rmtBt6PqP7iCHp}nk6%t zSu*|1l9}8rnNA9`W~MZ2W-7C0rZ#J48nb4mHT$G=X36w7OJ;hrWM=r&CG*|oPbY!> z1B;0E4{W0llL%*vFo}SP@b2=O@x$fS3b{yW;R11(`J50^k{0toC&s!Ovh+eqLY7{v zo*@=iBqJ`yV~Ov7X|E_B$V*fsFVWtU7s#!S82vBAB}SOI#C$6*vECDx*zezeh-2g> zu8|j5458O#;~9C0A0{pdjJPB;;^J+@C6N&qA0sZlMqCmbaY+(({~@W7mt;m>{EWOL zH}aCg$V*BiE~$*Tq&DJ`#)wN=BQEKTxcD1!NpHj@L#VhoIWzt(d4X_veIPCo-xZfk z9}^et?P;v5M6k>%TnLsE%bmrENLwse8Kv(9tes&d!;DSNBg}N4&zZ9@%rc&stXmO+ zT2(0huIPo>@*#B#5wvhd(8Bamc@Y!#V+1X(5wv(l(Bd0GOJD>op%FB1BWQ_?Uh*+= z=4<2(cXyqT``8~|-c4o%&Cdv0awBLdjG(17f|kk%T52O`X^fzyHF_!CN9D}{Q9rrL%3=;rs??MD1{09Q??&a;Uo1)HV-rmMl(U0A<`q!7Yosg9& ze3$_It=rrG@bY$IBLGRNfxi(l-cN|EJiP7HF_z)=%sAIdI|ogoY_C3n1Us&a^rU-%o|Hf$XW!I6JjP~ zI~20mgbiw#<1kFY{Dpqu{>Qxh751vopA721UTi|vnzv($wZ;i~`Rj9D75ayZP1u-z z^i3frp6rA(BEuW z(uevA;cPB^Kh&YTuEjgjkO-TcC=Y0vxXmsZ{=<-M4|Y4D9!Db|VUFdn*H(;U8UFuw z7N9TbS^hl7vJ>!Md6qG;Kf|%?=yd;)XZiCS%g(!R2Zjj9AGgVv^&tlbVfIDXE;-V> z+n&rHu2q<5apKW??32Z8c_LuT!yS+I#eRspW&Yq79l+@eJ;e4V!(51zooO*d&dwUV z9SR8E4rL484rLGC4&?~m4&^FH$~?kcdG~^zo1$&_WZK*PIK0^J)!Z_Q>#ddS7&F;6 zM;@Q6xxS3za^AkS`q%F`{s)$k^NDvH{}+~#V>H*N&E!xkIla+b89tOYoj9XWK$(mJ z!oKp4M;^G}5VH5q7%GU4P6P!O?6*nciBk}t%#h9WmYr=UB`e3QN0hIoe9rgVV}u2E z5mPVe|bCDBB4PGusba+?%uuAGDRFI#PL+AbN+W%M%oze35-?jaMa_E@-`u~v} zI-##mf97`W%g7hWeK(1vl`1iz`VYj&Ah&w-Mqe=Bkc9voMDE0F7x(o z?l8kWPnhAJ*BI{kjNzU?%y2IN!#&vk`op{a1&!ri=#Q4W4?ZPu7-Ao?32ol}9a;Ca z-~U{JHcfDuCb&%#Jj0q`p$WKuj@2NymubV>H;}`JwIPCOLqyYtNMUV={ISgkb}%8I zD~S4sCfL;%J;#Ktnc^wJ+TZfIuG1BB)ULmhVT0<=5H?K8gvQVK+_Vo#o4;ae%V@;3 zyFg55X$7L-N#7NpOblCdd_3Rp2rttS;Y>$_Hyxqb5yAH#a=F6>(=r|L_QMTme&{X? zUwR1na6=^15s||>BFe`)!v2$vNdA;`bD_+NSrkgX+TP)`v%M7s>bM>F3}Ditb-+9*iN2%>ES(e;-{30lkZDu zmtbw+KjhttTkp>x+f+PEEjl03i(X+h4`-$jlwqh(=3i(7xN}0(Vzjqv5&j1lJWxfM z8yM9d37_bC+xf2d+u$~B@HCz8WjY_qJ5&pxzM-8T!88HNE3^stOiidli)=fg8ZC;^ z0#U;>TC|U8wCJV{G2XTzxCt?h76>z-Vrj3~=D;KD`!jLOc}Luk^N#nvKNHU!c>IYL zh#z*~k>KrtN5c0GJiOmK@JM71JbcW-TFfvl;P{#Y4}6>^^dL5gIq*no4m^^X0}nrQ z;E~)Mc%(1}R7#@-QW-6f+Gv3^Mhm1h2W#n!yW80dwB}!cl@S2}}4^!o1&;ute`kn2(V#Un5~}zi^b;2w0LZ0ZVEGEScL0{lbx- zk+9@O!crIsOKBu5m65Q2u+IG1GUI&y7mi$Js~FAN&W8qjOhy_r8)?j9^j%h??*fdz%VzXlcBAid7$%Tp|f^ zWAT8@m zeNDlv$#_U1%zOpU!E?u%`R?5L43p*~77gr+Ke5Fy{&~OrPA|CPmu9_NadSQv_C-C< zU^@ooXY(?XKAf5K*u?y~A%jg-=%j~96Kcp{EBp6$X6TV%Tg*xF$31Fr&1>VUb8js$ z^DV$|#{3P_Rv+%eR9MB|cDZe|6)G88aV(yp6?f3ozgKf?^**+_4zBoFd?oK~#Xn~= z?3gZxT^riv=+#hR`K%q}XKx@)mnX5_QM2$r7Y`jdrtMiT z93CRY3SGwzWTfLLN^D!TN(8(W$IcZc2}jP3>-NrsH219F@Ek)OKAs)EFBwIQVd+Tm z-M`^`x|WWdz;bx^C@19Vl^J|G9EC6hrpM15NT$dM|+Eg)yAH|N1^rCN%Q z*9O<-qy%CNT<7t#x~S!4Ifod2hGhh53%<(;-bi+ zk8~$|5N4bfA7Q3enGt5Ll^S7|W8|B)cyxpT3rU%+0B<;FpO_wDzQq_}JAXeH!UDO- zt>6!&EL=7!!Xi^rAuJk$e2UGr5teA`cESHuyD3D?cKo~$c9uEp@h;s{k>l*F79r^x8I1nGuL`_k3)jgQHhsM$WTiI9YL>oHcCZDTWDCJ&3D17qA{Cfn ztGF54CPi5wepih}?2!KCxl^jMe-Fr76y&-sHz;Mj)q#uveH`Ub4)GfUegOSc@00-j zRjfDw1Joi`ZJ;X5*dUdkV6gfvHoy?|n3O}6C;M}lI!MYur5PKpYLeLq)fPSDnyMW1 zn(L&hh-$kA>WJ*aVfyh7NZ@GgxEP(F%O(Jrs$XXc?e^dsNp|EC6Cq%}Ba1*v{99DQ zbKyQ$Aga~M&Bt^5tI-e+m&K(ZlXInK62#Wa*OS5ch>XXNsRR$8`Z)_&hkwQ%iBLQt z!iXc-NiEL!)YB!PX8z8UP zzCrSmANL+B<-spFIb?)Gdpfk?_-)0q9Q~_m5(esRH#njF@J=@b*Ls<>=Gd*2JCv?9 za*#DxFUKGj!Rb-&u{|-%ab$}Wr}Woo$A;7!%+}7A`X~k8bE|oJIGo-ITE&_C_{)x&4fCzs>H=Ds8v( zGi`@`i?N;dC6=_y&K?(Fx9#T!*kcc+aO|^Zv%nzxI)!<^9f4d9IQCPD<3VU|fJ1f$ zvN>$0;EX+DA7<|#wQITnj@hLdJ8pl=;W=TS=J=enx3P#*c6kka!A=j(lZG#}u(U5fqH z%N3vcrmriAvB9oxNDCeb|J>~A^!bU-P?_8^ui?-I#AS=JHDGZ$lCXL?m$R#KU1HmE zcOZSf?GC#pe=RnmKo6??5=lt(&2%=T+z4u>DtD<1s@i<6KZis8(-F`C%&}qeH;kR#DKrgArw)T@^Y@~y)ShN0vBnK-xRAzCE zhe>ZXHc)0T`v~d8@WHo?1-bQ7 zQ`o)ukt_D*P1TSk-BP33^AA-sraf2N*byCcRyL`l{)ye#Lwi!fdg@~A_yO7}$O4Dy zAJ~60^#G33F8vFc?$%#(W*pSbk^vmjnb{?WwLhill+I0Vr}g*jgsXZUk*{<#j?o*v zmV?yM@?}4FvbK|?ixtGN8DM4SP>i*wT|Gr6O^p7}c2!&!?Vc3O7x zaQhIsjIv8}@{O@8r*{A+;M=zVlkoEl0MqO$9H5!@WcI~uI~m7dzTK47UuZAm7%a9U zl4QAkkL9kiZ?V~{?d1T$QzG(sc1M&qp~@7+oj-r6{yBg+`Rj7ZY0{n z_9m`P$xCvCLzXlhG09r8k$xE&CO^o@m!dW2Ps&xynE)qDoFK zmsAq6zpNfI?TT^^G4X~P&fQ`3lk($M{$4Z$0iE5S;;HkP# zu_ zBQ|1?Zp)q-to>Qu5baZd@;^-XVrK;Eui1*>`UFcFp##Wfq^``gQTja7M(bIOjnN|* z8>{oPYU6ZtavQI+vG@sk2eVJqyNH~md$7RCx+ODA(UZw@sxC$3bX}+r?avu{En6{D z*ClqAe#*wo(aV`*u5L~?^L2ePU8pND|03O!vBf$bi(jI5v4u-@54Lcb-a{_S^(Izy zg>K7nU8%#7*(#lhU9wtV;*?&a*C2y~UqOOS;g2BoAk+1F7-JjsQi6^8B1t#tXJoTk zS7s4gbY_;jRo5ZYZMrDyv|T@7h8;RSi{GhNv)a3KLbhzT{+3{m4o|RG$6$tix(>U` z3DT8W?tVR+(E~aKnI6;)SkfWwN7BPO97&Go6U={9zhLaR?!y94=t%@8^>J48l+H?$ z-qsXm?_;fCwfkBNnW3MRgI&_!noi^ZYdZQuFLYAd?kk*%A$zmnFX8_$KLzgCg~GlC z{_#(NL-{RbpZeTD(_D!!u(`>HvPJ%vh)3)PG~J*yY{zA%l?DXiX?V|%itMuL|c8wI~p(S_W66>wZC?* zIiaqeFeerKKwr+g_MUUiPI0g(dLVT?hW>*KBXlyXEdr3GS22VEPneKB2NQD6{t994 zk;LS!&FB0j85VStvgjm+#eO1X@l3>*EXU_>I`g@75W})(NK>vgIaDad=kJ&Cx$pn$HbZGIK-u8e!wSz*rt*F@(xvNTAO=70aoT zE?wwf*~TrK)vR45f0>d6OJ=TC_PdgqG8W0~5tfXHP>L4y>Nl%Ztx5G}E!tG6Q@ur< z=2eDEyH+~9@DaE-i}G1^DyfR59sIzdr{3owi5sL( z>4#q-WnAxRCQ3lT3o#82UuWk}J7h*u&7^One++dp^i5*afzsHEH>iTL3n zPd#0Ul92dA#uvYh<7+ma`m_@9*N#`6${xxRS2|b2BFU61Btte0g4ar7nDX-x(OXNB z;+0gm5Sn^Kjn|T_QvTveit2E6Oagw8&Qs$DzLQik?C^_Ip8B|!^pz?VFUZpJ)YENz zv{x?WA~U?1k9jcY^X9=n6YmcP=1-*C`P>Qf5srTpZwz3V*hmd^kBiCe|Na;i%%5mCK@L=?wTaMo)c8B)STfgyBSN5Xo3IcX5AzfBfez zUb48dL*(SC6__Er%C7p@fj?(g>DAA!z_Hm?EH%ItxHP-+O}*TO+(VT3es9Drh?oh) z>1Eol-4xC1NXKndsEfrE0WMq|$R3apS*KZ6DEW&PQ#5k8u2>YNOh+a(Gf*|CO?;Ssn6JwH}Fm_C~y+M@__)Qc(+%K8`kSfm~g-dXCTRQqngoT zkXHpi^qzY2q1kTqNAh=Z(Z2+T7ytA52&4@+!#7&Jv4tm#m@HZZGpGrHg-sF93t~lU zYID1oDB3(|=ta}rfux$I4hVpK)!aOdSlcv9BAqPMuglg8eQjB2zO zJ$^6pyOUmY@?No1m}?t+Xbmou-8_OIF522W0=&8qJ|+1-+RHhEe`oDodY^cQscj5j z-7_vid_+WCwo^+A+fe9SF*vl8A6zd8fg_zq>bZmof-QDryi>Ng4K>Ua{pDOE8z1!_ z&G>iM4D&Q`smXgAU+Tk>;2#hW(IMICRSveHXBx$>VoF;&-6(o_&2Edc03vO%nNIh& zwk>Hh5bw5lQ}zteD*oh6)icDP{tx)z)KASqKwQF&*VUwxjoEh<@$r+u`T|L5Rx0{1!VR&c&7~p;ra1RfM~>T;@AIH*fpYV3l@fiyTlQcn zg|@cIJ^b5)C26)pE6p1qwiUmz()t0SpWhIFocN0_bn*{~8=5mNE5f8%hdGUAwLg6_ zK#Ubn1yE3?7~NiL1U zTMC{LHm7I?7kvWJ&}PwMF8ca6XQ2lk*r$~c5E0uD8<&t1NpEM0yOnzl7<}nJw#ci> z|JSzY^M|&`zRDIeOyap9>N!xH-eX2E7V{B6g1AQ)#YOo02L?j#o%(QsAAHGiFgBuD z?A?jJ94NNyP~QoMXNyAo)-h$L42fm`I41YJ5 zy(|h*wzzDY(|13?h3|<%bR-laY4`}7-c*44JcQ~K#jXV-LUG16vk;ZzAL%?ch0E4S zLUeXSr1SENx$JpE)_lt?Ty{i)Vq$i6#r9NT^sh(mW^Y#<-Oyb?$XhMM4tNns-=v0IdVB@V9F-CxW-Gyhw-CQWK zgXm%AvrdV}L{C6X{AUqYhtqsrT&j#V6zHQZBT^! zgyz1WAvPM@Rt)f};qncF;BP=jvjK93{Tq3WTgIf{tZtJE{Eo5w$I+|tPj=A#t27|= zmOW)n?#Wq#H_Z1tZ*j5FSu@8+{6Q>sYDQ^UU5P_8xFXEp3;hmwzvg)J0GI4X3q*D^ zu361RD@FJVC(_x#B~L@r43Bo$=wn~ezn^y`j=TkKCBB)6v2oFMh(=XbIHTWaF5BVk zCv)_B4fv0Op+ocEN5P(t5VH&glWby?iPMO`b3I(a#`A@K3N1U$3Rcf0VBda~%;1v! z@HXR{GgU5m<7UYYF8SzY$q6p`s#($wH@nP5KQxQpHvHCb>E9?={bR?4X?FbiE&Ab8 z|J&-z?|d0wA2_A|_2Pt+Liw|uMgJJ^-5Su{JSih?0pfho3GJAICKShiGZeO=t`CvFmi zasj+xb3a%N7oc~|A!sRb{FQ*!QBNV8#O)q?Vla(+T!dif%pZ)QOPj@v{coEnV` zoSNAE-_OtQ+7JI2`28X7yJg4sA5@wD35~^gfInDkr>Z*k`K-<8jFPWP9=a(2(RQ;!{Xhi?y4OBK@7%WJ7P7_!ufF|oZe$mkZH zB{l5#$s@dH%o%A%|JQc(M<@^RyT(Dt*ay1DX-~^`-C)Vvy$(eW7E> zcY~S>13UZD*bQRGu|fTCGMXQ`>>}7~t8!W z+PhqQRj8-AD@1Rf9eiMK0kgER#%3Em>646+rT!mI-WWJ(2fQNBhs5V1PVzB^f&{eL zBBLUm9_>1p?sI|IDO8P2cJ%+@$V;l5aiK4X$CKRRF~(TLYFmOW%I4JJUR-(>iR19N z1mll0XwT*c9z+4y`HTzVDPoz}Hs>{d;J6^Pxu=JJpucId)#PqlXAi2okf^!w)q;>|PNIyU|3 z@j9&e?`E5S0?swwVyF7w)(@Ko<#Zj~eLJ4~Pu$_}-=Gn!nz0q0S1A9-S94zM&lga; z{g+}Bja($g(z-=rh+0xVwWbWbznL9gB>D)Mb@jF7RpTH4Bf@#wu6)T)T7)*4wge*x z%)(EzmH&`}oJu}~pY)AIh|3z*TpXB^K;mLCM%}@Wp;xUF-MLuoqc#<)Jril~VzF(T zghU(#8RHRW^LG}p3lr($VzGV1-Tc@+X2H|A?*iw2E#Xo{RFutB7oHo{y%|9rOQc>) z#IQ!!Bz)*yvoO&3P&j^?tkSToq>9SyNy7qc9l0Qh|H$M&I^c&O4UjsU-1}uunl%0& zopATxJ7Z&Gza)24)d0jytMgfElIhA4ajkN>6}Rn6F1I$8KL}d?4L$lZh$LKUV)p*J zTO8Inqdz9>b+h2*-^@`yz(psyC^oiPxRwjQL(5n5lyBgYU$`VT)~N}5xNIfVg->jR zljS(UrB7Il0PB_MOsh|GDJ|Lq;Np@_+xCe5ac=1ly(r_7nS%qI=Y?|NW3EE{(AWu1 zSr(T)=PG0ljdl9%nsoBmi{6Ky(kWxF*iEd+pecJrdpp+wIQ4o9!PAeADR8xuvxUie7~3@Rus^k`$d0oM**$bFOC+c6%zXK!^GDL zS@dv-BSy6h_?Mnq=D^l&czhGKT{uxJuQ&l39jRq=UobO~*KO}sApmeP|) z#QtJUDZ6?EUPi&Y8(|K+{!8XCqPnKOyt?XEf#nvoer-w9`QS47M}GD7E+r*(V@m2A zfv&u&zKk9@Du#=DO6m2Z=>7evlzuuYc9SRIg_3{BXy0lO|8$f(rKBku*Hedi;`YV` zWtj9wO@e=5Kzd%F)5C1x()Y2Y+-V0px!`9|in0Dq(J?N%0&p828R?XL#bt9WLR-kt z>E;x>j)nLs3vh6gr}pN87jRxCKQVB)b7y1nI#9LH5WD0{pH0&`SQ2yWX;C!)3(1f6B*-l&;WE#f~&XPhUNu<+lC52UYmV!)lDM;?X zp6w>RCXn017-yPAwz6j;@ZMm${(<%?%Z`*Li0oLjG+r>-?L~BSoYvMHRg{&_dUls) zh?H|mQrX)*r3#Tw9MatA=s-oMMTfNx^zr?=2P^6$RhVec3`wDD? znc@>9D=nO@`O>_>S~#^ksk+jPfs#xM6QnhyPSiTJ9+I4APcE=0rldqhT0?D9Y>{+4 zL}|kwPLwi4dS;N)jec4owcsC>yn=q09m z(4KHfqS#fcPH%@xZj{tt%BNA|Wr;qI)?3hHd*#+tyixa|js2x_Y-_r7LU8S$myv7F zt}ZRJXXMa<0n&bYcbObc2XxtuR%A+pXiEv^eaV+fD+*twDde9e6}3sr$Jv7tvoccb zIf>b+F3Eh=ZR9pl@uTHg7|o}1)T!+IEa^@Y{UuL&lnU&UKV|=ir?J2o~b6hAcLTy746QnZAsFk|Vt_e~nI=e}YY@3}p#GagM0 z?R`tJIt&onqf&B5*^35OOD~XbjWkpqTW9AGnd)n#i!|>xDTMlY>p}GXZBiB$C#W4P zW2=fQ%joB4^=_1QMscGxwNeSqd`PlNMFolZg+0iBhU7#2>+tle`^erDQ!ibkp$*c* zwB$|IjY22k=;@uZg(eiK!Svic$|jmWNop_i^(rjLr^JhzC)uWBpw=+S!j4apYyy3s zqIYA$6ltMIkKHb{ruW9E!Rz0>U9xC}8QJ!f>_mHVGR>@pEBUAlUgV=j_!vK5#loyJ zq!f|W&5~MLX!E_$`Loe5hFu$_)=Zc!NhW$}95lbc7}RknQ{)_}n4TLh2e3tRq?RIG zep(7)hv!P&MJg(iy0E|n(n5ibEtFoQmRqz?8a)yp+OtU7Z_Ij5ZdyS`PFk+jMkW4Q zBHR3+R433LMGK++OQg&4}4@bXW4;fr&i7Oj@d@6=WydlalkJXlrLY_nL>K zz@>vR3qIviAp7_sDb+;TE2RN+x{q$59S^8hqLnzWs*9|!Ln|e8n;1qK8AW@iC>_b( zQ?qzDZl9Ou0(;KMwWnodrLsF$ODmE7j)0#`Un}{VC~c@3=J5He*2DWBeG4vT#uL&w zntn;{K>Cvy_>BE<5z~wHj;!aC(lCLxUsuD}iKnCv0`uO0w@Z`9T&)d#{=VFfR!@bk zJlsmx*asV>0F#=YSdeZ{hPG2piRwuS6J?p)KG7`nbWc^I^!-W)+O$<#D>)vYeh$QP z#P3#Qnv{>Lao51sJR_wE)VNE(pPN{=lUNyGb?noWkM?0l`f{J&h z)Z0rc>gw6S7bR8jHKwi5o|=pZYX?Q`6-1qZ0v`dJbR=?Ynr^fMN7)xC*4hx7pr~}*Y(_F`Xv@YW;MRnhbD(1 z2CO|LdD7($B1BhqF1>~$&FTwGsY%WOxb?g-Y;=n7?i2>&wMTlS%{W71)-{y9a~WW+P0|I->} zi|k?RVWTfsXx%K)J$puWC*PG?hme|v*s(Q}V!GAUbSsVQVeM8qwxYVYz8l`Z7mK&^ zm0G)vi?(*lwb6)`S{y|jmxw+(E=4(4^~@GHEBhPL4`k(`)@{G+N=NgR7PQDu@)DA$ z%Y#w?HM}X!A*;Kh(wPR`izT1HA!^$mR(g6HrsJMC)q@tjCB^#YCfSp6p@FG`QnL_; zLmPmfd)e#55RiM(mA9map$X;n<;4}{x0jW&``?!43621WmCw(2`PDbY(3#P)CmVB8 z0)Z4*sJhdxB+Z8g@PDmd4C~+gzLdnWRw#2sGM$osp(|fOy&rj0iJ}0mY2G3!oU*Us)3Z(^UcNU|??kz05JMF&SmWxCVffFRHJzIiYJPa=N5@`)5rwoj#H!p8s=BlFSEbe>&F><$r8_g^Q2OgP(5O*ALz&g zyC#(hl(!a6z_d;ArlD(9w`Qjo?QnW-t#Owb*QK8Hak3OdyEnBH~gz`Ok* zMVe^EI9Va@0Ns}!`B}QCC#EFBy+qnmG78B5C=~hfeQHa}4%bxn!7tKrf!_E|SxABY zy8HH1a=d_-RpI5BsX2*BaD`8a@)(hh_f)&kv>O;w-T>&$IUCqS$j-RD?(Pmk0 zFJ*8Pm`n?oX@NBT1Ere~MOXU5OWXR$GW%AR+X~cAmFvm-BmhI*K*@t%R%P!NaN7BW z$@c7&#G%$mvS{-Cv`dpSX+kgpsiMno2+~-4bxm0n&1`|ta>*5!Fy`=)OL7oaFGHk@szVXAz);OVyV z?JU+?{>e-!!!;|_ya|9lx`X^04S5SOZAeFX08s8P>J0X{pZu_?Ne`EX$$m5_P=0`P ze7B&IpN!{E&W$AdHrnAa1PRw@4Lto1jjvX6sagdH17eR_bWMx=nWAWQB@qh8P@_H2YaQsC=q zri@6rfnJS-DQx#p1DI!&JX5fY<<)rhY_xnqA{)kM2DnA9@Jn#7qd&%nzUm{F8>x)Y zlOm_72yPp-*0l2-JaSOA>`q_xl`qq#9(bk)Bk@CwmErFI#8^SB++LtA7vL5uxi;{)V4RHCxv^Q?Eayj3Dgp}d}Y*#J!5 z*`@{2<~ITUju*;PT%CwNbqWxFRU!tQS0h>2f+BgJ;BHKHK}}g%sU6`hJNS2X%DGg$tO*6rTQ_lA2-Q@ybQ|Kp|kmIbsKwdj2tbn z({9T{GqGeo|ac+FDgh)u|~4PW93Td3;ZqHJVyQLq z502SzfHn>sQ_99tT&*0+8fxW7B^tg4M%{L*JP>%JCjjINZB&)^NwN$EgZh8%Vwz+)9;oM zwdVV28e9Jtxh3+O-Et@P-o5g2k+RQ8GMj(DESl)rKrNWPGFNsLS{jLhy`ZeBG^Mz{ zm>r)d_ZEDhMuYP+3RCTQ`MHIu$%UyY4(kCb^-()g_yRf054I&yz(mAxIqq8xhvu*q=B{ADBlq1T(DBaTsOmY z$djroj3_;Ri=1j^?{AaKEi~?VIgl#P!HL}SysQbdARj3D_#!=s&3{3@*F>ia-~#tg zQ2kiH-EyHwGa3-c%s(jpH2(}x^Bq?tot~T`w`XVe%98~ea0K}H%@8EhZT896XzC8# z%HsFSPY5*rfc&t-C&p0ZLs~~KJ_~@n_T#uF*^%WJ<`w4Kd7f+s+UYsg@t+ZQ92`bs8jC$HpBE|igdEIfo{*EUa*@a-V*}ug zFTD*n4;4%ul2K4dC*F}iAh&wS>cgKRJGU@5KQ}AUo|c=Ro#}%APnczh$BkAxbBD>5@EB9*eA|x~`07J`I{6`m%hK<}bv# zaeqa`oBtJ3&^xOXnW^JfohOejGafoEWIlwhF-^!PD4yg+Z>=}jm z(R6&7>d8i5lRpy7gLCs!*uv}bZNO+z$vAAM;&$L7Q-1{J`S@!v3g>45wEE0bTao)a zc=Y{0Vzunc5NIw~KwW+JqkN}YWv@l8ABct3{{)9ljXx+s?B`$P7Bcy-(*h+=Q%Cp!U%_Ow#sSW7phwLqm~weBp=U9kxC6^x6LTPx4g*#{MW_ET%cTcFG* zqzH=fRCdsw6rcpt0+5e0bX-XLRMTk3w~{~e^iqn<=A@y8sce&v@~WVYtt~5aWV1`! zEA2&!@RBV+75>Mt7C&}SifCaJCikbu0GgL}R9>J3tq@d{Nt!G9e+Kf`h%t43N+O-z zq6RpW>yvwxR_vgkViQyjOu4P*(85Vb21XxKW!BqY2@`19bBZg)Z_>e(1t=K?b7Hn& zF;lW>udCcfj0&SCCrP1XDpFh1w0;QjZ#|5~Xw?(>YquaE?Z_j@fzqx4f7}(MOpvPV zsCU=VS2)y+B?^n{CK@=7LV{r|6WsKUln|_}b!6OqUumJS-kngfp;;ly>vSPf>qvQ_ zN`xnmXQ~BkSK;S*22AFlIO6Gi2&&46bwR zIn7Mp&sO}MxbgVifLpBu6<-LXf9S{$!1y1W;^&aRX? zQD%Zt<{%i~OHjOZ_&Ov$nLJNrR}z$WMY?Y;QcgKVd9QVI&@8C0Z78X4s4b(AmAHg$ zDoougRml_CwEoH(kv=*FqI2{bFcBXOP(rk(*c2VrK$cA99{Qyb4uEAU?diKrrBKTo zoDWhPVZMmg1VVLg%tQ)vdzSJgJg61uyyQ+i_|NlTrvp==UNgQ$lupQlBbuQr0gUpL z_7W}r9_EgT9$)CsfQvXCTA8;nV->xTDnXR>xg5rJk5pDj zbT$zGoL{Q6GLh?1DVRMnPB~zvA%nDJgS<(zfr1+?wI!A;w_ce>%hN#mB!s{&M|}p8-lIWTMj3bF{%2$OP{G!nH}mp{x8ZW+_)vGxL0|U##L&a=-C@sAM0%8Eqc0( z*2N84=Bx&!@C7=70S19*j3ty7&S27$W27>@E%450r!-?sM*9dne0 zj+^(Uva}Pn=W(2{i-+x4jr>aA05F5Wr*&@>D-SGPA0N~JLF0@xPq(6es z@ah^%Tc_L)4&-sA59_)f(SqkLm=ccbz1ZoW0U21_6Uv8XUaA92Gfnm&TO^`##U<5; z`EFF6G%GxVH%M#ia>a}Fd`9_3psxo=?zHn$jIql$`Z-zg-Gq-|bZ93-r#{u=2mWs9d9fPmtZu*{xL5Fju{T-cD5m zS5_bCnBahmD1eM%)O+pi23 zT0^yRatjUSY^aNYEvf5q_$I*yu1$GJZb|quRx^G23LHDiaGp##sQe((<3pee;;Tw2 zd43>=I`lS%PJf7{+Vm>sB~Jsfj%0aI{%@$nC~EVXGLU*?sV&+1*A(FMA9gF9*;{Wa zEd+WjMt7X)`2n{me$Z^2CUSUmdKB}!}7`z-}E&247QowR{Sx2xWP%};#?47=w^ zWtoZYd={`PiribnHGKHKa*4h;rA*{DnU$NI>CCTskd*)kU;jW!aSS<+W}H(7nJH@;NdNEB5d6h2l#xaeg;jr{{4CJ7d%;;$-la!U#d#Dt z4ezr3ymFf(%2=*|=gj;H_7ne=@(i`S06O~V28?UK1-R9s5x8J=iK0-qeE^kp7nHXY zFcx_Q8N*U(O&3>hb8=c*81=uXRB&P=nBKmqtU#?o8A3l?QbJkAWo4d;mP@#v^=HMC zxqYL6E#N0beuoEJ^0HjX6iw}pJdsydd())|c<#Bb>Lk{&g}M>yZbkgL z^dS5(ulcYxtyHr>qy7q)pW=o>D_HIzW_MGc7tJ6t5Ie2`jVF7kwN$CXi48_FMQi-v z$S-@SgWKGc4o4vyb_CmAR5JovRpF_wQ0+V+HC(FAOUR@yQj{B@>e?OW8DU6)fjw zA2pU1&XwCN9RsvSKOiIM-cFrFS4|++KWe9XiAFXg8_PF0tqxFU zS?FSaEr@OpRiCH2I+)*}Fm*X?`CPS7`_Af6e0_(SC~(7SDwP!sEhtRQW@oyn9}4Vf zH?>rxAvSdoSqA`yKW$Uz=yhnHtgGi0f+&hytGB1yBh_sBsiPXou12c<0$I1I5fl-v z_UGiMnMS+}FwyuWO!6<$Y9^w@X{|l|)Dzt6gidHaXt)X(U)Kxs#2)UguCq`?j^-<& zZGkUkW1Z@2EQnFdchW;!;sKR^h*vA9PZvdJ^Agm3CRUlEmJ4)ePhc=}nmW#?6(to! z(v?eq*)!AB9;6PIW)n+OJM*vY8DCvJ5uHV(_gB|(>d|ZlSWhF{WK_+!^jABY*rx;3 zBc`_e`<60LGs_(_0p-soUdIWRPUfiVOrXkW)$M>NwnwqL7jso#b~;x*W}+7g)b%vq zQ|?5U%Md{yEL7iM^NUn>!OOuHG(C>vnjnDSyR7upAoX4vyh!Uzb%WIdbmbxE%?QSM@G$vQ{2hqlX(QFIL`xwr)YH7t>Oe9Tt3gYj14j~4tUfF7 z)K{a|nbwZo7^B7r^h*{Jhmum*OlO8P*5 zhU1|+=4wIg$}|-T+RrUu)}D7l^-gDE^qFU%#%J$T0ThrvV>Ar$-TDPgCTxb9BCy3X z)q&{s_#DvX^h;PR@4u+K1$O0Lb-FZi0gYoVfjmLl0qjmy+I^xdm~ypa#7ey!|w z6khFvG72(Kxk61h_aXI^iMkAvCa^9m)e?a|MwjfHLX!1D_^SynzZT~&aI}y4bc7Tao4+dAP`xf!D?JS1Fv4R z33z416KW^6@Ch|YXe!$A_$YKl&^!4gRNsFCifBboskhOQM^Pnt+1iU(woRM!&r!^W}>GXEsvleZ|pu$e-{rDpUxs5Ha zt>jcr8oU>8dSS`Ws2>Q9R4>};y$lZN2VOaRr4shBa+^9xB$25{bxuSTpuPyaM+2V) zWqS0e8c6p%2>ZUqfC+QAft8Klp}uIM*b7nps47qd9>eIadFCpZj&4uF ze?9+_`Z4c+kl4#FtAore_@J60utkT|(E_~^g&OXjQWg4jSZ!-W^&VvV5pbwQ53pNn z3V{T;fie3LwML}UTxBa=J*w6(oux}`(J@#DowsW-)Gf*tROoT=p{vtjj?1zUL<~of zM!PM#)sk0~my*xAy`i2oJ4!>|Q_w@8y`xq)cNN%vf(Bd_aKjH<04Q&HM_odVcWK>Z z14kn`?8(yGQprhm8GU;Lh~vkTaLX58Ko6SrxT3O}chzwMZQ3Sxqb+@aD24ZtO9iw- z&(6uMX#Y!nUtLL;TdMwa_Ix#ODF1+J}LlKJ0rWIFWN|2Qw$AXm?9Q{PPcj|6X+t z^@p|jaDmhN0&AQ;r*=W@uo5)B{;9f+ragzYrt>PUigeFH`#x2(Slnl7D`33O)ld@! ziy$5MAa|#~oL9Hgps(N+iY}<%(aBX>Fn>yg7G6|$)6b1+S6;#}TIE&+;UTtMQa>@X z=f6=41p4ScKqb%Z@U^km)F~pl4OAs6JO~W-+)miQ7uVsdZ54VKCx#bBf@|&E68^ON z_v&z?F32{0ueu3Ke+1A#cS%PYQ;0r?`XAMinP9*tI;VLXhrM8)9p|+@ewsNhmS=+O>sTzZPM~Yp6jqjEZTW+ zu*+fat8!6mc~s_~<%}7aNF%9oRkdyGl&XC#Q0E4aobi)!dk}(cSZ7!5O)vxn;OkJq zw#Kl)mKx}O;7f&m@X|HvyHWF5Kh;eWc@<8g7jBcRZSD4))WKO9NgN&~ryD&Vyp}+R zmtdJv7GZrawAQ?RlB;V9%4+!w{<+(8G0Y=18+0ZWUy#~SmmnoV ze1WO>bJ-JIQ%gFwRkpCDVOqMtlQWenUqEwXuZNNQAKR~)DWD4y?S6h*H^-Qf2=TJ= zk6pCc^mJG4b)9!;rW;k66f(L2mUPpGnsKAvjx5CfIl{()NQ49qj@UQdv`jEDlaS%o zJOGWWoT-M~R0WKQG3rV^!f1JfwvFzzVNQ(@I4BbTI`$Y+=65&2g2QH_f~7}k1tRqv zFNaXVCaCaVVo=NaGDho7@f->pUP~oAzPy{B zny0s7ljF4)5Ny}L|Fr82JNS4aklVsktypAj(lsWsvja5VU&3$`oMl`3xq`Q5($-4| zARlCF`Sj*?5XIX*#z#lwXuGJrDEm`#t~P?6%GD0glss)F1rF4ncC*_Db2Ms4)rsqJ z5o?#P-7B&Kh1x>FVoyuSNTG)ZY2VP^SSgrnzNnKN9jv`d;U7prP3_tXOAxAzs^H5* z;OmcH0;oPa1a39D4jJp7`G`mLLp75?;*WAC3)h%vp3uM$hiMa?QMhpw7@@htwJApP z7`;)U`?GsSX=4RTc5X^)KI=GIvkP=_wVuJI6>Dci^4^S+-_q@h4;xvk$s+ahg$vqq zpQh60v07icKVA*tz10>03Wjzj)@q#QW1^ztKqs4a>M~VK&{i6b-&BA?QQiU>ZMEpD zrdPHqt?Bp=2pv-0Y=SeH}L(tePL0iOsxZZs!|(-{7(f{ z+NDmL&0eq5Q0~5WJIKKqleBZXk>%#(<`rdS(WuGVCdv&{EVT4%ED$1vg?diWE>Qoe z+FXjCjsv;`NOWSVc7~4Ku02fyycLaVeuO$sdI5RCwmUSGbQ&WNYtT=w(y@nh3)MV~ zhu<BYel>(Os5xbAa=Ys9UyttbnQ9vIF8YuI{{{|@lLIcK=yZ$UaZ=Q zII*Kqd!2R_0b26rJyUOhm%I|7V-%Dm+B!qaF!wLa%V*!u&{haiK?>TwlW9f?Vq(os z$zslkDu|-Q&yk>?oTaU&O>c6bSr21>!4*UN>s^{pE2O^JneexXXqQ9fo*lnSL)U5K zy)a77Nzn2J@0jYqt?na1PK3A)Bs9A4vkA<(vQ!vYD$dRbq68K}pR|~~1 z14rn#4|rnUHRSapJTyP1%+sceiXnUx~D(zAB^D1q#NH4CG zW9W$r-IeWlRKs2oW373CjW&;zeC4Px&PYU3^+lyE+1H`4_{2I5UkDIMZfAfrjFNQa z25o1{q+IZ$=~kW`;REzxEh6voO-KtGH^N~zvFsRNJ-fI`LmfJ?PPI{wE!uw8ZmYJd zDIWH8)Ovs1rk!*YJbRWRr}}m~?9`}qHk^_CSo`O+Rsy9nw9M^kgtZn0DONAuSd*5Q z4010iEk9+bK^pgO;{l%3iG9 zF3sCSqgF@?C*q76j{ zJHxh(cB_$rbl4BSQ85Kh;jLrZbvo4#DEh>4tvj7P0h8_YhW24on!Om6+C1z^A?wSM znRBGsiP?FPwD&eRxz2BD1K=i*4t?#3ApwJ0g7#%6W?vS@nYDXw4RkO3l-qjR=9eGbfmuKW*1evu5 zkU>{|pv~c3PiP%gWq0=ShZ=gd-JXDF=iLY_>@*&>rIEFv?_zLbKFY4tc^WiivPE@c zpPkj>jbag>R1}NS*pPGFHyDg)G_C0<`N=5$@`f0C>r>cw1c&$flW;PnHbAtj&$K?g z%`cRWy(^i;j6y2z2LfpIXWGoB-o6;#*J`EB)6rSoVYZ^Od%nwJ#3xT>wC#Jiv< zV{VhW@{Wg7p4l*x{G5DH*8TZdxSz4nXWwY=wC41U(I0AUY6`}!LHRHJK{2yd*R)8H z4ZW_RZp3TJti{jTUZJxcL|L{yEj6*QC_mMnmYs-?Hf3~)1%Q&j$dg{FV%bdJiQ8?N%Lhhd5QWPRMJf@qShuI z-E}5?8GSTb4W-~Ov=DNg1ABe^DFo%wW<3B{j&Gyb5{el1grv`+qkA=r(4CHL17ZO< zkk}wuFNNMI`aPnfuX6ll*wY=lp6Q56AG^tZiUTG)5>sTZx<1~~#97eP!kLnsoq;?a z385=efaM8*yH{QH32bT$9aCh);bU7Bg>`SG#|UnGYT*%iS<=dz?&)4PJ)SPO>G#sd zRZ@_{;i9(=k!^x%WgFb}*%q4BR)3!Io279P z_@Hc~rA27yT;-3)i}cgi3hW!J?kiCF%i4oCUA*Ng?6?>nptnP!5vl~T`am6Ccqf_& zY4wmJSVkayTkNe zXg=Y5YU3}eH}mhJKP=F~Fkq=uUG>`pni{U>(HoiIw1UH6K{vwn9xV-qobQU@?k|OM zBlHdQtPMEQ$j3X|^!qGm(nM|bx=mkBHTNKUHCTZok@|UbhxA2Rv!**dQ$j~LqLe}K z8i%5FG#$HlhuS>iiw8UT7MPP<^wm(`I$fnnMR>%%J@h(kAw#n_)Hju9dE8;du;V@S z1aMMlgh=vh3WX0cb{~w|nU?I+iG(Z_HTITTdnH3Lwp|d+T?a zvywCOsiu$qvr*%tdEtsq4=_&{+gE=BUXDW%Pj3G2#p)jDM|cx{ zXvPF28&~7t%>Cl^0o37rsC{`n9;`4y-^0Qa^;b>QdkXRszQ2WaO4VPpFfmgXMCO~V zPm!tRHPyGdPIOLd!%h_HZ=>up9*vq?2kEP5{o7hMmN!`c5L*&o1_})vjRD`dp@oyR z7;w9>mp+8t&3Z5`FV;jj2ws{eD$nS#3qi@_nURzv|Qa#&*K^mtI(&=k{|Kd*DG`%WbHEQsaK*=Kpjw} ze_`guzcw^?m=?lD*6CA3W3SU4cWAwge2u-^pg)NI=p!(bYhkLDS8^q~f3p5PTQWuO zA}VO*EH?Tdci*m;3oK@u9wbmeFPu4Zx*lY7EwYcM>!=35B4{Bkpb$pCDc6N4Hl=*|Y- ztw%Q~fX!N_E3o^A^%K;7EduT2<@!vz;xC0!#kZ=$x~|Yy3$*fOOw6|{_2{M~=n?%I zC9Kj*+4fa1Y)9WLhmq7{4eZnC=n8g6;dTBRJw(PXw_&LOciY$K*w3=%BoLdi8m)qL z%aR3v`fb+fD_e}U*EBeL;3JLZk(2B6J2~|M9UHV>U+UnF3>{Rb9qWwv&Nu!s zB#-$byJM@~MW6;*4rY%(qoX6{$1Onpoww;D1!L17YPI?H0!pj`Gkfe1vYEHH>z#Q; z2*o>xfAD)w4;A_DF$;NS$(>ydERvj#lof$=*$#cN+ytMg)h{4sIDx=yf7NTv^v)Eh z$KI!qbuQ?KQN6!Q|Gs5$MLj2xoms)mkCC?e?AD(((a$gGr#Rv=)3x^Caa-)e(~LO* zk6{!CF7MN)iZmtwNksVpy@0l#RX5Yl1JLNkeqbn-gL*YBsstm7ZJ<{7in7w&Di?%d zP*lYg_R2cC_^uwV@HYaKm7-EN?v$R0f^E}ACR%?=j}9_IG8&8%(~|MJ{PJ-wHKnza zT+qIpm+yl5usu01H;eszN`K4L`sOzajHs+E!4;36)*I<~Csz*>YPHyjq!+P=!(1-{ z8e;>5(Z$Q|?c&;9P;$y97vT3YdZDXpWXp!C68?IF;tGfC%dW1`A-Q>lsNOnXU%=l& zi0|+k@!M}EACFrmc5_XmH62_%sGx)E7~0*zH7BUPtg_~hKgqw&I=qC1cXZtZ<2uv= zbh5weL@O@>B&H`t{kGujlAP8bkeF{Bxe(y$!^#3&hY1~<9{q1e#lKm(gkB7E{Y1)f z$;-+b$o2%ewlGn5t7|J`P7a2+Cff>%l9N*l3XHk~ZW-;kB|kr#|HyNxudJyp8|T7@ zlaDe58yf1G2r3}TwZBweUx}tgwm-^swAku*Jh2vAPJ)HRt)XH(=hBt6-lhyC~|iG_(a^sXY1W88dCsy!W;-6cI4 zCubLAQr=m8j=S-jOL}fWAsXLPQqf#$TP=BZ;2+@=4BKd)*51fuQ}YUkq|t_+uI-A9 zsWp1@?P-7tj;F-a+4C|B`Cs55hooUul5?~3tkK3|3re2^;axUmT65LEleFeF_Aq6izAqA6kSdZb$ zW{f8#H7&6y3t*hw7NMSa1ihCT<#oK+P*LGBIjgK{T>bc)^unG$glh&yZ=1sLd(&j$ zDvr5wE@M(rRwfpE_h(Xj&y?KMJj|eDfeISx(D+?S`G@tc1C2o!)q#*7)2!)yQhA>U zTHer#m&aAj&S%@&!^Kz^uAkW7pNF)qplQt;stU{M>WyeT=NGA6d*`#IqnVGdB1|$R zH8D9IgIKarZtKP;8*NHOd3Mz6aN(tr?1h=}q+!@m=vz>YoqrAWh|O4egB^D2Bxr}o zy)C>ljA5rZl*loJjFchv>@?If3-kFJMgFSJ=$yQ1E&HbyHW?N_%|?39TcDdR{)hIELSmtFe&*Cu7$XM-NdpmnMU* z0|F{>K6~>s6=fl==A~nArXgyYYeU88#|5%)^v%zak;3ct%~PISm=EoQ*Uf-4#Ueo^ z;bJN(==xgO>Tf6ljM)Y5gBx|TwWHUDp5<(atK*BSGfZ=JLm}r1Vg1|L8!XrZMA?xiiZ_+1~U*kZ3$TWO28KJdYSfou+-&8K0r^0|A<`E5O99xcq!_#wb0$&bC@R zZt@2^Zo*W@>2EySBbr};+iZq6DJz3f%CQnnjK;*HVQuZjtwWQB<#T{wqtUjM;SW8= zmQ{gyd`Pm z6^=q<^zD)+LRwBXqS4xD226sffU?7x7-%E&FN ztys!t(~6K+pz3eQRaPwrd^H|abiU+HTd33?C8=!3fQGp|1)*AzOd%fFSUqjz z+g9Xa0ZwBLt&m3Vr|_jaUM+ItadP1H{%+qaXOYKm4)y+06BqlWVwmp?;C+R%I7kO`VI*^{$DQSET)n2H zX}#iL*0EA-Jn0^ta?>^St=$1>z0qIA-`{m_%Rpe=#!z_wl7$PQ?s8@(-pF&0Y=$yG zp<$}a@F6(3n|F0|qF2j_xS4OXhcs<{)p;QoQ);2&%q`W*imJsSXf=+ehVVf{@!}6X>Ee|J_XKBL zhHEuuQWac?(c07Q)UJnub;&s(db*kemdVbeCV?nw4JK{0H~ALgWUt0#YDzUtm}7|Q67ZUe8pMK#$?RqLW)+~mBJHxMq`a&QZ&uoqn$TFACO^+=?%->gn(I-(){qtK2zH_v z9qrH+`s}aP4kRsf1~Y+ROa%|maagxT(pVF@4Ls2S%XPKb7SjT zPK!gqCaXQDBJSEiirku6{l0t5zz~+;oNMAJHd5~t-+JFYDidIJyD7IrOx(gxc!68ks_S&#UanIG---B6@bktZf&h>$D9*yZn9FNXdXhKZC9 z-D&Y)h%q0!#}2|4V;lB^(ED5QK%o`S^UFST&z^+>qB3E?<@19`=~%AF%2Kr?mPl5o zvyUbo_%HV~anFaCkdA)dlPWI#mpgxDu%i|}*R@89TNzT7Alte*)U~>^O}zHDJ3CV+ zifSsaRx=H`JZI++u3vf5v_MHswZFS8&{~gPgl{ExwT0G3VyDK+3Ps&;WrbecwSfX% zSkgDvw_3rQn%b>(4c+*_M0*IfszluOj(doW7rJ+}1zXYLE$!lscidV1L*2nf_y%0S zePL5eAPBpGp)(YM;gPimztt|ZWv5EVYU)rG7>Mkn1XiyWZRgyRMf1CEU;p~fpdU-H zRmaeTKRM^VHjVuq$st=!ogG0Lo~G%K@4C~AW&c?n!T_Mlj2S#k2MW~P-qhJ{b*@M7 z8(FP^pzhBRg`VM`DF&T&k4S_CLG(y<(ph(A82RHK_ZqpUh0Iw^Fcd768l*X(N*$4- z4Gd@-R!jJif#m!#GMkoT3YKG$W^&kNaRVdHgYTjOfB7zItO!HM5Ug}&y2=SHKw5ERS4Jl$1 zR{E`L@kHv0m))DQ)r_=+6LU_ZmW3LY1{wn`>jKp+!9WQFFk1C7W zP8mjSrf~+EGb4Y>>|9a!q$l%%Q+Q=U_9=G}&S$yZ37i9pJ5RZD`pF$VG2%6N#!h(( zTM!+u!+CY}Yk0qgyu1H3cZ)~oQGR~;P}1ve`2GH|7LRvazwo4CZt9Da0$Wlj8=6Dy zm^ijx?XF`1>dX+inIa!zL87`CsSVfspLqItWY|cYyO-L#oUe4MT=tzs?9A8UtElKL zZR{0`*Qp1X_*GfKjZB9erv#BgoyR)so^eYG~wN-=W0&Kk;Nv z;qu9nO}8wFjgWx4m!-x7*4mcFwbHu4BLJsPgzrBvmeo|*xpN|se{HV1@%)vY13$g> z^Oh>Rsm>smY(TPjv3_Y+2iDL^vt=-u3x}~D(}Pawp<~(y=V?i(p;x~U7Z%wc41^h> z5>lKIiT_$`7m2Hu*x~R$su@@sF<<2qkjf@&MWYJQ*wHqy7z^xmh|uARo9Ei2hG6fF&sdh>z1bH3@HFhhhdpVT zOtY6cvb1PTi5T{bXO!4A-yR%R4eF>sla^Uhi+xEA;1AnZj*%r~*oky;d2Qb^>__tW zXlYgDRq*e`mSJfvoJp~70Uwff@kBp+oOtb`J7-wc5pR?_DJz)oIOQa=eHIZ;&l7 zy$UB*YD#l>6v>BqT_}hO6_1wNBfS2aT$y$Q=Wc-}pQuYi98H(oh2rcWdtMUvKc)A! z`0nNQeDP?KJ#S!14YnB~j@>>n^HaAk&p8=W>qB&$v-`Vvr=!I!XEFYp=-ln^@;CcL zYLT5il6xqncqwYm`pFw|ed~Q=$NDx{NRP?-#OrbPcyDzM*J>T1T%YLuouH#;$kd z`xaYqqVSl`O0BB;WVvzBf2S8en!&Sga^$1+T7v9#(8&>O(N z81I+Irjc(3VnAu}WEvYpp)Rqb$WHgrJ4&ZtA<8`VTz74xZhhhhpSYK}tIA5M{Mfg_ zk9a>G-YI`P?@qI^UlI!7iGHZNwM#seY;RA+e616^RDQhYp}sZXo9`P_I!1iywuc@1 zC%4`1n#8?7{~=a~FtZF1tX8A@*W1;_vP}@nsUW zMhZT|*2wx{_5$&oZI2NJ7u~Ze{MCzUbMTHh=nMa%EU4j9v@Q1!eV74;ur{sd?wo~P zMXE#1BKRjb-K27HWvx;RvFyBiR9ZVC9*Yw=2V6r>eVV>!!{l6a85R4ia$4QJSM2jC@evaNb9;aysLQ|x0cw{lu}$ z?c{z^`5s8LhYbiJ!~Wb{G45~fNt0=XYdQmsShZGRRlpqyr_?@wOPf?tv;cI}mscRJ zzT}=QT8G%f`?t3=(Aezz!aZyfJHR6K_!^o|R0Dq+=!9x-loWlUrWU)QKC!FBzOJ9& z-_^N6{O}X3xo^(2ef{K#IWg}d=KI@OV?+Dfg+tks_%s-^hr8Gq9Kpr$u9Lca}ishwtNW=}dOU0e3_DHvC;YBc`i^aMA z_ShsWDdb8gARdaxHd{u5U6tF#Dq{V}Cwb0GHT7Q^$#YIW*P{H4FWpaI`Tz;3VX zKQ(?Fq*x3mC)5jtdPQL7&hi(-8H)8JU0=|}N~MDXRnqTot`GTFtL;Y~N6Hf~mDzWQ z*9Y6v?%!+Yj$Dn0GFY)_yT~9=EvMIrmF4#MVbVz+?q4w-QH)HshxKC_eBv80_u}P5 zdy25rVcow|4omXJ$L>X9$q0LNTuVsoF1LO0uw&xv=TL;XgD_hz{v7WVf3FCB9Hn2@k+37zHYdsmNl;m+m>MyO|*aN9%Hj9XxeBH#O{Um9I^$aw;+{8% zZvyhm5fX1S#oKs7V&_F{8$3SL_Km}<5MhuJcG=>RWefSdq8!e%aN(3SS-D7Z#UH+K zXUvR_y+%&`+V(_^P$#yV{8UTU4&E$n4;Nj-?KDw1*dA+3hdAAFzZhs=F7_jCaeIP2 zI1y@Eyc9Yu9v*}~Qs=eP2R2~l>*vxQuVIE_i{KD@Xg@rbg11~S!Oj**7-*K8ZGpPu zunBn-1wDj{J$AhXFPlZ^Gv#!p;^_gp4a&bu@ri^hEIe{8FULc#YSdumKf$PSawJO8 zJRa;-bgGpW_PIn=Kl@sdKLT^0s*l|{xw>PqZ!E{F=lnQxDF+h%ku|6$G8j@nbB}xA zWB1H7+QzDuhKc~@>wGRy+rinrcz1+7&F1js7#1JiCTr(Isgyy!|88`P>=b)RJV)1U zDfY-i_ovu+x8~kV>Y39t@XB}N_3T6K`5tFjR6n9D&R&qJV)nrgqJ0hP)Zd6Ds_SzM za=$q5_ND8T%?ZhBd=I1X{{5Kk?LUuE;9i$K%2s2OnoxWpBRh_Y{?C0|c*E_Lt*xn@Zv-pImHT0DHgJ#IqZjYz*L3?)mT zIyKTbJ#fjr)DtnT9DKywcsnrD=|yPiGJhUVoX?n%7s#~&T($DGM$nlm&Uw{Tg4w2d ze`BCM&b3su4}nTf#YiW9o(_dR*54jCOwJpi0;R~X9FN#9YT(^Iz^)grR6AGvt)D$O zSt+9I^;h-BeB}0Ids?2Fm#W5TDAl;xXHe$Q>TheiFRhM z@k25etf9iFxc-HUpZms18Kk5^C|ZHN4e~g zNwVE!vpwOpgPF@=_54egR$|h_E_^Z?gF7r|t#f$lvfBZQCV0OSUijP*Vt$KP3dDE()Wi0SFfJhc<8A*`;CM{&(zy95-Ps3i0?a` z`aofw^VAUYo5por)4AxyL4cYK?RX|OVYYo+fqWhMBFwqaE3nmp*K1rMze^Kn$hDlO zTAbXfaLx){JTu$Q>?dDlA%@Pef0c|~q-wZK@Nwwx=AeJL=VD5~Y(D1Hqvv9paK&7^ zz^!7y)UmP&XT>l|emK{@T2#)nOT?aeFuX6#vlmz4ecq+WjC52*e`e#XF3fdOH@4hW zWYwJ=n7OuDECb$@i(rfKn7dTkfa!RB+I+iqcpvq=o}V%lix${I9QctZLp{so&b1h| z#U2{wr)F2gS&2UU7N;cM5qG!CN+l83deD>c8%y5|dZIzkq%f}Spf};SIK|!^zXdTB zrwqR##kOq8wXBc8$Cs!h_~SS$ED6IMf%6b3!hLZ{0t4@fTbYue0~f}tM0MthfODj5 z?@Tv?UZ&`q&BFA6&UAVgCc(0f$BP?U>@m}`@nKAFf|bYwnFw(v@QRo~q<4XyDn@U& zN2PTEZUyv0j1@urAU+;-gPqZz_vi7N(Hrb6dB44%_~Q-sm@Lv;`&)_GM%2t3={x$1 zthIL9Y~CM+Ol+P>KMDF|6;_r}hrI~;?PBy=dx%V8(*P?m#Sqik+c7{qyw=Iy=>g&w zNKR&NUZQwytvyv1X?GGzh_FnCN$yV)S*`X&DOZ>*7VG;n$>JNW_AHzjv=hR6@!?Kh ztU~_R*!RE0tV=VziC1`(vTFW%V22<6+62uA5Vyq&(-9EI^2sy#vAFN>YoaA)Rhtp8 zDmC7U9GemD0xkldk+Q~40q5b~=>^`}l!OQ`A;n4ziUS+$A#r=-#f$6hv?N{0MdH!5 z_Am*%AT>`6>a>SMxC%1Rf(>>?gp8r|Mnej3h=B5B=qQ;Z^p}W^A@q}GXgs(M*`u^2-n& z9XldlG0?ia7l8q2*vtsc0OZravHZ+X)j%<;%N`%DlV9exta+d_tj1b>G}f)HF=b78 z+AR~u@_l{t!;u94Q3A0M#>)RA+;@R6Sm+Y<6#;9D{Eevo!?=h3Zg$08eOxz{4olts zpGqH_`3Md>)gK`M7kI5i-PxExbqq#Y(k$x)TVwcJV{ojzi6iZACivL@A_83lW_UA! zf50Cbss7jq3J{B`e(~8lJ0n*|)OR~Zo6#2MZn1}ru4QMybsYcA4ovznl&?yhYqN*N z)h3IQjrN$Z7H5jASB=@XHMR#u+ePzrt~E|G zxEh~^-s#NbAT!#4P&N-(_jlrgJ~GR&Iy`P8Lqu(ID`C_k>BM7e!_0j(642d1%WDIp zF}sEAGS|o+<0LL#yw%Q%E40N28!$>2B1fz6hV7eSQF+gcwaBzJX1Y!#EYX#qc?`n; z#Ce0GEu{In1vS%x) zV1E8#23fOa?al#~tu*D@XfrYQbtt`VVqF^D#Av=3Z?QAjj4$rOVj*vfoseG(=~e`C zI$hjyV479Z^M+W7)iNbD__D~gDI)6|c2@W?@Hc_azD;@J9l)$Q+3wB^p7gz-vkG;m z*7C=l@Uiq$pd;8<#)Ep+HbSdt|Y&yPd;YTpl9_F->w)svyJ#(ybTH? zXV+&C=|KoF|NKw<4Dd!HG6o{OY?xXFX}PVy8HOC|opO(Xo-C(r(Is2l?I|`@p8Wm8 ztwd#2y<);z+Y=`7B8WST$aEsx(=qK-^oWvB{u$5<`k-F~J+F^=CTCcQ6^6XdOjm{# zmpDt#SjRBl9>{Su25q?`L9ICD7((935#k$Rd+JgcCCkoKy?})#&H-K_ zSjSlltja5wz257b2|s0bgIA>T!X>d6D`MIB+P zX*x)9T+=G7!)H6;HKtr-{6u_t;FG5cfLYf(5a!8-DPel+0rOPDY);q`|4pvLbzFOW zO8qr{26%ELE?5+tn+ZA3F|soRzp#uJp19PXRjFh%CoQA3k2BbUH!0 z##@OCWsopgM*+JwYV5*%^y@UzgK6DrSrH z^yNyG0xNO5Tn^4U4R{PNHhZK$1A3v#cEk>mZp{?G*k+GUnuk2# zB_@d#s>2dC1CCcgWcOz-N#6mFqdSpH-Y4M9!JRN;yf2$&CEm>5gzqfg3Cl>@v038g zJMD?%d7pEc(qrbFm>2b$|A~t(lO{3D``wV83cArxYw@~didoclju_VRI zVOH)sQzo-e$*|RkTY-yYd}`NO=b;C5Ru}P?xLj787}#w0llq!|Y$RrGkl^f-62ymz zWqpS~0zB$OV5$S@ykgsd^y6P6f8uNCr@n^%MxmAQm4;>lXAnSbaTo8!boD$Sv(Abj zPMF<$`<*<}Cxec9ipW&}OWQSS7G@>Z3P5Klh;)0>w}MX7hvG{*qaeL!w)oaZfGmlw zZK@9GM?r6vVqwDUdA-FLg!Dqtb5!t1Zb@GSdXuC_Czh))vDD#?$Hb?TeHCDf2&@WjW7bAj0puM9uMRw^u3KC;aG!;#ke6B;tBgET*F&j~q2>3BzR+r}Y05g*s9|P7iWQIQl%#nx* z5}yV}&)1c)NN+lU2eV(HCXYHYFE9h@z&XI#s#7zrstPgnE{p@b4}ygeiIXhCi-18f zsNEix>~m6XtrU0PWoOMN+rCm69?i=Eu7zARoA0U=>v*ZWk?p7x-w2rk*|lT}`x!(% zMPZBySCPwSEm?$xfU6LpHc>PHq(69-xaMw*e7tX6Y$cwQyAB>&Fp}mi!On#&MKa+> zfOsQfI|?a^?y(bOu=CXxKHn#&lazVpYAotyEMgTzWVo`W7(`>kwbpQNmYW<>P{wr_ zwBxL%5&aq0##(XrJy=fh{zR>K@*aDl6#MuZEAh|KppyUUa`D+ccvMN*%_~IK4m)c+ z?^{=*A!Ny;W-YEX#nv@&ex+#G;nYCEwQMvhtBmH@wc^Pgu-}YkcpbKC#OP)_ZE$nk zPf{{<@EzAl+q~1x^c+aZ*3^sFi>#gYcp1x{RpQE>PR7oz674%NYha99>+wxh=f0<2 z{17s6$MF3?=l28WH|1V?%oxh2Hln_I7o@r#_F1JVmfmYmWcY8~>lAzy3C4Oz;}CMwaNZm?6ul>2Nq5z>Fu74! z(Hm~<4W9w5Q)4xw%5IM2Br>XzUIjW+M-F?@n?N_`)4FPcptH&}-VW?F@NNg|lJ+!X z{(V{wn@L?$U|m~S!av<%kLtG@@SvE#%bt+*3~sF(I2Cd=qqlO-lJqucUeMxpKAIu? zD?J`pj%Zuy88x`tCAGndw-OKvCM;b%ywdYrnAxgMS3Jw=XJNFrh24)2Rij^sTaJl4W z>|O&mD~9}=fDDIlmmN~_F32;%t*&VJZvt;~FnmQ+gnJBu-4M{V1%oXUta574LjC;! ze2rbeOrXaI{~O?akpxuyCk_4|!LQZvg`ET{GyxI53jvLp0BgX^P!a}eeX+#Mz!@U} z$```xMaifP~Lm2BtjybTpqB zemcZq{8w#6KOHT=2nyg^qcH=}S4U&==NXv%A_J4Z%E06|8<_k(5;J`#19avg(n@yB zz>MIyff)f-UcKZequVhw!+!@=Oze}$WjzVpiY8{wyPPH`mgUz<7L4dXg7xo^B%T}> z4NzqyzzooWsLN~pnlU?ArgQkEP#-@yw>NDd)AlI`mIKWTu6Bv zFl!|aNih5_gU=E_Xka(wzmpJF^DiCXV?%%e&Ko!#0WJXVG7{L_FIq#C-)`W1$lnEg z+K_MV-&?*lY!K!mKpQYuB|1af4L%dtVc;^z?*z_q(d0p>`h<2zyS zDIccW7sVOiY6N&0n1izpaNgiE0NueTO?lW1>k{zoK$Itc(|{;hQxMqs=Hl7a~(&vw_LqYG5X?$H3%2 zW?=G<#$f1|4`f{N*7GPJF)by^uO=9+jvo}O{Ea?p;Fu916QnN=qto4v?l2~%JB*3x z4r5|^uK=s@pE+*g#93Zm>uetxSY<>&`JBPMBc$tz3a@IEo+Kv5bG2TWz7;Bcr`uF; zb}lck^+g{UpkIaJyR1P_&^F-XAZSbJ8xrNShUjmh<(c8G7~Dj&sK#HfH8P^{(b0_# z89IVo@NWY)N4M<;p9#`oL(9*B{7zu*$!OC{#|_P22>uhma{jLc=)s`{Y9R15uuhN( z(33;+>%e~n*tG5R=+OKd!G9};Psa|;{}%Y441uq`uI{XpvuLCy6mL7xuEcp9UG5-J9h=86SI)c|AP!GJ`;L`&{^JOo9tAvT^ z=Akj$Jk{uS#2AWwim!Uz!7%GjUeJ0Zs4s2X3ycVvU~LShLx>8aw7x3_?=mnmP{#I@ zm)E+0^ql!$ROt>H0TN&(ehQ3IM4EukAu0kFJ=?8kfj2sQCJ+bb56veoGB683ClbvE z4qNmhF$mIoL}Lz%kAqKd65Y-I&5&mTnBMdXpAv)VZKC6wf%pypbIqxA3gxHgi54h@ zz$M@vjsO$bnjYn|1oTMJ@=GCq7w|ko{&%4DAO- zi@`K-U2_8hpxciorcG_@seZfIaZ!!4EE#9zA;` z)Z;(hc_hIRpgWI=>CU4uBYe=n%n)6AO#Z6|CZBFSnoo>}T@pk8RF3G*V+zn+$Ha8c zF)_V!OicG26Yur)#?Qpyj}@l=s|>6d-8;aZ82rYV-hBGxmF)@8|OiZ7g z$oS7Hp;L|)U==s<`GmZ@)>C~b*%?Eg;ptqXN%$=?kOyF6;N;w*W`Re@3v$5MYVuB%=d-gaBKBvq8|k z;xU6yd3w=k`HPT$0=USKKV$GI|Mmoo|2n{+ewOtP1iFj>wK>rU8GtT0T7DwruLEYr zb%weOKIQ3}qvhv8enX7>j+}5*fC1>UqXX0;!2K}+dJI10_Zzqk@&|y&fX)n&f6CzB z3jQm=&p3Q${K0T;G(iTS6A*rIxvZTC@DOkhkdBaEL6Qyrzk**0{D#A4guY2pKI6+a z@Da$51HRjlCqI195O@s&KLvi!5nzNB@Grs-E>$A>8)WXf0P zMZ*`c|IwvM3orq?G?_SPV3sHWonQu;?}eg*iC0&}sX`Sg?0{FUJE1J(($K=hZ={I%d80bT_H!^{3}Pmc;P z03E4xfLjnC9oU?v)1ON7u|Z``2i6l5)=-_nXMC#+Ony@otMR`KiVHd}H7yRt;G@O_ z;=4$Y81@DER}+w+(Ih?K>r2lE=+~uaN@s!*?2P|(^fCoD8ki-Z1Lf|>ji8td_&9$}`y+XiL?bPO~33HiP8j+h#&yP-E9HpHjGs~X<#VCbJB58U^$_~&K&BSy?GcjG!OiULv6Vvq!I6D5r=PV*XB{?3G<5!Ig{<@D0 z78&861-}^BoWkug_^iL^D7EI<}Fk`I8Hxn0z|7NwyRIXbj#T(*&;? z_;qBEI9rupWnevB-sI)A-s+=-$BYD+0lLj8c@_SmfyuYvBWLnIGUERiXM;nQU$-%R z>~w(lkb%*_6(DHK)nxFQ0G;r({AZAF0UqzjlfT2@Ct-hSCvca+Pnczl|LG9uH>>xA zp%7RnzzFHZr}0F{mjmByM0m{L&jJ4_;AV$Uoyxu}8lLgxTxN{_6ex@lC^9hlI}FSS z=n9A*T&h>l{ZC`crxr#r<>~ro@@vBefdcdeGzA_rF!}TeH2M2u@I^z(s!#*OG|hYx z$_!0j8x3C%ewc*yhQKky)V4u@I04F{P3`f1+K?nzw}4M<@~fDNyu8*H@b#s2j4q3c zCTlD#eHJx=VNW^^iZn!cSP;2%1axZD@~ja$Et;6liYBI$qKV<0Xkh3+of5Tx%sl;$ zhrPk~yW`^j+X!3mqCZFDn5kC80kwKsU-5VnUxT%f7&kTq*!NbTP zu{jzZHVW|LJ_XadW4)P~~ixDs8KM7WUlxO+j;S)HZZ+ih7<|gpS(Wn8KbO^v+b2LsH3A%t2|zDa z2B7?U-2EK58AxX+b6%9s1nA~UY07^KcjJJ~_zM)D`manU{a>X#@B_G;4V(jlPGE(> zX9Dz#)$**ty#^+qez7M1l!3{of2^8+AVEfu4JTL~fCBW9)tLO<1}2|QvnD@bK@^iu zr&*J~Dh8)ghgg29$>R_u!PMg6hLZgld}3wGmC{uh{C@|ZSZTH5pN#1R+YR~WAx|7G zLh>$0i%%E=e}n+Bj_`e8Pg3t*LX6QOBP!Fe;C*7I4^Cgy0ky z0|K7g`CJZWl@sF!mr7v2(aq*SUSGPK(I;8aRFnEfYYDGa+J}7Cf|&7tzYzi3knYQ7 zgeMKW7!j7oWSCgVJ56NZYax#Zkv_ADE5b$&Tl>f%9j0{#m?1h!Ys?zjYJ?B<5uTpZ zrhI{c8DE$#)uzBE15<#m)tXOCmuiiffoBX%KHaKK{#gT)Pd96WAGW+jQ33^04ZHy* zI&Ep4Pzx12yY;CE60Ggu6BmJ?mFyX#Mjq{>Mly}~<@!I{Ah1MTV^s9C<=^ikg2{&b z55b=TJQ+c>p{h0bEYJ!A{~yS&1ok=da{a&F5cmTGHUJkn0?g1sgU<*L8~9_$9|5j2 z~~Rta$t=+u!k;1j?ui81~&015cP zrSSO}(|OY1PecU7EP__LR}Fpv_{1yF(KSCWH5z|0_{4PUaB2U)gND+z%Z#W$+OpB# zUQyttg9vT|#*b7wRw@1OHGd@-cLAGQHuT5W{08tJ2POgjCu;bmgT5BH0RsDgQ$f&t zI_ztH2>hpj%}pvg@N51p;J*TlpGbH*^lSbdVEhRf^VD!efKL5d;M)*z0eg)IyaxY1 z@CN~N>rZEpPX9Xm!{FxvXB+$~ga3W-7fC+GUmZcv5ZDia8-cew5l~0Y8+=wd&ko=R zm&-Z=`Afh-AjU`e=E^9a@~sAb3i55hdpQ2<4Dhr8ATF2n0t7t3j7al&`arV5e+B$p z;97&vlLwmrXYg+YX2Lo=k0NOPd*B}c4x171aDo>26axK#%?Nl}LG$C?n4$r%0zsE} zm%(Sa2MugP{yV^@;-d0(i;eX^1MsK=esJOF2?DeMp9In+;JF9M2Hyw%eBd7&d>(|* z{2cJl0VkrH>F_)gq4{~>U#Je_kc1HdPf2Kj`4D&(c%#ARi3!cW5`4Ue9w#WG3GncQ z=GTDV23%!?=Rpe1zYhE(VGxcR0vl_i0;?f#D{!^JKVk511pfu#9HUC#z9t%;HOeCy zI>B2Z{|WG)jrhW^E{_V_34z}O_c#JnzD+Bld`7t0!1qFa3-H@Uggn;)h|6Vt7yLG0 z-HRChyutsz8~y(R2t0$Yl_>ApXao$v!yk-}5gtT@Gl6x4%-~^zPx)g8CO@?<8lHTf z7{L!Nc2o4ft=B~f6yVVj$%g>(#p`?HJ*%SlC^GaY@Rg9$N_N)ZGXtCJqwe|S?Alh4y4noo?ccttQXba1HUQ~U%g{4`1+2~Z+E zS{)n~6*xgYFxV0Pv9{h3o-**ukSE?GSUXj{o1*2th@FuYN@$`~V3OA4NcyQ{}#n zDE~b8#QzN?*8J2Pqx?7f3@y#>% zjE|>`@Po@`-2(Y?;Nk55I>##v0S4ePBq@&oTM^(o;2aRN{3e4>c^*&F^1C6w1=!5+ z4ugLH{GGsf{v3_)pdr8r4;%P60vrL>V*yK;uqK)y<$2NxKe$}hZy=upTm+;uTxReo z&!bRGAD7E|69Fn?0&I>6z{65n{v71D#mFBw_)LJOskD3?I{dT1bs*>r`I=+Kf1bC} z0lWw>4migMP+{ zz$dPfe6_F%YQqD&(+MufX@ zTZMVC3K4I86S%^_{~Uw&#b8E_%P0R@YP02Z49+yvkMbjSxsfkHpYl>m=C72(s0>l@Y+J-&*NW11Iq|;2Yr_;dSJ91Lx)|3qk%* zf!nYFm+0_+4gC33r-0naKLx_f0yRHnfVY5CEj5>6i9Q8hG*J00lh2giLWXub5e{O? z&gDgi90@#ScC^H~zz@M

kUhD0v@)guO^$5eW6DBAvq);MtKXLWzN=4^l4;VFY&p zf7{?cPI)w8wUfX;;B&f!kpCqx^i2A@GW^THV^#RD#WsEigfEQ%7l0qiQsWQ;V!X?MmV|^35OPsMJdT$54&X~h zhVBLa(7+D^=Q&#J#mMv|@NGz7sT2NZz*~$AJqJA0z^?;i%<M%F$3%YzSfBF2=H;s^07ZtNsj?vLa*87$e#ed-;jS3c-aIsJ7j$4fcF(* zB-a`648d#+-A3c_z&Bz?kM80OFb#MgY9JdEV&eJ07mz{BC?u``9%v-E61d#Jt-$jW zvGw4D-w6Ck97b}kQ5fO;s-;;HQAQ)6@$lD35au*5d~MFXSVGvz++;8~D2h zKM8%he3*LS3B&tsySPnG6HFh9{`Uv;^NCJ`6%eR25?ld19W|s&*Z@3k zRMfPt10DpO(M|Ym^3g;X5@d}C;0`0c9{|6V40jR)V2%BZUmciX2)qP=-w##$R}{d# z^@zcL2lx&HUjkkRLz3qtI1;<3Wfl(L0<%|41a32$pcq&ms`euOC1DUY7!j@k=12~u zM+7eGX5gPvH9_q|(m_cZ`^>cJft<;NvbD^|ash0z9 z!071|AZ#^&@CPHnM&PTB1n&lZ)W8n|>&u6m{lNEQG}LzBIm)9K6oSVLy$ak9e2w1& zz7d8>^&*V_{|&+vBZ2O+Pp%>`~V_;Y|q8;0s?;E=&@0p5mQq-)?tU_9ZASki9* zU#{gj{@)G40T3Q@a{LJJ5hDXff%WCX%?rSPfM0u#(~bTd!~YEUe#21xE5;79TYBO5 zSnOmV%;9$|2;+2)fae15!vsRxfimEgnBg!TR_Su!XupoPnt-RHTk0m*0Q~4^wLwRD zoOQ8=!mzDy!ha9=)`9ZX>|q(F|dGS2?e%pdrd;K-nkI|2buv4#IpRf?{BgfvbUC7`;lI2v-5GH260I z>&u6mZv$_uSC0}{fcqHUkpCX=P#P|d{}iZ*>8C%3!2ReZMGpT3;C<+(rycwz@KcyG zY9;$C@HWWnCioQi72rHaelWcF1|>xKqkuig08bLj3`_x`#>im_@J{S$;(Y^>UkN?*Q>T}>5jSRXmXxwJtEa3Ub;4H+=8lM4dr-#)eKF)ZGL0Dr1 zxElEP^R%IGS&hK=82np+ADt1M@!SP`7nHWiNnkhdeTMvxfTtVySHS6El&H=L@LLd) z41vD_f8w(e8yx;;z+r=*0-v`Vp%Wm>5~Trq(C>9O9RoZRgVSV(KMA-V1=1Ou0UW-{ zh_Dm{efe-x1N<}sV3?N)TnqdVZ243NuLk~(5k3su0>h=1@H@aRj15``aGuH9hrvf@ z@aRa4|J`U~oxrmY_?t0=U7$Ur|l8|;S(O3=yRFyQxB zMOR8$z#E{Hd5%)&XQBTs!vv(l39t|XxkiL5fiD;t3IK0Gl~y_O8-U+JFVF?J4frWM zO3+HX4fq{c(rQN@2d=DF3`6(BFbMkc;pQn|7aU%BPc1zGY})Qqz&)0prpH@nfXh(; zJ>Fje9%d9EWfYVYTCWY)Sm4J;s?!{dKU@F;PwJ@&M}k!bjOA8Dsj7gd4~dpwIWSM= zY58X0ZYc3WC&QhlgqnXqKZ4!#K7Xw-o11H+1we`))N;qKN5ALIXc5SC$d)0VIV zSVRO|Rvr1ql&c#!-r#Qm?l!dkUx1%Lw?#|H3?2c-3OQ1vCxM4zh9z}~oGSbj_-R9ltkKvc#aK{isRUDjmmA?H0bgd|O5leaEXV(AL3nut zm%}Q88-N!Lj%H{BaPE9`Lx+DSaI{L#eR(hNAR~eA0gprmwbma3E=I4>z2sNGm#)Wz z2l^+g=s6JPqhHQ*5_l8XI`2Xys^R%8@Dd||FMwY`m21m4Vhrp+9bA%KssLkvXJej^ zo+9JFax6?UX3;qgo&x?%G^r2iOMwL-JPjq&{dxuP8B1$fQK4QwiWnU zWOuTYz;0lD`EaujcweSE@FxP78W>}{1-tL_$pXb&OMst31|v;M+!WQZM&QcX;b;}Mf^Yy@-sB{BJFvcd zxOo702NIytac%bq@XFEAvEv}{%z;r;{2XvU*nX{ar-1e4!_B+ETN+VCi2!Wl3n1KO zlxWa6Ja62cBt+1^ni6N5c(u;?}*MN8)GBC={cf@XU*! zSujIf|Afbv-#BL)zBI@$`T6)M=8!LEec`O!X@RzemadzpO`n>VH!Xzkyazz>*LSw9 zX>1gaJmI-UJocpL29fat&qJc5F>bI3@AD*!@_nBCp`l<4zIPbvYMYV2x?uf!e|HGq zdKC}v^GxX9*wKk^J&Ttv!xulhf9Ux)acrOG0r6>%XWpShKk&?VCA8JIw2Mnmc=n`q zhZ>sv_`r7~;*$5>p-u#S?kAqHV$x4Mj}8oW;|s=Z_?Dp6zOD_Nvp?~S6b~KnY&tad z$DTx2Qhg{C=eqqb% z4srVt&o>W^_&3k0IC1Y$PrB%b_Bix|qn_o-hX(zJXL;PAo1XP-b$NPyj``3R&v~Y} zCX{rC7S`h{^^y3O;_JG_6(!TF1D!3w=2CudwY072(9#p0W|w&G1<#Mg))ze)@t}); ze$kVkDL?8twJFfhy$0Xz?zEiS)zH7d&POK-?@OLkymxTiOP*2vxJQyBDqr$UimP^s zbuW3clDXilcEwp2hlz)Q`*T(V-mhNrjE}2M67TYNQ<4~b(ldU*2T5_(m`7aV@{^v? zVeb?1R^tNnA?JdHmDf%9tM5q&{WaeD#nX}{|7hOrK>D7H!hH!=5q2;2#qP^%C;ppq z>wlR*k3U*?b!p}!fcl=CikA|s3nfvCzUzsRD<0h6dg%m1s7uQo2IPI7L-)Sf-zvM3 zTiLwI6q5qK#(y1%tlC_x5ILE5t_0^7`vovJ(aZh3_?MSG= __HeapLimit), region RAM overflowed with stack) -LOAD ..\obj\hooks.o -LOAD ..\obj\led.o -LOAD ..\obj\lib\fatfs\mmc.o -LOAD ..\obj\lib\spl\src\misc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_adc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_can.o -LOAD ..\obj\lib\spl\src\stm32f4xx_crc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_des.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dac.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dcmi.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dma.o -LOAD ..\obj\lib\spl\src\stm32f4xx_exti.o -LOAD ..\obj\lib\spl\src\stm32f4xx_flash.o -LOAD ..\obj\lib\spl\src\stm32f4xx_fsmc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_gpio.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash_md5.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o -LOAD ..\obj\lib\spl\src\stm32f4xx_i2c.o -LOAD ..\obj\lib\spl\src\stm32f4xx_iwdg.o -LOAD ..\obj\lib\spl\src\stm32f4xx_pwr.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rcc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rng.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rtc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_sdio.o -LOAD ..\obj\lib\spl\src\stm32f4xx_spi.o -LOAD ..\obj\lib\spl\src\stm32f4xx_syscfg.o -LOAD ..\obj\lib\spl\src\stm32f4xx_tim.o -LOAD ..\obj\lib\spl\src\stm32f4xx_usart.o -LOAD ..\obj\lib\spl\src\stm32f4xx_wwdg.o -LOAD ..\obj\lib\system_stm32f4xx.o -LOAD ..\obj\lib\usbdevicelib\core\src\usbd_core.o -LOAD ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o -LOAD ..\obj\lib\usbdevicelib\core\src\usbd_req.o -LOAD ..\obj\lib\usbotgdriver\src\usb_core.o -LOAD ..\obj\lib\usbotgdriver\src\usb_dcd.o -LOAD ..\obj\lib\usbotgdriver\src\usb_dcd_int.o -LOAD ..\obj\main.o -LOAD ..\obj\startup_stm32f4xx.o -LOAD ..\obj\usb_bsp.o -LOAD ..\obj\usbd_bulk.o -LOAD ..\obj\usbd_desc.o -LOAD ..\obj\usbd_usr.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o -LOAD ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o -LOAD ..\obj\~#\~#\~#\source\assert.o -LOAD ..\obj\~#\~#\~#\source\backdoor.o -LOAD ..\obj\~#\~#\~#\source\boot.o -LOAD ..\obj\~#\~#\~#\source\com.o -LOAD ..\obj\~#\~#\~#\source\cop.o -LOAD ..\obj\~#\~#\~#\source\file.o -LOAD ..\obj\~#\~#\~#\source\net.o -LOAD ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o -LOAD ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o -LOAD ..\obj\~#\~#\~#\source\xcp.o -START GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu\libgcc.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libc_n.a -END GROUP -START GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu\libgcc.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libc_n.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a -END GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o -OUTPUT(..\bin\openblt_olimex_stm32p405.elf elf32-littlearm) - -.ARM.attributes - 0x00000000 0x30 - .ARM.attributes - 0x00000000 0x22 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - .ARM.attributes - 0x00000022 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .ARM.attributes - 0x00000056 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - .ARM.attributes - 0x00000076 0x39 ..\obj\hooks.o - .ARM.attributes - 0x000000af 0x39 ..\obj\led.o - .ARM.attributes - 0x000000e8 0x39 ..\obj\lib\fatfs\mmc.o - .ARM.attributes - 0x00000121 0x39 ..\obj\lib\spl\src\stm32f4xx_flash.o - .ARM.attributes - 0x0000015a 0x39 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .ARM.attributes - 0x00000193 0x39 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .ARM.attributes - 0x000001cc 0x39 ..\obj\lib\spl\src\stm32f4xx_spi.o - .ARM.attributes - 0x00000205 0x39 ..\obj\lib\spl\src\stm32f4xx_usart.o - .ARM.attributes - 0x0000023e 0x39 ..\obj\lib\system_stm32f4xx.o - .ARM.attributes - 0x00000277 0x39 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .ARM.attributes - 0x000002b0 0x39 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .ARM.attributes - 0x000002e9 0x39 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .ARM.attributes - 0x00000322 0x39 ..\obj\lib\usbotgdriver\src\usb_core.o - .ARM.attributes - 0x0000035b 0x39 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .ARM.attributes - 0x00000394 0x39 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .ARM.attributes - 0x000003cd 0x39 ..\obj\main.o - .ARM.attributes - 0x00000406 0x1f ..\obj\startup_stm32f4xx.o - .ARM.attributes - 0x00000425 0x39 ..\obj\usb_bsp.o - .ARM.attributes - 0x0000045e 0x39 ..\obj\usbd_bulk.o - .ARM.attributes - 0x00000497 0x39 ..\obj\usbd_desc.o - .ARM.attributes - 0x000004d0 0x39 ..\obj\usbd_usr.o - .ARM.attributes - 0x00000509 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .ARM.attributes - 0x00000542 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .ARM.attributes - 0x0000057b 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .ARM.attributes - 0x000005b4 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .ARM.attributes - 0x000005ed 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .ARM.attributes - 0x00000626 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .ARM.attributes - 0x0000065f 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .ARM.attributes - 0x00000698 0x39 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .ARM.attributes - 0x000006d1 0x39 ..\obj\~#\~#\~#\source\assert.o - .ARM.attributes - 0x0000070a 0x39 ..\obj\~#\~#\~#\source\backdoor.o - .ARM.attributes - 0x00000743 0x39 ..\obj\~#\~#\~#\source\boot.o - .ARM.attributes - 0x0000077c 0x39 ..\obj\~#\~#\~#\source\com.o - .ARM.attributes - 0x000007b5 0x39 ..\obj\~#\~#\~#\source\cop.o - .ARM.attributes - 0x000007ee 0x39 ..\obj\~#\~#\~#\source\file.o - .ARM.attributes - 0x00000827 0x39 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .ARM.attributes - 0x00000860 0x39 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .ARM.attributes - 0x00000899 0x39 ..\obj\~#\~#\~#\source\xcp.o - .ARM.attributes - 0x000008d2 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-ctype_.o) - .ARM.attributes - 0x00000906 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .ARM.attributes - 0x0000093a 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .ARM.attributes - 0x0000096e 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .ARM.attributes - 0x000009a2 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .ARM.attributes - 0x000009d6 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - .ARM.attributes - 0x00000a0a 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .ARM.attributes - 0x00000a3e 0x22 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - -.comment 0x00000000 0x6e - .comment 0x00000000 0x6e ..\obj\hooks.o - 0x6f (size before relaxing) - .comment 0x0000006e 0x6f ..\obj\led.o - .comment 0x0000006e 0x6f ..\obj\lib\fatfs\mmc.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_flash.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_gpio.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_rcc.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_spi.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_usart.o - .comment 0x0000006e 0x6f ..\obj\lib\system_stm32f4xx.o - .comment 0x0000006e 0x6f ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .comment 0x0000006e 0x6f ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .comment 0x0000006e 0x6f ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .comment 0x0000006e 0x6f ..\obj\lib\usbotgdriver\src\usb_core.o - .comment 0x0000006e 0x6f ..\obj\lib\usbotgdriver\src\usb_dcd.o - .comment 0x0000006e 0x6f ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .comment 0x0000006e 0x6f ..\obj\main.o - .comment 0x0000006e 0x6f ..\obj\usb_bsp.o - .comment 0x0000006e 0x6f ..\obj\usbd_bulk.o - .comment 0x0000006e 0x6f ..\obj\usbd_desc.o - .comment 0x0000006e 0x6f ..\obj\usbd_usr.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\assert.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\backdoor.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\boot.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\com.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\cop.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\file.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .comment 0x0000006e 0x6f ..\obj\~#\~#\~#\source\xcp.o - -.debug_info 0x00000000 0x19f22 - .debug_info 0x00000000 0xb6a ..\obj\hooks.o - .debug_info 0x00000b6a 0x2b6 ..\obj\led.o - .debug_info 0x00000e20 0x12e7 ..\obj\lib\fatfs\mmc.o - .debug_info 0x00002107 0x84c ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_info 0x00002953 0x7e4 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_info 0x00003137 0xcc9 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_info 0x00003e00 0xc64 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_info 0x00004a64 0xb9d ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_info 0x00005601 0x5ed ..\obj\lib\system_stm32f4xx.o - .debug_info 0x00005bee 0x12fb ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_info 0x00006ee9 0xdf2 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_info 0x00007cdb 0x1510 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_info 0x000091eb 0x2669 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_info 0x0000b854 0x1294 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_info 0x0000cae8 0x22b8 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_info 0x0000eda0 0x50f ..\obj\main.o - .debug_info 0x0000f2af 0x91 ..\obj\startup_stm32f4xx.o - .debug_info 0x0000f340 0xeb6 ..\obj\usb_bsp.o - .debug_info 0x000101f6 0xe45 ..\obj\usbd_bulk.o - .debug_info 0x0001103b 0x41a ..\obj\usbd_desc.o - .debug_info 0x00011455 0x23e ..\obj\usbd_usr.o - .debug_info 0x00011693 0x527 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_info 0x00011bba 0x1fc ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_info 0x00011db6 0x88c ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_info 0x00012642 0x99 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_info 0x000126db 0x267 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_info 0x00012942 0x18f ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_info 0x00012ad1 0x589 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_info 0x0001305a 0x12b4 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_info 0x0001430e 0xdb ..\obj\~#\~#\~#\source\assert.o - .debug_info 0x000143e9 0x151 ..\obj\~#\~#\~#\source\backdoor.o - .debug_info 0x0001453a 0x193 ..\obj\~#\~#\~#\source\boot.o - .debug_info 0x000146cd 0x397 ..\obj\~#\~#\~#\source\com.o - .debug_info 0x00014a64 0xcb ..\obj\~#\~#\~#\source\cop.o - .debug_info 0x00014b2f 0x127c ..\obj\~#\~#\~#\source\file.o - .debug_info 0x00015dab 0x37ec ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_info 0x00019597 0x1b3 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_info 0x0001974a 0x7d8 ..\obj\~#\~#\~#\source\xcp.o - -.debug_abbrev 0x00000000 0x3e63 - .debug_abbrev 0x00000000 0x28d ..\obj\hooks.o - .debug_abbrev 0x0000028d 0x125 ..\obj\led.o - .debug_abbrev 0x000003b2 0x26d ..\obj\lib\fatfs\mmc.o - .debug_abbrev 0x0000061f 0x1a1 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_abbrev 0x000007c0 0x1e5 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_abbrev 0x000009a5 0x210 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_abbrev 0x00000bb5 0x1b2 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_abbrev 0x00000d67 0x1be ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_abbrev 0x00000f25 0x159 ..\obj\lib\system_stm32f4xx.o - .debug_abbrev 0x0000107e 0x2ff ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_abbrev 0x0000137d 0x1e0 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_abbrev 0x0000155d 0x329 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_abbrev 0x00001886 0x37d ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_abbrev 0x00001c03 0x2a6 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_abbrev 0x00001ea9 0x2bc ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_abbrev 0x00002165 0x176 ..\obj\main.o - .debug_abbrev 0x000022db 0x14 ..\obj\startup_stm32f4xx.o - .debug_abbrev 0x000022ef 0x20c ..\obj\usb_bsp.o - .debug_abbrev 0x000024fb 0x259 ..\obj\usbd_bulk.o - .debug_abbrev 0x00002754 0x121 ..\obj\usbd_desc.o - .debug_abbrev 0x00002875 0x115 ..\obj\usbd_usr.o - .debug_abbrev 0x0000298a 0x1ee ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_abbrev 0x00002b78 0xc8 ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_abbrev 0x00002c40 0x1d1 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_abbrev 0x00002e11 0x45 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_abbrev 0x00002e56 0xca ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_abbrev 0x00002f20 0xf9 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_abbrev 0x00003019 0x1bf ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_abbrev 0x000031d8 0x2c3 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_abbrev 0x0000349b 0x8b ..\obj\~#\~#\~#\source\assert.o - .debug_abbrev 0x00003526 0x7f ..\obj\~#\~#\~#\source\backdoor.o - .debug_abbrev 0x000035a5 0x63 ..\obj\~#\~#\~#\source\boot.o - .debug_abbrev 0x00003608 0x13b ..\obj\~#\~#\~#\source\com.o - .debug_abbrev 0x00003743 0x63 ..\obj\~#\~#\~#\source\cop.o - .debug_abbrev 0x000037a6 0x20d ..\obj\~#\~#\~#\source\file.o - .debug_abbrev 0x000039b3 0x22f ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_abbrev 0x00003be2 0xc3 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_abbrev 0x00003ca5 0x1be ..\obj\~#\~#\~#\source\xcp.o - -.debug_loc 0x00000000 0xc5be - .debug_loc 0x00000000 0x73 ..\obj\hooks.o - .debug_loc 0x00000073 0xfe2 ..\obj\lib\fatfs\mmc.o - .debug_loc 0x00001055 0x5e2 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_loc 0x00001637 0x333 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_loc 0x0000196a 0x9f0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_loc 0x0000235a 0x6ba ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_loc 0x00002a14 0x745 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_loc 0x00003159 0x132 ..\obj\lib\system_stm32f4xx.o - .debug_loc 0x0000328b 0x444 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_loc 0x000036cf 0x230 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_loc 0x000038ff 0xb80 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_loc 0x0000447f 0xfd5 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_loc 0x00005454 0x6df ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_loc 0x00005b33 0x7b3 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_loc 0x000062e6 0xaa ..\obj\usb_bsp.o - .debug_loc 0x00006390 0x2a6 ..\obj\usbd_bulk.o - .debug_loc 0x00006636 0x1c3 ..\obj\usbd_desc.o - .debug_loc 0x000067f9 0x18e ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_loc 0x00006987 0xdb ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_loc 0x00006a62 0x5dd ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_loc 0x0000703f 0xa5 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_loc 0x000070e4 0x134 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_loc 0x00007218 0x3aa ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_loc 0x000075c2 0x42 ..\obj\~#\~#\~#\source\assert.o - .debug_loc 0x00007604 0xa5 ..\obj\~#\~#\~#\source\com.o - .debug_loc 0x000076a9 0x9e4 ..\obj\~#\~#\~#\source\file.o - .debug_loc 0x0000808d 0x3ebb ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_loc 0x0000bf48 0x24e ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_loc 0x0000c196 0x428 ..\obj\~#\~#\~#\source\xcp.o - -.debug_aranges 0x00000000 0x1240 - .debug_aranges - 0x00000000 0x78 ..\obj\hooks.o - .debug_aranges - 0x00000078 0x30 ..\obj\led.o - .debug_aranges - 0x000000a8 0xa0 ..\obj\lib\fatfs\mmc.o - .debug_aranges - 0x00000148 0x118 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_aranges - 0x00000260 0x88 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_aranges - 0x000002e8 0x180 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_aranges - 0x00000468 0xe0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_aranges - 0x00000548 0x100 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_aranges - 0x00000648 0x30 ..\obj\lib\system_stm32f4xx.o - .debug_aranges - 0x00000678 0x98 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_aranges - 0x00000710 0x50 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_aranges - 0x00000760 0x88 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_aranges - 0x000007e8 0x138 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_aranges - 0x00000920 0x80 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_aranges - 0x000009a0 0x90 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_aranges - 0x00000a30 0x28 ..\obj\main.o - .debug_aranges - 0x00000a58 0x20 ..\obj\startup_stm32f4xx.o - .debug_aranges - 0x00000a78 0x38 ..\obj\usb_bsp.o - .debug_aranges - 0x00000ab0 0x58 ..\obj\usbd_bulk.o - .debug_aranges - 0x00000b08 0x50 ..\obj\usbd_desc.o - .debug_aranges - 0x00000b58 0x50 ..\obj\usbd_usr.o - .debug_aranges - 0x00000ba8 0x38 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_aranges - 0x00000be0 0x30 ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_aranges - 0x00000c10 0x88 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_aranges - 0x00000c98 0x28 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_aranges - 0x00000cc0 0x50 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_aranges - 0x00000d10 0x38 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_aranges - 0x00000d48 0x40 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_aranges - 0x00000d88 0x80 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_aranges - 0x00000e08 0x20 ..\obj\~#\~#\~#\source\assert.o - .debug_aranges - 0x00000e28 0x28 ..\obj\~#\~#\~#\source\backdoor.o - .debug_aranges - 0x00000e50 0x28 ..\obj\~#\~#\~#\source\boot.o - .debug_aranges - 0x00000e78 0x50 ..\obj\~#\~#\~#\source\com.o - .debug_aranges - 0x00000ec8 0x28 ..\obj\~#\~#\~#\source\cop.o - .debug_aranges - 0x00000ef0 0x70 ..\obj\~#\~#\~#\source\file.o - .debug_aranges - 0x00000f60 0x1e8 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_aranges - 0x00001148 0x28 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_aranges - 0x00001170 0xd0 ..\obj\~#\~#\~#\source\xcp.o - -.debug_ranges 0x00000000 0xfd0 - .debug_ranges 0x00000000 0x68 ..\obj\hooks.o - .debug_ranges 0x00000068 0x20 ..\obj\led.o - .debug_ranges 0x00000088 0x90 ..\obj\lib\fatfs\mmc.o - .debug_ranges 0x00000118 0x108 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_ranges 0x00000220 0x78 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_ranges 0x00000298 0x170 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_ranges 0x00000408 0xd0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_ranges 0x000004d8 0xf0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_ranges 0x000005c8 0x20 ..\obj\lib\system_stm32f4xx.o - .debug_ranges 0x000005e8 0x88 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_ranges 0x00000670 0x40 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_ranges 0x000006b0 0x78 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_ranges 0x00000728 0x128 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_ranges 0x00000850 0x70 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_ranges 0x000008c0 0x80 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_ranges 0x00000940 0x18 ..\obj\main.o - .debug_ranges 0x00000958 0x28 ..\obj\usb_bsp.o - .debug_ranges 0x00000980 0x48 ..\obj\usbd_bulk.o - .debug_ranges 0x000009c8 0x40 ..\obj\usbd_desc.o - .debug_ranges 0x00000a08 0x40 ..\obj\usbd_usr.o - .debug_ranges 0x00000a48 0x28 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_ranges 0x00000a70 0x20 ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_ranges 0x00000a90 0x78 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_ranges 0x00000b08 0x18 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_ranges 0x00000b20 0x40 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_ranges 0x00000b60 0x28 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_ranges 0x00000b88 0x30 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_ranges 0x00000bb8 0x70 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_ranges 0x00000c28 0x10 ..\obj\~#\~#\~#\source\assert.o - .debug_ranges 0x00000c38 0x18 ..\obj\~#\~#\~#\source\backdoor.o - .debug_ranges 0x00000c50 0x18 ..\obj\~#\~#\~#\source\boot.o - .debug_ranges 0x00000c68 0x40 ..\obj\~#\~#\~#\source\com.o - .debug_ranges 0x00000ca8 0x18 ..\obj\~#\~#\~#\source\cop.o - .debug_ranges 0x00000cc0 0x60 ..\obj\~#\~#\~#\source\file.o - .debug_ranges 0x00000d20 0x1d8 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_ranges 0x00000ef8 0x18 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_ranges 0x00000f10 0xc0 ..\obj\~#\~#\~#\source\xcp.o - -.debug_macro 0x00000000 0x142f9 - .debug_macro 0x00000000 0x267 ..\obj\hooks.o - .debug_macro 0x00000267 0x8b6 ..\obj\hooks.o - .debug_macro 0x00000b1d 0x1c ..\obj\hooks.o - .debug_macro 0x00000b39 0x1c ..\obj\hooks.o - .debug_macro 0x00000b55 0x22 ..\obj\hooks.o - .debug_macro 0x00000b77 0xd7 ..\obj\hooks.o - .debug_macro 0x00000c4e 0x24 ..\obj\hooks.o - .debug_macro 0x00000c72 0x1c ..\obj\hooks.o - .debug_macro 0x00000c8e 0xe9 ..\obj\hooks.o - .debug_macro 0x00000d77 0xe0 ..\obj\hooks.o - .debug_macro 0x00000e57 0x40 ..\obj\hooks.o - .debug_macro 0x00000e97 0x9f ..\obj\hooks.o - .debug_macro 0x00000f36 0x40 ..\obj\hooks.o - .debug_macro 0x00000f76 0x57 ..\obj\hooks.o - .debug_macro 0x00000fcd 0x3b ..\obj\hooks.o - .debug_macro 0x00001008 0x22 ..\obj\hooks.o - .debug_macro 0x0000102a 0x87 ..\obj\hooks.o - .debug_macro 0x000010b1 0x44 ..\obj\hooks.o - .debug_macro 0x000010f5 0xfd ..\obj\hooks.o - .debug_macro 0x000011f2 0x5e ..\obj\hooks.o - .debug_macro 0x00001250 0x1df ..\obj\hooks.o - .debug_macro 0x0000142f 0x18 ..\obj\hooks.o - .debug_macro 0x00001447 0x42 ..\obj\hooks.o - .debug_macro 0x00001489 0xd39 ..\obj\hooks.o - .debug_macro 0x000021c2 0x822c ..\obj\hooks.o - .debug_macro 0x0000a3ee 0x44f ..\obj\hooks.o - .debug_macro 0x0000a83d 0x3cf ..\obj\hooks.o - .debug_macro 0x0000ac0c 0x144 ..\obj\hooks.o - .debug_macro 0x0000ad50 0x188 ..\obj\hooks.o - .debug_macro 0x0000aed8 0xb2 ..\obj\hooks.o - .debug_macro 0x0000af8a 0x149 ..\obj\hooks.o - .debug_macro 0x0000b0d3 0x477 ..\obj\hooks.o - .debug_macro 0x0000b54a 0xae ..\obj\hooks.o - .debug_macro 0x0000b5f8 0x2f7 ..\obj\hooks.o - .debug_macro 0x0000b8ef 0x279 ..\obj\hooks.o - .debug_macro 0x0000bb68 0xc3 ..\obj\hooks.o - .debug_macro 0x0000bc2b 0x288 ..\obj\hooks.o - .debug_macro 0x0000beb3 0x2bb ..\obj\hooks.o - .debug_macro 0x0000c16e 0x64 ..\obj\hooks.o - .debug_macro 0x0000c1d2 0xae ..\obj\hooks.o - .debug_macro 0x0000c280 0x57c ..\obj\hooks.o - .debug_macro 0x0000c7fc 0x40 ..\obj\hooks.o - .debug_macro 0x0000c83c 0x57b ..\obj\hooks.o - .debug_macro 0x0000cdb7 0x342 ..\obj\hooks.o - .debug_macro 0x0000d0f9 0x36c ..\obj\hooks.o - .debug_macro 0x0000d465 0xe2 ..\obj\hooks.o - .debug_macro 0x0000d547 0x73b ..\obj\hooks.o - .debug_macro 0x0000dc82 0x223 ..\obj\hooks.o - .debug_macro 0x0000dea5 0x34 ..\obj\hooks.o - .debug_macro 0x0000ded9 0x82 ..\obj\hooks.o - .debug_macro 0x0000df5b 0x35 ..\obj\hooks.o - .debug_macro 0x0000df90 0x267 ..\obj\led.o - .debug_macro 0x0000e1f7 0x30e ..\obj\lib\fatfs\mmc.o - .debug_macro 0x0000e505 0x8e ..\obj\lib\fatfs\mmc.o - .debug_macro 0x0000e593 0x1c6 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x0000e759 0x2f1 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x0000ea4a 0x1c0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x0000ec0a 0x282 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x0000ee8c 0x27c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x0000f108 0x576 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x0000f67e 0x1eb ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x0000f869 0x366 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x0000fbcf 0x1d8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x0000fda7 0x21d ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x0000ffc4 0x1d9 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x0001019d 0x256 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x000103f3 0x50 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x00010443 0x76 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x000104b9 0x20f ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x000106c8 0x64 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x0001072c 0x3a ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x00010766 0x2e ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x00010794 0x11e ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x000108b2 0x10 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_macro 0x000108c2 0x22b ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_macro 0x00010aed 0x248 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_macro 0x00010d35 0x2e ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_macro 0x00010d63 0x1f4 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_macro 0x00010f57 0x1ff ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_macro 0x00011156 0x204 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_macro 0x0001135a 0x25d ..\obj\main.o - .debug_macro 0x000115b7 0x20a ..\obj\usb_bsp.o - .debug_macro 0x000117c1 0x257 ..\obj\usbd_bulk.o - .debug_macro 0x00011a18 0x46 ..\obj\usbd_bulk.o - .debug_macro 0x00011a5e 0x27a ..\obj\usbd_desc.o - .debug_macro 0x00011cd8 0x2e6 ..\obj\usbd_usr.o - .debug_macro 0x00011fbe 0x107 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_macro 0x000120c5 0xca ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_macro 0x0001218f 0x284 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_macro 0x00012413 0xb8 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_macro 0x000124cb 0xc2 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_macro 0x0001258d 0x25d ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_macro 0x000127ea 0x26d ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_macro 0x00012a57 0x335 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_macro 0x00012d8c 0xb8 ..\obj\~#\~#\~#\source\assert.o - .debug_macro 0x00012e44 0xb8 ..\obj\~#\~#\~#\source\backdoor.o - .debug_macro 0x00012efc 0xb8 ..\obj\~#\~#\~#\source\boot.o - .debug_macro 0x00012fb4 0xd6 ..\obj\~#\~#\~#\source\com.o - .debug_macro 0x0001308a 0xb8 ..\obj\~#\~#\~#\source\cop.o - .debug_macro 0x00013142 0x190 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000132d2 0x4c ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x0001331e 0x8d ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000133ab 0x35 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000133e0 0x9c ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x0001347c 0x174 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000135f0 0x4a ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x0001363a 0x52 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x0001368c 0x1f ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000136ab 0x43 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x000136ee 0x20 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x0001370e 0x187 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x00013895 0x30d ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x00013ba2 0x10 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x00013bb2 0x35 ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x00013be7 0xac ..\obj\~#\~#\~#\source\file.o - .debug_macro 0x00013c93 0x3bf ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_macro 0x00014052 0x94 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_macro 0x000140e6 0x58 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_macro 0x0001413e 0x3d ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_macro 0x0001417b 0x17e ..\obj\~#\~#\~#\source\xcp.o - -.debug_line 0x00000000 0xcccf - .debug_line 0x00000000 0x6a2 ..\obj\hooks.o - .debug_line 0x000006a2 0x5d2 ..\obj\led.o - .debug_line 0x00000c74 0x923 ..\obj\lib\fatfs\mmc.o - .debug_line 0x00001597 0x711 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_line 0x00001ca8 0x5c1 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_line 0x00002269 0x806 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_line 0x00002a6f 0x6c4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_line 0x00003133 0x701 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_line 0x00003834 0x4fe ..\obj\lib\system_stm32f4xx.o - .debug_line 0x00003d32 0x6fd ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_line 0x0000442f 0x5d5 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_line 0x00004a04 0x753 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_line 0x00005157 0x9b0 ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_line 0x00005b07 0x68b ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_line 0x00006192 0x716 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_line 0x000068a8 0x5e1 ..\obj\main.o - .debug_line 0x00006e89 0xd2 ..\obj\startup_stm32f4xx.o - .debug_line 0x00006f5b 0x564 ..\obj\usb_bsp.o - .debug_line 0x000074bf 0x608 ..\obj\usbd_bulk.o - .debug_line 0x00007ac7 0x5d2 ..\obj\usbd_desc.o - .debug_line 0x00008099 0x6da ..\obj\usbd_usr.o - .debug_line 0x00008773 0x212 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_line 0x00008985 0x18e ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_line 0x00008b13 0x783 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_line 0x00009296 0x199 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_line 0x0000942f 0x1df ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_line 0x0000960e 0x5d8 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_line 0x00009be6 0x63f ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_line 0x0000a225 0x85a ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_line 0x0000aa7f 0x165 ..\obj\~#\~#\~#\source\assert.o - .debug_line 0x0000abe4 0x181 ..\obj\~#\~#\~#\source\backdoor.o - .debug_line 0x0000ad65 0x17a ..\obj\~#\~#\~#\source\boot.o - .debug_line 0x0000aedf 0x20d ..\obj\~#\~#\~#\source\com.o - .debug_line 0x0000b0ec 0x16e ..\obj\~#\~#\~#\source\cop.o - .debug_line 0x0000b25a 0x5ec ..\obj\~#\~#\~#\source\file.o - .debug_line 0x0000b846 0xff4 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_line 0x0000c83a 0x127 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_line 0x0000c961 0x36e ..\obj\~#\~#\~#\source\xcp.o - -.debug_str 0x00000000 0x64a44 - .debug_str 0x00000000 0x5a3ba ..\obj\hooks.o - 0x5a5a7 (size before relaxing) - .debug_str 0x0005a3ba 0x48 ..\obj\led.o - 0x59fae (size before relaxing) - .debug_str 0x0005a402 0x571 ..\obj\lib\fatfs\mmc.o - 0x5a6e4 (size before relaxing) - .debug_str 0x0005a973 0x413 ..\obj\lib\spl\src\stm32f4xx_flash.o - 0x59362 (size before relaxing) - .debug_str 0x0005ad86 0x131 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x5923b (size before relaxing) - .debug_str 0x0005aeb7 0xb51 ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x59b5b (size before relaxing) - .debug_str 0x0005ba08 0x2e8 ..\obj\lib\spl\src\stm32f4xx_spi.o - 0x59513 (size before relaxing) - .debug_str 0x0005bcf0 0x4f4 ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x59574 (size before relaxing) - .debug_str 0x0005c1e4 0x107 ..\obj\lib\system_stm32f4xx.o - 0x59167 (size before relaxing) - .debug_str 0x0005c2eb 0x1d7f ..\obj\lib\usbdevicelib\core\src\usbd_core.o - 0x5ae2d (size before relaxing) - .debug_str 0x0005e06a 0x92 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - 0x5aa46 (size before relaxing) - .debug_str 0x0005e0fc 0x227 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - 0x5ace1 (size before relaxing) - .debug_str 0x0005e323 0xb1f ..\obj\lib\usbotgdriver\src\usb_core.o - 0x5b042 (size before relaxing) - .debug_str 0x0005ee42 0x94 ..\obj\lib\usbotgdriver\src\usb_dcd.o - 0x5a6f6 (size before relaxing) - .debug_str 0x0005eed6 0x340 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - 0x5b028 (size before relaxing) - .debug_str 0x0005f216 0x1c ..\obj\main.o - 0x5a0fb (size before relaxing) - .debug_str 0x0005f232 0x4c ..\obj\usb_bsp.o - 0x5a5ef (size before relaxing) - .debug_str 0x0005f27e 0x1f3 ..\obj\usbd_bulk.o - 0x5ac9d (size before relaxing) - .debug_str 0x0005f471 0x233 ..\obj\usbd_desc.o - 0x5a5b7 (size before relaxing) - .debug_str 0x0005f6a4 0xce ..\obj\usbd_usr.o - 0x5b2f8 (size before relaxing) - .debug_str 0x0005f772 0x368 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - 0x39b6 (size before relaxing) - .debug_str 0x0005fada 0x19b ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - 0x37bb (size before relaxing) - .debug_str 0x0005fc75 0x2b0 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - 0x5a2d9 (size before relaxing) - .debug_str 0x0005ff25 0x31 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - 0x3624 (size before relaxing) - .debug_str 0x0005ff56 0x54 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - 0x370b (size before relaxing) - .debug_str 0x0005ffaa 0x71 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - 0x59f42 (size before relaxing) - .debug_str 0x0006001b 0x115 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - 0x5a168 (size before relaxing) - .debug_str 0x00060130 0x203 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - 0x5bf95 (size before relaxing) - .debug_str 0x00060333 0x1c ..\obj\~#\~#\~#\source\assert.o - 0x362b (size before relaxing) - .debug_str 0x0006034f 0x91 ..\obj\~#\~#\~#\source\backdoor.o - 0x369a (size before relaxing) - .debug_str 0x000603e0 0x44 ..\obj\~#\~#\~#\source\boot.o - 0x367a (size before relaxing) - .debug_str 0x00060424 0x10a ..\obj\~#\~#\~#\source\com.o - 0x37dd (size before relaxing) - .debug_str 0x0006052e 0x19 ..\obj\~#\~#\~#\source\cop.o - 0x361f (size before relaxing) - .debug_str 0x00060547 0x3083 ..\obj\~#\~#\~#\source\file.o - 0x6dda (size before relaxing) - .debug_str 0x000635ca 0xf16 ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - 0x3e60 (size before relaxing) - .debug_str 0x000644e0 0x4e ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - 0x2ae1 (size before relaxing) - .debug_str 0x0006452e 0x516 ..\obj\~#\~#\~#\source\xcp.o - 0x3c1f (size before relaxing) - -.debug_frame 0x00000000 0x2e08 - .debug_frame 0x00000000 0x124 ..\obj\hooks.o - .debug_frame 0x00000124 0x50 ..\obj\led.o - .debug_frame 0x00000174 0x234 ..\obj\lib\fatfs\mmc.o - .debug_frame 0x000003a8 0x298 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_frame 0x00000640 0x11c ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_frame 0x0000075c 0x2f8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_frame 0x00000a54 0x1e4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_frame 0x00000c38 0x21c ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_frame 0x00000e54 0x50 ..\obj\lib\system_stm32f4xx.o - .debug_frame 0x00000ea4 0x190 ..\obj\lib\usbdevicelib\core\src\usbd_core.o - .debug_frame 0x00001034 0xb0 ..\obj\lib\usbdevicelib\core\src\usbd_ioreq.o - .debug_frame 0x000010e4 0x170 ..\obj\lib\usbdevicelib\core\src\usbd_req.o - .debug_frame 0x00001254 0x33c ..\obj\lib\usbotgdriver\src\usb_core.o - .debug_frame 0x00001590 0x150 ..\obj\lib\usbotgdriver\src\usb_dcd.o - .debug_frame 0x000016e0 0x1c4 ..\obj\lib\usbotgdriver\src\usb_dcd_int.o - .debug_frame 0x000018a4 0x50 ..\obj\main.o - .debug_frame 0x000018f4 0x6c ..\obj\usb_bsp.o - .debug_frame 0x00001960 0xc0 ..\obj\usbd_bulk.o - .debug_frame 0x00001a20 0xa8 ..\obj\usbd_desc.o - .debug_frame 0x00001ac8 0xa0 ..\obj\usbd_usr.o - .debug_frame 0x00001b68 0x78 ..\obj\~#\~#\~#\source\armcm4_stm32f4\can.o - .debug_frame 0x00001be0 0x5c ..\obj\~#\~#\~#\source\armcm4_stm32f4\cpu.o - .debug_frame 0x00001c3c 0x184 ..\obj\~#\~#\~#\source\armcm4_stm32f4\flash.o - .debug_frame 0x00001dc0 0x30 ..\obj\~#\~#\~#\source\armcm4_stm32f4\gcc\cpu_comp.o - .debug_frame 0x00001df0 0xb8 ..\obj\~#\~#\~#\source\armcm4_stm32f4\nvm.o - .debug_frame 0x00001ea8 0x60 ..\obj\~#\~#\~#\source\armcm4_stm32f4\timer.o - .debug_frame 0x00001f08 0x98 ..\obj\~#\~#\~#\source\armcm4_stm32f4\uart.o - .debug_frame 0x00001fa0 0x170 ..\obj\~#\~#\~#\source\armcm4_stm32f4\usb.o - .debug_frame 0x00002110 0x28 ..\obj\~#\~#\~#\source\assert.o - .debug_frame 0x00002138 0x40 ..\obj\~#\~#\~#\source\backdoor.o - .debug_frame 0x00002178 0x40 ..\obj\~#\~#\~#\source\boot.o - .debug_frame 0x000021b8 0xac ..\obj\~#\~#\~#\source\com.o - .debug_frame 0x00002264 0x40 ..\obj\~#\~#\~#\source\cop.o - .debug_frame 0x000022a4 0x11c ..\obj\~#\~#\~#\source\file.o - .debug_frame 0x000023c0 0x75c ..\obj\~#\~#\~#\source\third_party\fatfs\src\ff.o - .debug_frame 0x00002b1c 0x44 ..\obj\~#\~#\~#\source\third_party\fatfs\src\option\unicode.o - .debug_frame 0x00002b60 0x214 ..\obj\~#\~#\~#\source\xcp.o - .debug_frame 0x00002d74 0x28 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .debug_frame 0x00002d9c 0x2c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .debug_frame 0x00002dc8 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .debug_frame 0x00002de8 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) + +bin/openblt_olimex_stm32p405.elf: file format elf32-littlearm +bin/openblt_olimex_stm32p405.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x08000000 + +Program Header: +0x70000001 off 0x00017380 vaddr 0x08007380 paddr 0x08007380 align 2**2 + filesz 0x00000008 memsz 0x00000008 flags r-- + LOAD off 0x00010000 vaddr 0x08000000 paddr 0x08000000 align 2**16 + filesz 0x00007388 memsz 0x00007388 flags r-x + LOAD off 0x00020000 vaddr 0x20000000 paddr 0x08007388 align 2**16 + filesz 0x000002b8 memsz 0x00001ab8 flags rw- +private flags = 5000400: [Version5 EABI] [hard-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00007380 08000000 08000000 00010000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .ARM.exidx 00000008 08007380 08007380 00017380 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 2 .data 000002b8 20000000 08007388 00020000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 3 .bss 00001800 200002b8 08007640 000202b8 2**2 + ALLOC + 4 .stack_dummy 00000800 20001ab8 20001ab8 000202b8 2**3 + CONTENTS, READONLY + 5 .ARM.attributes 00000030 00000000 00000000 00020ab8 2**0 + CONTENTS, READONLY + 6 .comment 0000006e 00000000 00000000 00020ae8 2**0 + CONTENTS, READONLY + 7 .debug_line 0000c4d3 00000000 00000000 00020b56 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_info 0001a00b 00000000 00000000 0002d029 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_abbrev 00003ee8 00000000 00000000 00047034 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_aranges 00001268 00000000 00000000 0004af20 2**3 + CONTENTS, READONLY, DEBUGGING + 11 .debug_ranges 00000fe8 00000000 00000000 0004c188 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_macro 0001464f 00000000 00000000 0004d170 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_str 00064e76 00000000 00000000 000617bf 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_frame 00002f40 00000000 00000000 000c6638 2**2 + CONTENTS, READONLY, DEBUGGING + 15 .debug_loc 0000c3d0 00000000 00000000 000c9578 2**0 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +08000000 l d .text 00000000 .text +08007380 l d .ARM.exidx 00000000 .ARM.exidx +20000000 l d .data 00000000 .data +200002b8 l d .bss 00000000 .bss +20001ab8 l d .stack_dummy 00000000 .stack_dummy +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l df *ABS* 00000000 obj/startup_stm32f4xx.o +00000800 l *ABS* 00000000 Stack_Size +00000000 l *ABS* 00000000 Heap_Size +08000262 l .text 00000000 .flash_to_ram_loop +0800029c l .text 00000000 .fill_zero_bss +08000298 l .text 00000000 .loop_zero_bss +00000000 l df *ABS* 00000000 crtstuff.c +0800737c l O .text 00000000 __EH_FRAME_BEGIN__ +08000188 l F .text 00000000 __do_global_dtors_aux +200002b8 l .bss 00000000 completed.8605 +200002b4 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +080001ac l F .text 00000000 frame_dummy +200002bc l .bss 00000000 object.8610 +200002b0 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o +00000000 l df *ABS* 00000000 usbd_usr.c +00000000 l df *ABS* 00000000 usb_bsp.c +00000000 l df *ABS* 00000000 usbd_bulk.c +0800046c l F .text 0000000c USBD_bulk_GetCfgDesc +08000478 l F .text 00000012 usbd_bulk_SOF +0800048c l F .text 0000000a usbd_bulk_DataIn +08000498 l F .text 0000000c usbd_bulk_DataOut +080004a4 l F .text 00000060 usbd_bulk_Setup +08000504 l F .text 00000016 usbd_bulk_DeInit +0800051c l F .text 00000030 usbd_bulk_Init +200002d4 l O .bss 00000004 usbd_bulk_AltSet +00000000 l df *ABS* 00000000 main.c +08000554 l F .text 0000010c Init +00000000 l df *ABS* 00000000 hooks.c +08006a84 l O .text 00000020 firmwareFilename +200002d8 l O .bss 00000001 initialized.7905 +200002dc l O .bss 0000022c logfile +00000000 l df *ABS* 00000000 led.c +20000508 l O .bss 00000002 ledBlinkIntervalMs +2000050c l O .bss 00000004 nextBlinkEvent.7900 +20000510 l O .bss 00000001 ledOn.7899 +00000000 l df *ABS* 00000000 usbd_desc.c +00000000 l df *ABS* 00000000 mmc.c +08000944 l F .text 00000010 power_off +08000954 l F .text 00000060 set_max_speed +080009b4 l F .text 00000094 send_initial_clock_train +08000a48 l F .text 000000e0 power_on +08000b28 l F .text 00000024 xchg_spi +08000b4c l F .text 00000028 wait_ready +08000b74 l F .text 00000018 deselect +08000b8c l F .text 0000002c select +08000bb8 l F .text 00000082 send_cmd +08000c3c l F .text 0000000e rcvr_spi_m +08000c4c l F .text 0000004e rcvr_datablock +08000c9c l F .text 0000005a xmit_datablock +20000514 l O .bss 00000004 CardType +2000009a l O .data 00000001 Stat +00000000 l df *ABS* 00000000 usb_dcd_int.c +08001214 l F .text 0000001c DCD_SessionRequest_ISR +08001230 l F .text 00000024 DCD_OTG_ISR +08001254 l F .text 00000040 DCD_HandleResume_ISR +08001294 l F .text 00000074 DCD_HandleUSBSuspend_ISR +08001308 l F .text 0000001c DCD_HandleSof_ISR +08001324 l F .text 0000001c DCD_IsoINIncomplete_ISR +08001340 l F .text 0000001c DCD_IsoOUTIncomplete_ISR +0800135c l F .text 00000022 DCD_ReadDevInEP +08001380 l F .text 000000c8 DCD_HandleOutEP_ISR +08001448 l F .text 000000ac DCD_WriteEmptyTxFifo +080014f4 l F .text 000000dc DCD_HandleInEP_ISR +080015d0 l F .text 0000008e DCD_HandleRxStatusQueueLevel_ISR +08001660 l F .text 00000098 DCD_HandleUsbReset_ISR +080016f8 l F .text 00000046 DCD_HandleEnumDone_ISR +00000000 l df *ABS* 00000000 usb_core.c +08001830 l F .text 0000001c USB_OTG_EnableCommonInt +0800184c l F .text 00000058 USB_OTG_CoreReset +00000000 l df *ABS* 00000000 usb_dcd.c +00000000 l df *ABS* 00000000 _exit.c +00000000 l df *ABS* 00000000 stm32f4xx_gpio.c +00000000 l df *ABS* 00000000 stm32f4xx_rcc.c +2000009c l O .data 00000010 APBAHBPrescTable +00000000 l df *ABS* 00000000 stm32f4xx_flash.c +00000000 l df *ABS* 00000000 stm32f4xx_usart.c +00000000 l df *ABS* 00000000 stm32f4xx_spi.c +00000000 l df *ABS* 00000000 system_stm32f4xx.c +080028a4 l F .text 000000c4 SetSysClock +00000000 l df *ABS* 00000000 usbd_req.c +080029b8 l F .text 00000014 USBD_GetLen +080029cc l F .text 0000007c USBD_SetFeature +08002aa8 l F .text 0000010a USBD_GetDescriptor +08002bb4 l F .text 0000004a USBD_SetAddress +08002c00 l F .text 00000090 USBD_SetConfig +08002c90 l F .text 0000003c USBD_GetConfig +08002ccc l F .text 00000034 USBD_GetStatus +08002d00 l F .text 00000030 USBD_ClrFeature +2000051c l O .bss 00000001 cfgidx.8733 +00000000 l df *ABS* 00000000 usbd_core.c +08002f10 l F .text 00000010 USBD_RunTestMode +08002f20 l F .text 00000016 USBD_Resume +08002f38 l F .text 0000001c USBD_Suspend +08002f54 l F .text 00000010 USBD_SOF +08002f64 l F .text 0000000e USBD_IsoINIncomplete +08002f74 l F .text 0000000e USBD_IsoOUTIncomplete +08002f84 l F .text 00000016 USBD_DevConnected +08002f9c l F .text 00000020 USBD_DevDisconnected +08002fbc l F .text 0000002e USBD_Reset +08002fec l F .text 0000004e USBD_SetupStage +0800303c l F .text 000000b2 USBD_DataInStage +080030f0 l F .text 00000078 USBD_DataOutStage +00000000 l df *ABS* 00000000 usbd_ioreq.c +00000000 l df *ABS* 00000000 xcp.c +08003244 l F .text 00000020 XcpComputeChecksum +08003264 l F .text 0000000c XcpProtectResources +08003270 l F .text 00000014 XcpSetCtoError +08003284 l F .text 0000001c XcpCmdDisconnect +080032a0 l F .text 00000020 XcpCmdGetStatus +080032c0 l F .text 0000000a XcpCmdSynch +080032cc l F .text 0000002c XcpCmdGetId +080032f8 l F .text 00000018 XcpCmdSetMta +08003310 l F .text 00000028 XcpCmdBuildCheckSum +08003338 l F .text 0000000a XcpCmdProgramPrepare +08003344 l F .text 00000028 XcpCmdProgramStart +0800336c l F .text 00000050 XcpCmdConnect +080033bc l F .text 00000040 XcpCmdUpload +080033fc l F .text 00000040 XcpCmdShortUpload +0800343c l F .text 00000040 XcpCmdProgramMax +0800347c l F .text 0000005c XcpCmdProgram +080034d8 l F .text 00000028 XcpCmdProgramClear +08003500 l F .text 00000018 XcpCmdProgramReset +08003518 l F .text 0000000a XcpTransmitPacket +08006b08 l O .text 00000008 xcpStationId +20000528 l O .bss 0000004c xcpInfo +00000000 l df *ABS* 00000000 file.c +08003640 l F .text 00000040 FileLibLongToIntString +08003680 l F .text 0000002a FileLibByteNibbleToChar +080036ac l F .text 00000020 FileLibByteToHexString +080036cc l F .text 00000058 FileLibHexStringToByte +20000574 l O .bss 00000040 loggingStr +200005b4 l O .bss 00000001 firmwareUpdateState +200005b8 l O .bss 00000008 eraseInfo +200005c0 l O .bss 00000458 fatFsObjects +20000a18 l O .bss 00000184 lineParseObject +00000000 l df *ABS* 00000000 com.c +200000dc l O .data 00000001 comActiveInterface +20000b9c l O .bss 00000040 xcpCtoReqPacket.4523 +00000000 l df *ABS* 00000000 boot.c +00000000 l df *ABS* 00000000 cop.c +00000000 l df *ABS* 00000000 backdoor.c +20000bdc l O .bss 00000001 backdoorOpen +20000be0 l O .bss 00000004 backdoorOpenTime +00000000 l df *ABS* 00000000 assert.c +00000000 l df *ABS* 00000000 uart.c +08003f3c l F .text 00000030 UartTransmitByte +08003f6c l F .text 00000024 UartReceiveByte +20000be4 l O .bss 00000004 xcpCtoRxStartTime.7911 +20000be8 l O .bss 00000041 xcpCtoReqPacket.7908 +20000c29 l O .bss 00000001 xcpCtoRxInProgress.7910 +20000c2a l O .bss 00000001 xcpCtoRxLength.7909 +00000000 l df *ABS* 00000000 nvm.c +00000000 l df *ABS* 00000000 usb.c +080040f8 l F .text 00000030 UsbFifoMgrInit +08004128 l F .text 00000034 UsbFifoMgrCreate +0800415c l F .text 0000006c UsbFifoMgrWrite +080041c8 l F .text 00000014 UsbTransmitByte +080041dc l F .text 00000068 UsbFifoMgrRead +08004244 l F .text 00000014 UsbReceiveByte +08004258 l F .text 00000028 UsbFifoMgrScan +20000c2c l O .bss 00000004 fifoCtrlFree +20000c30 l O .bss 00000030 fifoCtrl +20000c60 l O .bss 00000041 fifoPipeBulkOUT +20000ca4 l O .bss 00000040 USB_Tx_Buffer.9093 +20000ce4 l O .bss 00000041 fifoPipeBulkIN +20000d25 l O .bss 00000001 xcpCtoRxLength.9080 +20000d28 l O .bss 000005f4 USB_OTG_dev +2000131c l O .bss 00000040 xcpCtoReqPacket.9079 +2000135c l O .bss 00000001 xcpCtoRxInProgress.9081 +00000000 l df *ABS* 00000000 cpu.c +00000000 l df *ABS* 00000000 flash.c +080044ec l F .text 00000048 FlashGetSector +08004534 l F .text 00000026 FlashInitBlock +0800455c l F .text 00000074 FlashWriteBlock +080045d0 l F .text 00000040 FlashSwitchBlock +08004610 l F .text 0000007a FlashAddToBlock +0800468c l F .text 00000068 FlashEraseSectors +08006d24 l O .text 00000030 flashSectorNumToMask +08006d54 l O .text 00000078 flashLayout +20001360 l O .bss 00000204 bootBlockInfo +20001564 l O .bss 00000204 blockInfo +00000000 l df *ABS* 00000000 can.c +08004880 l F .text 00000058 CanGetSpeedConfig +08006df4 l O .text 00000024 canTiming +00000000 l df *ABS* 00000000 timer.c +20001768 l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 cpu_comp.c +00000000 l df *ABS* 00000000 ff.c +08004b68 l F .text 0000000a ld_word +08004b74 l F .text 00000016 ld_dword +08004b8c l F .text 00000008 st_word +08004b94 l F .text 00000014 st_dword +08004ba8 l F .text 00000012 mem_cpy +08004bbc l F .text 0000000a mem_set +08004bc8 l F .text 0000001e mem_cmp +08004be8 l F .text 00000010 chk_chr +08004bf8 l F .text 00000018 clust2sect +08004c10 l F .text 00000024 ld_clust +08004c34 l F .text 00000024 st_clust +08004c58 l F .text 0000008c pick_lfn +08004ce4 l F .text 00000070 put_lfn +08004d54 l F .text 000000a0 gen_numname +08004df4 l F .text 0000001c sum_sfn +08004e10 l F .text 00000054 get_ldnumber +08004e64 l F .text 00000034 validate +08004e98 l F .text 00000054 sync_window +08004eec l F .text 00000034 move_window +08004f20 l F .text 00000060 check_fs +08004f80 l F .text 000002d4 find_volume +08005254 l F .text 000000d0 get_fat +08005324 l F .text 000000b2 dir_sdi +080053d8 l F .text 0000010c put_fat +080054e4 l F .text 000000ce create_chain +080055b4 l F .text 0000007c remove_chain +08005630 l F .text 00000126 dir_next +08005758 l F .text 0000004c dir_alloc +080057a4 l F .text 000000c4 dir_read +08005868 l F .text 00000050 dir_remove +080058b8 l F .text 00000228 create_name +08005ae0 l F .text 000000e2 get_fileinfo +08005bc4 l F .text 000000ac cmp_lfn +08005c70 l F .text 000000e8 dir_find +08005d58 l F .text 0000008c follow_path +08005de4 l F .text 0000013c dir_register +08005f20 l F .text 0000008c sync_fs +0800648c l F .text 0000004a putc_bfd +2000176c l O .bss 00000200 LfnBuf +2000196c l O .bss 00000002 Fsid +08006e2c l O .text 00000080 ExCvt +08006eac l O .text 0000000d LfnOfs +20001970 l O .bss 00000004 FatFs +00000000 l df *ABS* 00000000 unicode.c +08006ebc l O .text 000000bc cvt2.4382 +08006f78 l O .text 000001f2 cvt1.4381 +0800716c l O .text 00000100 Tbl +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 init.c +00000000 l df *ABS* 00000000 locale.c +080069f0 l F .text 00000018 __get_current_locale +00000000 l df *ABS* 00000000 mbtowc_r.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 wctomb_r.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o +00000000 l df *ABS* 00000000 impure.c +200000e0 l O .data 00000060 impure_data +00000000 l df *ABS* 00000000 ctype_.c +00000000 l df *ABS* 00000000 crtstuff.c +0800737c l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +200002b4 l .data 00000000 __init_array_end +200002b0 l .data 00000000 __preinit_array_end +200002b0 l .data 00000000 __init_array_start +200002b0 l .data 00000000 __preinit_array_start +08003d7c g F .text 00000028 ComInit +0800030c w F .text 00000002 RTC_Alarm_IRQHandler +0800470c g F .text 0000005c FlashWrite +0800035a w F .text 00000002 HASH_RNG_IRQHandler +20000088 g O .data 00000012 USBD_DeviceDesc +080002ca w F .text 00000002 EXTI2_IRQHandler +080008b8 g F .text 0000001c USBD_USR_ProductStrDescriptor +08000316 w F .text 00000002 TIM8_CC_IRQHandler +20001ab8 g .stack_dummy 00000000 __HeapBase +08006808 g F .text 00000054 f_gets +080020a4 g F .text 00000012 USB_OTG_ReadDevOutEP_itr +080025a0 g F .text 00000020 FLASH_Unlock +0800045c g F .text 00000010 USB_OTG_BSP_mDelay +08000384 g F .text 0000000a USBD_USR_DeviceConnected +08003f34 g F .text 00000008 AssertFailure +080002b2 w F .text 00000002 DebugMon_Handler +08004a74 g F .text 0000008c CanReceivePacket +200000ac g O .data 00000004 USBD_DCD_INT_fops +0800242c g F .text 0000002e GPIO_PinAFConfig +08002108 g F .text 0000009c DCD_Init +00000000 g *ABS* 00000000 __HEAP_SIZE +08004b34 g F .text 0000001c TimerUpdate +08003550 g F .text 00000010 XcpPacketTransmitted +20000000 g .data 00000000 __data_start__ +0800054c g F .text 00000008 usbd_bulk_get_rx_buffer_ptr +080002f0 w F .text 00000002 TIM1_CC_IRQHandler +08002874 g F .text 00000004 SPI_I2S_SendData +08000342 w F .text 00000002 DMA2_Stream5_IRQHandler +08003da4 g F .text 00000050 ComTask +080002a8 w F .text 00000002 HardFault_Handler +080026bc g F .text 0000003c FLASH_ProgramWord +080002da w F .text 00000002 DMA1_Stream5_IRQHandler +08001ac0 g F .text 00000040 USB_OTG_FlushRxFifo +080002b6 w F .text 00000002 SysTick_Handler +080002bc w F .text 00000002 PVD_IRQHandler +0800031c w F .text 00000002 SDIO_IRQHandler +080002be w F .text 00000002 TAMP_STAMP_IRQHandler +08003e88 g F .text 00000020 BootInit +080021f8 g F .text 00000042 DCD_EP_Close +080037e4 g F .text 0000003a FileSrecVerifyChecksum +0800286c g F .text 00000006 SPI_I2S_ReceiveData +080002b4 w F .text 00000002 PendSV_Handler +08006a08 g F .text 00000014 __locale_ctype_ptr +080002a6 w F .text 00000002 NMI_Handler +08007388 g .ARM.exidx 00000000 __exidx_end +080021a4 g F .text 00000052 DCD_EP_Open +0800033c w F .text 00000002 CAN2_RX1_IRQHandler +080002cc w F .text 00000002 EXTI3_IRQHandler +20001ab4 g O .bss 00000004 SET_TEST_MODE +08003f14 g F .text 00000020 BackDoorInit +08007388 g .ARM.exidx 00000000 __etext +08002d30 g F .text 00000048 USBD_StdDevReq +08000314 w F .text 00000002 TIM8_TRG_COM_TIM14_IRQHandler +08000928 g F .text 0000001c USBD_USR_InterfaceStrDescriptor +080002ec w F .text 00000002 TIM1_UP_TIM10_IRQHandler +080027f4 g F .text 0000000e USART_GetFlagStatus +08003ec8 g F .text 00000008 CopService +20000520 g O .bss 00000004 USBD_ep_status +08004b60 g F .text 00000004 CpuIrqDisable +08000312 w F .text 00000002 TIM8_UP_TIM13_IRQHandler +20000000 g O .data 0000001c USR_cb +080068e8 g F .text 00000094 ff_wtoupper +0800034c w F .text 00000002 I2C3_ER_IRQHandler +08002378 g F .text 00000014 DCD_DevDisconnect +08004878 g F .text 00000008 FlashGetUserProgBaseAddress +08000374 g F .text 00000008 USBD_USR_DeviceSuspended +0800396c g F .text 00000410 FileTask +08002db0 g F .text 00000124 USBD_StdEPReq +08004b00 g F .text 0000000c TimerReset +080002c6 w F .text 00000002 EXTI0_IRQHandler +080002fc w F .text 00000002 I2C2_EV_IRQHandler +08000cf8 g F .text 00000160 disk_initialize +0800070c g F .text 00000002 UsbLeaveLowPowerModeHook +080002d4 w F .text 00000002 DMA1_Stream2_IRQHandler +080002e2 w F .text 00000002 CAN1_RX0_IRQHandler +08003204 g F .text 0000001e USBD_CtlSendStatus +0800035c w F .text 00000002 FPU_IRQHandler +08002d78 g F .text 00000036 USBD_StdItfReq +08002a48 g F .text 00000044 USBD_ParseSetupRequest +08000352 w F .text 00000002 OTG_HS_WKUP_IRQHandler +080027ec g F .text 00000008 USART_ReceiveData +08003ea8 g F .text 00000018 BootTask +08001740 g F .text 000000f0 USBD_OTG_ISR_Handler +08004798 g F .text 00000050 FlashWriteChecksum +08002878 g F .text 0000001c SPI_CalculateCRC +080002ae w F .text 00000002 UsageFault_Handler +08002568 g F .text 0000001c RCC_APB2PeriphClockCmd +080018f4 g F .text 000000d4 USB_OTG_SelectCore +0800033e w F .text 00000002 CAN2_SCE_IRQHandler +08003dfc g F .text 0000003c ComTransmitPacket +0800032e w F .text 00000002 DMA2_Stream2_IRQHandler +08002390 g F .text 00000084 GPIO_Init +08000e58 g F .text 00000014 disk_status +20001ab8 g .stack_dummy 00000000 __HeapLimit +200002b8 g .bss 00000000 __bss_start__ +08003820 g F .text 0000014c FileSrecParseLine +08001b00 g F .text 0000002a USB_OTG_SetCurrentMode +08000300 w F .text 00000002 SPI1_IRQHandler +080027c8 g F .text 0000001c USART_Cmd +08001b48 g F .text 0000000a USB_OTG_ReadCoreItr +0800036c g F .text 00000002 USBD_USR_DeviceReset +080031b4 g F .text 0000000e USBD_ClrCfg +08000326 w F .text 00000002 TIM6_DAC_IRQHandler +08000fa0 g F .text 00000274 disk_ioctl +080002ea w F .text 00000002 TIM1_BRK_TIM9_IRQHandler +08003168 g F .text 00000034 USBD_Init +08000356 w F .text 00000002 DCMI_IRQHandler +0800033a w F .text 00000002 CAN2_RX0_IRQHandler +08003764 g F .text 00000030 FileHandleFirmwareUpdateRequest +2000001c g O .data 0000002c USBD_bulk_cb +0800254c g F .text 0000001c RCC_APB1PeriphClockCmd +08007380 g .text 00000000 __exidx_start +08000330 w F .text 00000002 DMA2_Stream3_IRQHandler +0800726c g O .text 00000004 _global_impure_ptr +080069a4 g F .text 0000004c __libc_init_array +080031f4 g F .text 00000010 USBD_CtlContinueRx +08002530 g F .text 0000001c RCC_AHB2PeriphClockCmd +08002894 g F .text 0000000e SPI_I2S_GetFlagStatus +080001e4 g F .text 00000000 _mainCRTStartup +08000780 g F .text 00000044 FileFirmwareUpdateCompletedHook +08003540 g F .text 00000010 XcpIsConnected +0800674c g F .text 000000bc f_unlink +08000348 w F .text 00000002 USART6_IRQHandler +08000708 g F .text 00000002 UsbEnterLowPowerModeHook +08006a6c g F .text 00000000 _init +080040bc g F .text 00000008 NvmInit +080046f4 g F .text 00000018 FlashInit +080025c0 g F .text 00000010 FLASH_Lock +080040dc g F .text 00000008 NvmGetUserProgBaseAddress +08000308 w F .text 00000002 USART3_IRQHandler +08000358 w F .text 00000002 CRYP_IRQHandler +00000000 w *UND* 00000000 __libc_fini_array +080020b8 g F .text 0000000c USB_OTG_ReadDevAllInEPItr +08000258 g F .text 00000038 Reset_Handler +08002028 g F .text 00000038 USB_OTG_EPSetStall +0800685c g F .text 00000050 f_puts +080002e4 w F .text 00000002 CAN1_RX1_IRQHandler +080008d4 g F .text 0000001c USBD_USR_ManufacturerStrDescriptor +08000324 w F .text 00000002 UART5_IRQHandler +0800037c g F .text 00000008 USBD_USR_DeviceResumed +0800032a w F .text 00000002 DMA2_Stream0_IRQHandler +08003df4 g F .text 00000008 ComFree +08002424 g F .text 00000004 GPIO_SetBits +080002f6 w F .text 00000002 TIM4_IRQHandler +0800039c g F .text 000000a4 USB_OTG_BSP_Init +080008f0 g F .text 0000001c USBD_USR_SerialStrDescriptor +00000000 w *UND* 00000000 __sf_fake_stderr +08001f00 g F .text 00000128 USB_OTG_EP0StartXfer +00000000 w *UND* 00000000 __deregister_frame_info +08003224 g F .text 0000001e USBD_CtlReceiveStatus +20001ab8 g .stack_dummy 00000000 end +080002f8 w F .text 00000002 I2C1_EV_IRQHandler +080002dc w F .text 00000002 DMA1_Stream6_IRQHandler +08003f90 g F .text 0000003c UartInit +08000444 g F .text 00000018 USB_OTG_BSP_uDelay +200002b8 g .data 00000000 __data_end__ +08001b54 g F .text 0000000c USB_OTG_InitDevSpeed +08001dc4 g F .text 0000013c USB_OTG_EPStartXfer +08004434 g F .text 00000058 UsbReceivePipeBulkOUT +0800245c g F .text 000000b8 RCC_GetClocksFreq +080040cc g F .text 00000008 NvmErase +08000670 g F .text 00000020 CpuUserProgramStartHook +080002d2 w F .text 00000002 DMA1_Stream1_IRQHandler +08002a8c g F .text 0000001a USBD_CtlError +08000322 w F .text 00000002 UART4_IRQHandler +080026f8 g F .text 000000d0 USART_Init +20001ab8 g .bss 00000000 __bss_end__ +00000800 g *ABS* 00000000 __STACK_SIZE +080002f4 w F .text 00000002 TIM3_IRQHandler +080002c4 w F .text 00000002 RCC_IRQHandler +08002324 g F .text 00000042 DCD_EP_ClrStall +08000310 w F .text 00000002 TIM8_BRK_TIM12_IRQHandler +00000000 w *UND* 00000000 __call_exitprocs +08006574 g F .text 00000024 f_close +080002b8 w F .text 00000002 Default_Handler +08000370 g F .text 00000002 USBD_USR_DeviceConfigured +080001e4 g F .text 00000000 _start +080025dc g F .text 00000040 FLASH_GetStatus +08003560 g F .text 000000e0 XcpPacketReceived +0800448c g F .text 00000008 CpuInit +080025d0 g F .text 0000000c FLASH_ClearFlag +0800261c g F .text 00000030 FLASH_WaitForLastOperation +08000828 g F .text 0000000c LedBlinkInit +08000368 g F .text 00000002 USBD_USR_Init +0800030a w F .text 00000002 EXTI15_10_IRQHandler +0800617c g F .text 0000016c f_read +080002de w F .text 00000002 ADC_IRQHandler +08004838 g F .text 00000040 FlashDone +08002098 g F .text 0000000c USB_OTG_ReadDevAllOutEp_itr +08001b38 g F .text 00000010 USB_OTG_IsDeviceMode +080018a4 g F .text 0000002a USB_OTG_WritePacket +08000318 w F .text 00000002 DMA1_Stream7_IRQHandler +00000000 w *UND* 00000000 software_init_hook +08000834 g F .text 00000058 LedBlinkTask +080022e0 g F .text 00000042 DCD_EP_Stall +08002428 g F .text 00000004 GPIO_ResetBits +08000328 w F .text 00000002 TIM7_IRQHandler +08000338 w F .text 00000002 CAN2_TX_IRQHandler +08002060 g F .text 00000036 USB_OTG_EPClearStall +20001974 g O .bss 00000040 USB_Rx_Buffer +0800031e w F .text 00000002 TIM5_IRQHandler +080042f8 g F .text 00000050 UsbTransmitPacket +08000346 w F .text 00000002 DMA2_Stream7_IRQHandler +080049e0 g F .text 00000094 CanTransmitPacket +080006a4 g F .text 00000064 UsbConnectHook +0800034a w F .text 00000002 I2C3_EV_IRQHandler +080002e8 w F .text 00000002 EXTI9_5_IRQHandler +0800223c g F .text 0000005c DCD_EP_PrepareRx +080002c0 w F .text 00000002 RTC_WKUP_IRQHandler +08001d18 g F .text 00000062 USB_OTG_EPActivate +08000336 w F .text 00000002 ETH_WKUP_IRQHandler +08003524 g F .text 0000001c XcpInit +0800319c g F .text 00000018 USBD_SetCfg +08003724 g F .text 0000002c FileInit +08004280 g F .text 00000064 UsbInit +08000302 w F .text 00000002 SPI2_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdin +080042e4 g F .text 00000014 UsbFree +08004768 g F .text 0000002e FlashErase +08000350 w F .text 00000002 OTG_HS_EP1_IN_IRQHandler +080008a0 g F .text 0000000c USBD_USR_DeviceDescriptor +08006a40 g F .text 00000010 memset +080007c4 g F .text 00000018 FileFirmwareUpdateErrorHook +0800088c g F .text 00000014 LedBlinkExit +080002aa w F .text 00000002 MemManage_Handler +08000000 g .text 00000188 __isr_vector +08000660 g F .text 00000010 main +080002d0 w F .text 00000002 DMA1_Stream0_IRQHandler +0800264c g F .text 00000070 FLASH_EraseSector +080064d8 g F .text 0000009c f_sync +08001b9c g F .text 00000130 USB_OTG_CoreInitDev +08001a78 g F .text 00000048 USB_OTG_FlushTxFifo +080002e0 w F .text 00000002 CAN1_TX_IRQHandler +080002b0 w F .text 00000002 SVC_Handler +08003794 g F .text 00000050 FileSrecGetLineType +08006a50 g F .text 0000001a __ascii_wctomb +080040e4 g F .text 00000014 NvmDone +08005fac g F .text 00000058 f_mount +08003fcc g F .text 00000050 UartTransmitPacket +080040d4 g F .text 00000008 NvmVerifyChecksum +00000000 w *UND* 00000000 hardware_init_hook +080044cc g F .text 00000020 CpuMemCopy +20001ab8 g .stack_dummy 00000000 __end__ +20000084 g O .data 00000004 USBD_LangIDDesc +08001a68 g F .text 0000000e USB_OTG_DisableGlobalInt +080002ce w F .text 00000002 EXTI4_IRQHandler +080020c4 g F .text 00000042 USB_OTG_EP0_OutStart +080019c8 g F .text 0000008e USB_OTG_CoreInit +20000518 g O .bss 00000004 USBD_cfg_status +08002850 g F .text 0000001c SPI_Cmd +08002968 g F .text 00000050 SystemInit +20000524 g O .bss 00000004 USBD_default_cfg +08002298 g F .text 00000046 DCD_EP_Tx +08006a78 g F .text 00000000 _fini +08006598 g F .text 00000168 f_lseek +08003e5c g F .text 00000024 ComGetActiveInterfaceMaxTxLen +00000000 w *UND* 00000000 atexit +0800401c g F .text 000000a0 UartReceivePacket +08000750 g F .text 00000008 FileGetFirmwareFilenameHook +08004b64 g F .text 00000004 CpuIrqEnable +08000390 g F .text 0000000a USBD_USR_DeviceDisconnected +0800031a w F .text 00000002 FSMC_IRQHandler +20020000 g .bss 00000000 __StackTop +08000334 w F .text 00000002 ETH_IRQHandler +08000440 g F .text 00000002 USB_OTG_BSP_EnableInterrupt +20000140 g O .data 00000004 _impure_ptr +0800034e w F .text 00000002 OTG_HS_EP1_OUT_IRQHandler +080031e4 g F .text 00000010 USBD_CtlContinueSendData +080002ba w F .text 00000002 WWDG_IRQHandler +080008ac g F .text 0000000c USBD_USR_LangIDStrDescriptor +08001ccc g F .text 0000001e USB_OTG_GetDeviceSpeed +08002584 g F .text 0000001c RCC_APB1PeriphResetCmd +08006a1c g F .text 00000024 __ascii_mbtowc +08006700 g F .text 0000004c f_stat +08003ec0 g F .text 00000008 CopInit +080002f2 w F .text 00000002 TIM2_IRQHandler +080048d8 g F .text 00000108 CanInit +080040c4 g F .text 00000008 NvmWrite +08004494 g F .text 00000038 CpuStartUserProgram +0800030e w F .text 00000002 OTG_FS_WKUP_IRQHandler +080002ee w F .text 00000002 TIM1_TRG_COM_TIM11_IRQHandler +08000354 w F .text 00000002 OTG_HS_IRQHandler +08002ed4 g F .text 0000003a USBD_GetString +080027e4 g F .text 00000008 USART_SendData +08004348 g F .text 00000088 UsbReceivePacket +20020000 g *ABS* 00000000 __stack +080002c8 w F .text 00000002 EXTI1_IRQHandler +080047e8 g F .text 00000050 FlashVerifyChecksum +08003750 g F .text 00000014 FileIsIdle +080062e8 g F .text 000001a4 f_write +08001b60 g F .text 0000003c USB_OTG_EnableDevInt +2001f800 g *ABS* 00000800 __StackLimit +08000306 w F .text 00000002 USART2_IRQHandler +0800090c g F .text 0000001c USBD_USR_ConfigStrDescriptor +08006004 g F .text 00000178 f_open +08000ef4 g F .text 000000ac disk_write +0800697c g F .text 00000028 exit +080031c4 g F .text 00000020 USBD_CtlSendData +080007dc g F .text 0000004c FileFirmwareUpdateLogHook +080002fe w F .text 00000002 I2C2_ER_IRQHandler +08003e80 g F .text 00000008 ComIsConnected +08001cec g F .text 0000002c USB_OTG_EP0Activate +00000000 w *UND* 00000000 __sf_fake_stdout +080018d0 g F .text 00000022 USB_OTG_ReadPacket +0800032c w F .text 00000002 DMA2_Stream1_IRQHandler +0800727a g O .text 00000101 _ctype_ +08000710 g F .text 00000040 FileIsFirmwareUpdateRequestedHook +080002e6 w F .text 00000002 CAN1_SCE_IRQHandler +08002368 g F .text 00000010 DCD_EP_SetAddress +08001b2c g F .text 0000000a USB_OTG_GetMode +080002c2 w F .text 00000002 FLASH_IRQHandler +0800238c w F .text 00000002 _exit +200019b4 g O .bss 000000ff USBD_StrDesc +08003e38 g F .text 00000024 ComGetActiveInterfaceMaxRxLen +08000332 w F .text 00000002 DMA2_Stream4_IRQHandler +08002514 g F .text 0000001c RCC_AHB1PeriphClockCmd +080002ac w F .text 00000002 BusFault_Handler +08000304 w F .text 00000002 USART1_IRQHandler +08000690 g F .text 0000000a CopInitHook +08000e6c g F .text 00000088 disk_read +08000340 w F .text 00000002 OTG_FS_IRQHandler +08000320 w F .text 00000002 SPI3_IRQHandler +08003ed0 g F .text 00000044 BackDoorCheck +080002d8 w F .text 00000002 DMA1_Stream4_IRQHandler +080002fa w F .text 00000002 I2C1_ER_IRQHandler +20000048 g O .data 00000020 usbd_bulk_CfgDesc +08002804 g F .text 0000004c SPI_Init +080068ac g F .text 0000003c ff_convert +08004b50 g F .text 00000010 TimerGet +080043d0 g F .text 00000064 UsbTransmitPipeBulkIN +00000000 w *UND* 00000000 _Jv_RegisterClasses +20000068 g O .data 0000001c USR_desc +08001d7c g F .text 00000048 USB_OTG_EPDeactivate +08002414 g F .text 0000000e GPIO_ReadInputDataBit +08004b0c g F .text 00000028 TimerInit +00000000 w *UND* 00000000 __register_frame_info +0800069c g F .text 00000008 CopServiceHook +08000344 w F .text 00000002 DMA2_Stream6_IRQHandler +200000b0 g O .data 0000002c USBD_DCD_INT_cb +20000144 g O .data 0000016c __global_locale +080002d6 w F .text 00000002 DMA1_Stream3_IRQHandler +08000758 g F .text 00000028 FileFirmwareUpdateStartedHook +08001a58 g F .text 0000000e USB_OTG_EnableGlobalInt + + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.srec b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.srec index 1e05af04..7de3c566 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.srec +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/bin/openblt_olimex_stm32p405.srec @@ -1,1867 +1,1895 @@ -S02700002E2E5C62696E5C6F70656E626C745F6F6C696D65785F73746D3332703430352E73726563AE -S3150800000000000220A12E0008EF2E0008F12E00089D -S31508000010F32E0008F52E0008F72E00080000000051 -S31508000020000000000000000000000000F92E000893 -S31508000030FB2E000800000000FD2E0008FF2E000819 -S31508000040032F0008052F0008072F0008092F0008AE -S315080000500B2F00080D2F00080F2F0008112F00087E -S31508000060132F0008152F0008172F0008192F00084E -S315080000701B2F00081D2F00081F2F0008212F00081E -S31508000080232F0008252F0008272F0008292F0008EE -S315080000902B2F00082D2F00082F2F0008312F0008BE -S315080000A0332F0008352F0008372F0008392F00088E -S315080000B03B2F00083D2F00083F2F0008412F00085E -S315080000C0432F0008452F0008472F0008492F00082E -S315080000D04B2F00084D2F00084F2F0008512F0008FE -S315080000E0532F0008552F0008572F0008592F0008CE -S315080000F05B2F00085D2F00085F2F0008612F00089E -S31508000100632F0008652F0008672F0008692F00086D -S315080001106B2F00086D2F00086F2F0008712F00083D -S31508000120732F0008752F0008772F0008792F00080D -S315080001307B2F00087D2F00087F2F0008812F0008DD -S31508000140832F0008852F0008872F0008892F0008AD -S315080001508B2F00088D2F00088F2F0008912F00087D -S31508000160932F0008952F0008972F0008992F00084D -S315080001709B2F00089D2F00089F2F0008A12F00081D -S31508000180A32F0008A52F000810B5054C237833B90E +S024000062696E2F6F70656E626C745F6F6C696D65785F73746D3332703430352E7372656396 +S315080000000000022059020008A7020008A9020008F9 +S31508000010AB020008AD020008AF02000800000000AD +S31508000020000000000000000000000000B102000807 +S31508000030B302000800000000B5020008B702000875 +S31508000040BB020008BD020008BF020008C102000882 +S31508000050C3020008C5020008C7020008C902000852 +S31508000060CB020008CD020008CF020008D102000822 +S31508000070D3020008D5020008D7020008D9020008F2 +S31508000080DB020008DD020008DF020008E1020008C2 +S31508000090E3020008E5020008E7020008E902000892 +S315080000A0EB020008ED020008EF020008F102000862 +S315080000B0F3020008F5020008F7020008F902000832 +S315080000C0FB020008FD020008FF0200080103000801 +S315080000D003030008050300080703000809030008CE +S315080000E00B0300080D0300080F030008110300089E +S315080000F0130300081503000817030008190300086E +S315080001001B0300081D0300081F030008210300083D +S31508000110230300082503000827030008290300080D +S315080001202B0300082D0300082F03000831030008DD +S3150800013033030008350300083703000839030008AD +S315080001403B0300083D0300083F030008410300087D +S31508000150430300084503000847030008490300084D +S315080001604B0300084D0300084F030008510300081D +S3150800017053030008550300085703000859030008ED +S315080001805B0300085D03000810B5054C237833B9F6 S31508000190044B13B10448AFF300800123237010BD4C -S315080001A0500100200000000024730008084B10B519 +S315080001A0B8020020000000007C730008084B10B558 S315080001B01BB108490848AFF300800848036803B92B S315080001C010BD074B002BFBD0BDE81040184700BFF9 -S315080001D0000000005401002024730008500100208C +S315080001D000000000BC0200207C730008B802002062 S315080001E000000000154B002B08BF134B9D46A3F5D6 S315080001F0803A00218B460F461348144A121A06F015 -S31508000200FFFB0F4B002B00D098470E4B002B00D05E +S315080002001FFC0F4B002B00D098470E4B002B00D03D S3150800021098470020002104000D000D48002802D050 -S315080002200C48AFF3008006F0C5FB2000290002F059 -S315080002302FFE06F0ABFB00BF0000080000000220FE -S315080002400000000000000000500100204C190020AA -S31508000250000000000000000008B50121054800F074 -S3150800026069FE012803D000F005F9012008BD002029 -S3150800027008BD00BF0000024008B5642000F0C8F8B9 -S3150800028008BD00BF08B500F0C9F808BD30B583B091 -S315080002900446154B1B78BBB90121042000F0CAFEA1 -S315080002A04FF40063009301258DF804508DF806502D -S315080002B000238DF8073002238DF8053069460B4870 -S315080002C000F0F6FD084B1D70012C05D14FF40061B6 -S315080002D0064800F039FE04E04FF40061034800F0D8 -S315080002E031FE03B030BD00BF6C010020000802409B -S315080002F0704700BF704700BF00B5C7B04FF48C7297 -S315080003000021684606F07CFB69460A4806F002F8B2 -S3150800031038B9009B3BB19DF8083013F0100F04D094 -S3150800032004E0002002E0002000E0012047B05DF86C -S3150800033004FB00BF2C6A0008004870472C6A0008B6 -S3150800034008B50748002380F828320A22054905F02F -S3150800035063FC18B90122024B83F8282208BD00BFA6 -S31508000360700100204C6A000810B50D4B93F828322E -S31508000370012B02D10A4805F007FF03F0E5FA00F160 -S31508000380640403E003F0E0FA844205D340210548FB -S3150800039000F064FF0028F5D0034805F0E1FF10BD22 -S315080003A070010020004400402C6A000808B5044B80 -S315080003B093F82832012B02D1014805F0E5FE08BD65 -S315080003C07001002010B504460F4B93F82832012B14 -S315080003D015D10D4906F04CF8002810DA0A48002312 -S315080003E080F8283205F0D0FE09E0084800F02EFF14 -S315080003F08021064800F032FF0028F9D00134217820 -S315080004000029F2D110BD00BF700100200044004051 -S31508000410014B1880704700BF9C03002008B503F005 -S3150800042093FA104B1B6898421AD30F4B1B7843B9A3 -S3150800043001220D4B1A704FF480510C4800F084FDD0 -S3150800044007E00022084B1A704FF48051074800F065 -S3150800045079FD03F079FA064B1B881844014B18609E -S3150800046008BD00BFA0030020A40300200008024026 -S315080004709C03002008B54FF48051024800F062FD45 -S3150800048008BD00BF00080240024A137843F0010382 -S31508000490137070470000002030B587B0154D002155 -S315080004A0284600F033FE0024ADF804404FF482736A -S315080004B0ADF80630ADF80840ADF80A40ADF80C4086 -S315080004C04FF40073ADF80E30ADF81040ADF8124099 -S315080004D00723ADF8143001A9284600F0F1FD21469E -S315080004E0284600F027FE0121284600F00FFE07B037 -S315080004F030BD00BF0038004070B582B0214D4FF4C2 -S315080005008051284600F01EFD02238DF80530012390 -S315080005108DF8043000248DF807408DF806404FF416 -S31508000520004602A941F8086D284600F0C1FC31468C -S31508000530284600F007FD10E00221134800F008FEE7 -S315080005400028F9D0FF21104800F0F2FD01210E48DD -S3150800055000F0FEFD0028F9D00134092CECD94FF43F -S315080005600043009302238DF804308DF805300022ED -S315080005708DF806208DF807306946024800F098FC89 -S3150800058002B070BD00040240003800402DE9F04179 -S3150800059088B00121022000F04DFD01214FF4804072 -S315080005A000F064FD4FF48058CDF8048002268DF8DB -S315080005B0096001278DF8087000248DF80B408DF826 -S315080005C00A40294D01A9284600F072FC41462846F2 -S315080005D000F0B8FC05220D21284600F0B7FC0522DC -S315080005E00E21284600F0B2FC05220F21284600F00D -S315080005F0ADFC4FF4604301938DF808608DF80960EF -S315080006008DF80A408DF80B6001A9284600F050FCC9 -S31508000610ADF80C404FF48273ADF80E30ADF81040CB -S31508000620ADF81240ADF814404FF40073ADF816302B -S315080006303023ADF81830ADF81A400723ADF81C3052 -S31508000640A5F5E63503A9284600F03AFD21462846D1 -S3150800065000F070FD3946284600F058FDFFF74CFFBC -S3150800066008B0BDE8F08100BF0004024008B50146A5 -S31508000670064800F05DFD0121044800F069FD0028E8 -S31508000680F9D0024800F050FDC0B208BD003800405D -S3150800069038B503F059F900F5FA75FF20FFF7E6FFBC -S315080006A00446FF2803D003F04FF98542F5D8FF2CFE -S315080006B014BF0020012038BD08B54FF48051034807 -S315080006C000F040FCFF20FFF7D1FF08BD0004024000 -S315080006D010B54FF48051084800F036FCFF20FFF7AC -S315080006E0C5FFFFF7D5FF18B90446FFF7E5FF00E099 -S315080006F00124204610BD00BF0004024038B5044658 -S315080007000D4610F0800F07D000F07F040021372037 -S31508000710FFF7F4FF012831D8FFF7CEFFFFF7D8FF20 -S3150800072058B344F04000FFF7A1FF280EFFF79EFFDD -S31508000730C5F30740FFF79AFFC5F30720FFF796FFB3 -S31508000740E8B2FFF793FF0CB1012000E09520082CD2 -S3150800075000D18720FFF78AFF0C2C02D1FF20FFF774 -S3150800076085FF0A24FF20FFF781FF10F0800F05D0D0 -S31508000770631E13F0FF04F5D138BDFF2038BD00BF56 -S3150800078010B50446FF20FFF771FF207010BD00BFAB -S31508000790F8B505460E4603F0D7F800F16407FF20C2 -S315080007A0FFF764FF0446FF2803D103F0CDF887421C -S315080007B0F5D8FE2C11D16C1C2846FFF7E1FF204620 -S315080007C00235FFF7DDFF023EF5D1FF20FFF74EFFAA -S315080007D0FF20FFF74BFF0120F8BD0020F8BD00BF42 -S315080007E070B505460C46FFF753FF034618B3204677 -S315080007F0FFF73CFFFD2C1BD04FF400762C4614F86F -S31508000800020BFFF733FF6878FFF730FF2546023EF5 -S31508000810F4D1FF20FFF72AFFFF20FFF727FFFF206D -S31508000820FFF724FF00F01F00052803D0002302E08D -S31508000830012300E00123184670BD00BF002840F0E0 -S31508000840A380534B1B7813F0020F03D0504B187834 -S31508000850C0B2704770B582B0FFF798FE4FF480516A -S315080008604C4800F071FB00210846FFF747FF0128B6 -S315080008706AD103F069F800F57A754FF4D571082046 -S31508000880FFF73CFF01283FD109E0FF20FFF7EEFE06 -S3150800089002AB234403F8040C0134E4B200E000245C -S315080008A0032CF2D99DF80630012B4FD19DF807305D -S315080008B0AA2B4DD103F048F8854206D94FF080415E -S315080008C0A920FFF71BFF0028F4D103F03DF8854265 -S315080008D040D900213A20FFF711FF58B1002440E023 -S315080008E0FF20FFF7C3FE02AB234403F8040C0134D0 -S315080008F0E4B200E00024032CF2D99DF8043013F08A -S31508000900400F29D00C242CE00021A920FFF7F6FE81 -S31508000910012802D80224A92601E00124264603F06C -S3150800092013F8854205D900213046FFF7E7FE00286F -S31508000930F5D103F009F8854210D94FF4007110205B -S31508000940FFF7DCFE60B90CE000240AE0002408E0AA -S31508000950002406E0002404E0042402E0002400E069 -S3150800096000240D4B1C60FFF7A7FE3CB1084A13781C -S3150800097003F0FE031370FFF78FFD01E0FFF784FD18 -S31508000980034B1878C0B201E00120704702B070BD71 -S315080009900000002000040240A803002018B9034BF9 -S315080009A01878C0B270470120704700BF00000020C9 -S315080009B0B8BB38B50D461C46ABB31D4B1B7813F0B8 -S315080009C0010F32D11B4B1B6813F0080F00D15202DE -S315080009D0012C0BD111461120FFF790FED8B94FF420 -S315080009E000712846FFF7D4FEA0B914E0114612207C -S315080009F0FFF784FE78B94FF400712846FFF7C8FE62 -S31508000A0018B105F50075013CF5D100210C20FFF75A -S31508000A1075FE00E00024FFF74FFE201C18BF0120DA -S31508000A2038BD04207047042038BD032038BD00BFF8 -S31508000A3000000020A8030020002846D170B50D4606 -S31508000A4016461C46002B42D0244B1B7813F0010F88 -S31508000A503FD1224B1B7813F0040F3CD1204B1B6867 -S31508000A6013F0080F00D15602012C0AD1314618207E -S31508000A70FFF744FE18BBFE212846FFF7B1FED8B99A -S31508000A801DE013F0060F03D021469720FFF736FE28 -S31508000A9031461920FFF732FE88B9FC212846FFF7B0 -S31508000AA09FFE18B105F50075013CF6D1FD21002021 -S31508000AB0FFF796FE10B102E0002400E00124FFF7DC -S31508000AC0FBFD201C18BF012070BD042070470420C0 -S31508000AD070BD032070BD022070BD00BF000000205D -S31508000AE0A8030020002840F02D81994B1B7813F0AD -S31508000AF0010F40F0298130B585B014460E2900F261 -S31508000B000281DFE811F00F00160000015E00000107 -S31508000B1000010001000100010001B900BE00CC007F -S31508000B20D900EC00FFF7D4FD002840F0EE80012440 -S31508000B3004E100210920FFF7E1FD002840F0E780E5 -S31508000B4010216846FFF724FE002800F0E2809DF891 -S31508000B5000309B09012B10D19DF809309DF808201B -S31508000B6003EB02229DF807301B0403F47C1313449D -S31508000B7001339B0223600024E0E09DF805209DF8E0 -S31508000B800A109DF809305B0002F00F0202EBD11241 -S31508000B9003F0060313440233DBB29DF808109DF8F0 -S31508000BA00720920002EB91119DF80620920202F4AA -S31508000BB040620A440132093B02FA03F32360002427 -S31508000BC0BCE0644B1B6813F0040F21D000218D2074 -S31508000BD0FFF794FD002840F09E80FF20FFF746FDB2 -S31508000BE010216846FFF7D4FD38B90124A6E0FF2096 -S31508000BF0FFF73CFD013DEDB200E03025002DF6D1B2 -S31508000C009DF80A301A09102393402360002495E0C2 -S31508000C1000210920FFF772FD00287ED110216846C1 -S31508000C20FFF7B6FD00287AD04A4B1B6813F0020F6F -S31508000C3011D09DF80A305B0003F07E039DF80B2067 -S31508000C4003EBD21301339DF80D209209013A934024 -S31508000C502360002472E09DF80A30C3F384019DF8EE -S31508000C600B30DA0002F0180202EB5312531C01FB98 -S31508000C7003332360002461E0364B1B68137000249D -S31508000C805CE000210920FFF739FD002849D1102131 -S31508000C902046FFF77DFD002845D101244EE00021BE -S31508000CA00A20FFF72BFD00283FD110212046FFF729 -S31508000CB06FFDE0BB012441E000213A20FFF71EFD4D -S31508000CC040B101243AE0FF20FFF7D0FC605501351A -S31508000CD0EDB200E00025032DF5D900242EE01D4BCA -S31508000CE01B6813F0040F24D000218D20FFF706FDA2 -S31508000CF008BBFF20FFF7BAFC40212046FFF748FD56 -S31508000D00D8B901241AE0042418E0002416E00124C6 -S31508000D1014E0012412E0012410E001240EE001246D -S31508000D200CE001240AE0002408E0012406E000247F -S31508000D3004E0012402E0012400E00024FFF7BCFCE3 -S31508000D40204603E0042070470320704705B030BDF5 -S31508000D5000000020A8030020054B1B69002B05DABC -S31508000D60034B044A5A6002F188325A60704700BF42 -S31508000D70003C024023016745024A136943F00043D9 -S31508000D8013617047003C0240014BD860704700BFB2 -S31508000D90003C02400E4BDB6813F4803F10D10C4B2D -S31508000DA0DB6813F0100F0DD1094BDB6813F0EF0F5A -S31508000DB00AD1074BDB6813F0020F07D007207047EC -S31508000DC001207047052070470620704708207047A5 -S31508000DD0003C024000B583B008238DF80730FFF7C2 -S31508000DE0D9FF8DF8070003E0FFF7D4FF8DF8070059 -S31508000DF09DF80730DBB2012BF6D09DF8070003B04B -S31508000E005DF804FB70B5054631B1012906D0022903 -S31508000E1007D14FF4007606E0002604E04FF480760A -S31508000E2001E04FF44076FFF7D5FF08281ED1104C95 -S31508000E30236923F44073236123691E4326612369CA -S31508000E4023F0F803236123691D4345F00205256154 -S31508000E50236943F480332361FFF7BCFF236923F03A -S31508000E6002032361236923F0F803236170BD00BFE1 -S31508000E70003C024070B505460E46FFF7ABFF082852 -S31508000E8013D10A4C236923F440732361236943F47D -S31508000E9000732361236943F0010323612E60FFF782 -S31508000EA099FF236923F00103236170BD003C0240CA -S31508000EB070B400233AE001229A400C68A24334D168 -S31508000EC004685D000322AA40D24314400460066801 -S31508000ED00C79AC40344304600C79013CE4B2012C33 -S31508000EE015D884682240826084684A79AA402243D9 -S31508000EF0826046689AB20124944026EA0404446053 -S31508000F0046688C7904FA02F292B232434260C468A7 -S31508000F109AB256000322B24024EA0202C260C668A8 -S31508000F20CC7904FA05F23243C26001330F2BC2D9D9 -S31508000F3070BC70470369194201D001207047002030 -S31508000F40704700BF018370474183704730B401F092 -S31508000F5007039B009A40C908083150F821400F251D -S31508000F6005FA03F324EA030340F8213050F8213048 -S31508000F701A4340F8212030BC704700BF10B4294BF3 -S31508000F809B6803F00C03042B05D0082B06D053BB33 -S31508000F90254B036029E0254B036026E0214B5A6860 -S31508000FA05B6803F03F0312F4800F09D01F4AB2FBB7 -S31508000FB0F3F21C4B5B68C3F3881303FB02F208E0E9 -S31508000FC0194AB2FBF3F3174A5168C1F3881101FBBA -S31508000FD003F2144B5B68C3F3014301335B00B2FBB6 -S31508000FE0F3F3036001E0104B03600E4CA368C3F3F0 -S31508000FF003130F49CB5CDAB20368D3404360A26897 -S31508001000C2F382228A5CD2B223FA02F28260A26812 -S31508001010C2F342328A5CD2B2D340C3605DF8044B55 -S31508001020704700BF003802400024F40000127A001E -S315080010300400002021B1054B1A6B10431863704752 -S31508001040024A136B23EA0000106370470038024017 -S3150800105021B1054B5A6B104358637047024A536BCC -S3150800106023EA0000506370470038024021B1054B5F -S315080010701A6C104318647047024A136C23EA00007E -S31508001080106470470038024021B1054B5A6C104372 -S3150800109058647047024A536C23EA0000506470474C -S315080010A00038024021B1054B1A6A1043186270478E -S315080010B0024A136A23EA00001062704700380240A9 -S315080010C02DE9F041038803F441570D884E888C8832 -S315080010D0B1F806800A89B1F80AC08B89B1F80EE022 -S315080010E03543254348EA050414434CEA04021A43E7 -S315080010F04EEA02033B430380838B9BB223F40063CF -S315080011009BB283830B8A0382BDE8F08129B10388E9 -S315080011109BB243F040030380704703889BB223F0D9 -S3150800112040039BB203807047808980B2704700BF36 -S315080011308181704729B103889BB243F40053038029 -S31508001140704703889BB223F400539BB20380704711 -S315080011500389194201D0012070470020704700BF5B -S3150800116030B585B004460D46038A9BB223F4405336 -S31508001170CA881343038283899BB223F4B05323F0AE -S315080011800C01A8882A896B89024313430B43A38160 -S31508001190A38A9BB223F44073AA891343A3826846A1 -S315080011A0FFF7ECFE204B9C4203D003F580639C427C -S315080011B001D1039A00E0029AA38913F4004F08D0DC -S315080011C002EB820202EB820229684900B2FBF1F1C6 -S315080011D007E002EB820202EB820229688900B2FB71 -S315080011E0F1F1124BA3FB01235B091B011A096420C9 -S315080011F000FB1212A18911F4004F08D0D200323236 -S315080012000A49A1FB0212C2F342121A4307E012016D -S3150800121032320649A1FB0212C2F343121A4392B2B2 -S31508001220228105B030BD00BF001001401F85EB517B -S3150800123029B183899BB243F400538381704783891C -S315080012409BB223F400539BB283817047C1F3080114 -S31508001250818070478088C0F3080070470388194268 -S3150800126001D0012070470020704700BF82B00023DC -S31508001270019300932A4A136843F480331360284B7A -S315080012801B6803F400330093019B01330193009B11 -S315080012901BB9019BB3F5A06FF1D1214B1B6813F461 -S315080012A0003F02D00123009301E000230093009B36 -S315080012B0012B32D11A4B1A6C42F080521A64194922 -S315080012C00A6842F440420A609A689A609A6842F448 -S315080012D000429A609A6842F4A0529A60124A5A608A -S315080012E01A6842F080721A600D4B1B6813F0007F73 -S315080012F0FAD040F205620D4B1A60A3F580639A682E -S3150800130022F003029A609A6842F002029A60044B3D -S315080013109B6803F00C03082BF9D102B0704700BF95 -S31508001320003802400070004008544007003C024064 -S3150800133010B5104CD4F8883043F47003C4F88830DC -S315080013400D4B1A6842F001021A60002199601A686A -S3150800135022F0847222F480321A60084A5A601A68A7 -S3150800136022F480221A60D960FFF780FF4FF00063ED -S31508001370A36010BD00ED00E00038024010300024E4 -S315080013800369024A12685A6000207047081800204C -S3150800139010B50446D0F8E8351B699847032384F846 -S315080013A01231002010BD00BF08B590F8123180F840 -S315080013B01331042380F81231D0F8E835DB689847F2 -S315080013C0002008BD08B5D0F8E435DB6903B19847B5 -S315080013D0002008BD08B5D0F8E4351B6A98470020F8 -S315080013E008BD00BF08B5D0F8E4355B6A9847002009 -S315080013F008BD00BF10B50446D0F8E8355B699847C4 -S31508001400012384F81531002010BD00BF10B504462D -S31508001410D0F8E8359B699847D4F8E4355B6800212D -S3150800142020469847002084F8150110BD10B50446DB -S3150800143000234022194601F0A3F800234022802108 -S31508001440204601F09DF8012384F81231D4F8E835D6 -S315080014505B68A0789847002010BD00BF10B582B021 -S315080014600446694600F06EF99DF8001001F01F0366 -S31508001470012B08D013B1022B0AD00EE0694620468C -S3150800148000F0D4FA0EE06946204600F0F3FA09E0C7 -S315080014906946204600F00AFB04E001F08001204678 -S315080014A001F00CF9002002B010BD00BF10B50446CB -S315080014B0002947D190F81131022B38D1D0F83821BC -S315080014C0D0F824319A4211D9D21AC0F83821C178F5 -S315080014D0012904D1D0F828110B44C0F8283192B25A -S315080014E0D4F82811204600F0B5F820E0D0F83C11D1 -S315080014F0B1FBF3F203FB121262B98B420AD8D0F899 -S315080015004031994206D2114600F0A4F80023C4F8E7 -S3150800151040310CE0D4F8E435DB682BB194F812219D -S31508001520032A01D120469847204600F0B3F894F8DC -S315080015301631012B11D12046FFF722FF002384F82C -S3150800154016310AE00A46D0F8E4355B692BB190F803 -S315080015501211032901D111469847002010BD00BF7A -S3150800156010B5044659BB90F81131032B32D1D0F887 -S315080015709033D0F87C23934214D99B1AC0F8903341 -S31508001580C178012904D1D0F880131144C0F880131A -S315080015909A4228BF1A4692B2D4F88013204600F021 -S315080015A061F817E0D0F8E4351B6923B190F81221E9 -S315080015B0032A00D19847204600F05CF80AE00A465C -S315080015C0D0F8E4359B692BB190F81211032901D1A3 -S315080015D011469847002010BDF8B504460D46164634 -S315080015E01F4601F0E5FCC4F8E475069BC4F8E83527 -S315080015F0C4F8EC652946204600F074FFD4F8E835AF -S315080016001B689847204601F025FDF8BD10B504462D -S31508001610D0F8E4351B689847D4F8E8359B689847AE -S31508001620002010BD08B5D0F8E4355B68984700205F -S3150800163008BD00BF10B504461346C4F83C21C4F8DB -S315080016403821022280F811210A46002101F012F8F9 -S31508001650002010BD08B513460A46002101F00AF815 -S31508001660002008BD08B513460A46002100F0D4FF3D -S31508001670002008BD10B50446042380F81131002364 -S315080016801A46194600F0F6FF204600F009FF00202A -S3150800169010BD00BF10B50446052380F8113100239C -S315080016A01A46194600F0B8FF204600F0F9FE002059 -S315080016B010BD00BF0346002002E00130C0B201336E -S315080016C01A78002AF9D1704710B504464B88012BC1 -S315080016D009D1C0F81831D0F8E4359B6898472046F8 -S315080016E0FFF7C8FF10BD022B29D18B8813F0FF0F17 -S315080016F025D1026952681B0A013B042B17D8DFE87B -S3150800170003F003070B0F1300012363F306120EE021 -S31508001710022363F306120AE0032363F3061206E0C4 -S31508001720042363F3061202E0052363F30612044B4F -S315080017301A60012384F816312046FFF79BFF10BD77 -S315080017400818002090F8CC350B7090F8CD354B7002 -S3150800175090F8CE3590F8CF2503EB02234B8090F80E -S31508001760D03590F8D12503EB02238B8090F8D2353B -S3150800177090F8D32503EB02239BB2CB80C0F8403107 -S31508001780012380F81131704710B50446802100F016 -S3150800179095FF0021204600F091FF204600F080FECC -S315080017A010BD00BF30B583B005460C464A88130AFB -S315080017B0013B062B67D8DFE803F004162266665E4F -S315080017C06200D0F8EC351B680DF106018078984761 -S315080017D0E388402B03D095F81231012B57D1082303 -S315080017E0ADF8063053E0D0F8E4359B6A0DF10601F2 -S315080017F08078984702234370C5F8F00547E0D2B2CF -S31508001800052A34D8DFE802F0030B131B232BD0F884 -S31508001810EC355B680DF106018078984737E0D0F81B -S31508001820EC359B680DF10601807898472FE0D0F8D3 -S31508001830EC35DB680DF106018078984727E0D0F88B -S31508001840EC351B690DF10601807898471FE0D0F842 -S31508001850EC355B690DF106018078984717E0D0F8FA -S31508001860EC359B690DF10601807898470FE0214613 -S31508001870FFF78AFF19E02146FFF786FF15E02146A4 -S31508001880FFF782FF11E02146FFF77EFF0DE0BDF866 -S31508001890063053B1E28842B19A4228BF1A46ADF8DB -S315080018A0062001462846FFF7C5FE03B030BD00BF37 -S315080018B038B504468B88E3B9CB88D3B98D7805F05B -S315080018C07F0590F81231032B02D1FFF75DFF38BD73 -S315080018D080F81451294600F035FF2046FFF7CAFE66 -S315080018E01DB1022384F8123138BD012384F8123160 -S315080018F038BD2046FFF748FF38BD00BF10B504467F -S315080019008B78214A1370012B02D9FFF73DFF10BDD2 -S3150800191090F81221022A02D0032A10D030E05BB1D7 -S3150800192080F81031032280F812211946FFF76EFE5F -S315080019302046FFF79FFE10BDFFF79CFE10BD5BB962 -S31508001940022280F8122180F810311946FFF76AFE44 -S315080019502046FFF78FFE10BD90F810118B420CD071 -S31508001960FFF760FE084B197884F810112046FFF738 -S315080019704DFE2046FFF77EFE10BDFFF77BFE10BD2D -S31508001980FFF702FF10BD00BFB003002008B5CB88E3 -S31508001990012B02D0FFF7F8FE08BD90F81231022B92 -S315080019A002D0032B05D00AE001220649FFF742FEC2 -S315080019B008BD012200F58871FFF73CFE08BDFFF758 -S315080019C0E3FE08BDB803002008B590F81231023BC3 -S315080019D0012B0DD80122084B1A60D0F8183113B123 -S315080019E00322054B1A6002220349FFF723FE08BDAE -S315080019F0FFF7CAFE08BD00BFAC03002010B50446B9 -S31508001A0090F81231023B012B0DD84B88012B0CD1D3 -S31508001A100023C0F81831D0F8E4359B68984720466B -S31508001A20FFF728FE10BDFFF7AFFE10BD08B54B78CF -S31508001A30092B1BD8DFE803F011171A141A08051A20 -S31508001A400E0BFFF7AFFE13E0FFF732FF10E0FFF7CC -S31508001A5055FF0DE0FFF79AFF0AE0FFF7B5FF07E02D -S31508001A60FFF732FE04E0FFF7C9FF01E0FFF78CFE3F -S31508001A70002008BD38B504460D4690F81231032BF0 -S31508001A800FD10B79012B09D8D0F8E4359B68984714 -S31508001A90EB8843B92046FFF7EDFD04E0FFF774FE37 -S31508001AA001E0FFF771FE002038BD00BF38B50546D6 -S31508001AB00C468A88D1B26378012B27D0002B49D0EF -S31508001AC0032B40F0818090F81231022B02D0032BB1 -S31508001AD007D017E0002977D0802975D000F0EEFDF1 -S31508001AE072E0638823B919B1802901D000F0E6FDB8 -S31508001AF0D5F8E4359B682146284698472846FFF7D7 -S31508001B00B9FD61E02146FFF73FFE5DE090F812312E -S31508001B10022B02D0032B07D018E0002954D08029C5 -S31508001B2052D000F0CBFD4FE06388002B4CD149B171 -S31508001B30802907D000F0E4FDD5F8E4359B682146F6 -S31508001B40284698472846FFF795FD3DE02146FFF7CA -S31508001B501BFE39E090F81231022B02D0032B06D077 -S31508001B602FE089B380292FD000F0A8FD2CE052B2CF -S31508001B70002A10DA01F07F0101EB8101CB00034452 -S31508001B8093F81E311BB10122104B1A6013E0002294 -S31508001B900E4B1A600FE0002A0DDB01EB8101CB002A -S31508001BA0034493F876331BB10122084B1A6002E00E -S31508001BB00022064B1A60022204492846FFF73AFD1E -S31508001BC002E02146FFF7E0FD002038BDB4030020FF -S31508001BD0D0B170B50D4616460446FFF76BFD0130C9 -S31508001BE0400080B23080287003236B70022307E020 -S31508001BF05A1CD2B20134E9540233DBB20021A9548B -S31508001C0021780029F4D170BD704700BFC3684FF032 -S31508001C10FF325A60C3686FF080425A61014BC2684E -S31508001C20936170470008008030B583B005460024EC -S31508001C300194032001F010FAEB681A690192013445 -S31508001C400E4A944217D8019A002AF2DA019A42F00B -S31508001C5001020192019A1A610023EA681269019247 -S31508001C600133064A934203D8019A12F0010FF4D1C0 -S31508001C70032001F0F1F9002003B030BD400D030048 -S31508001C8010B4C4786CB903339B10323200EB82006F -S31508001C908468002203E051F8040B206001329A425E -S31508001CA0F9D300205DF8044B704700BF10B4033227 -S31508001CB09210D0F8D040002303E0206841F8040BC6 -S31508001CC001339342F9D308465DF8044B704700BFC9 -S31508001CD030B40023C3700123837040238380012915 -S31508001CE00DD10123C37208230370042343704FF4F4 -S31508001CF0A073C380022303724FF0A0440CE051B9CD -S31508001D000023C3720C230370062343704FF4A063A9 -S31508001D10C380234C00E00024C46004F5006303611B -S31508001D2000230FE004EB431202F51065191D00EBC2 -S31508001D3081018D6002F5306203F1140100EB810127 -S31508001D404A60013342789342ECD304F580634361D9 -S31508001D5004F58863C0F8CC30002309E004EB43118E -S31508001D6001F5A06103F1220200EB820291600133C2 -S31508001D700578AB42F2D3002309E004EB033101F501 -S31508001D80805103F1320200EB820291600133AB42CB -S31508001D90F3D304F56064C0F80C41002030BC7047EA -S31508001DA00000044038B50446037A012B22D10025E9 -S31508001DB0C268936B6FF31043417A09B143F48013F9 -S31508001DC09363E268D3686FF386136FF396536FF3E2 -S31508001DD051436FF3D343D3602046FFF725FFE378DB -S31508001DE0012B19D1052363F3440545F02005E36863 -S31508001DF09D6011E0C268D16841F04003D360FFF7E7 -S31508001E0013FF4FF45023627A0AB14FF4E813E268DD -S31508001E109363142001F02CF9E378012B07D1E368CA -S31508001E209D68052262F3440545F020059D60002063 -S31508001E3038BD00BFC268936843F001039360002071 -S31508001E40704700BFC268936823F0010393600020BF -S31508001E50704700BF00B583B000230193019A42F092 -S31508001E6020020192019A61F38A120192C2680199CD -S31508001E701161C268126901920133074A934203D875 -S31508001E80019A12F0200FF4D1032001F0E5F80020A2 -S31508001E9003B05DF804FB00BF400D030000B583B036 -S31508001EA000230193019A42F010020192C268019937 -S31508001EB01161C268126901920133074A934203D835 -S31508001EC0019A12F0100FF4D1032001F0C5F8002092 -S31508001ED003B05DF804FB00BF400D030008B5C268F7 -S31508001EE0D3686FF35D736FF39E73012902D143F0D4 -S31508001EF0005302E009B943F08043D360322001F071 -S31508001F00B7F8002008BD00BFC368586900F0010093 -S31508001F10704700BF08B5FFF7F7FF10F1FF3018BF8D -S31508001F20012008BDC36858699B691840704700BFFF -S31508001F300269136861F301031360704738B50546F3 -S31508001F400024C3689C61C3686FF080425A61FFF73A -S31508001F505DFEEB7803B9102444F0804444F4701411 -S31508001F6044F4605444F00C04EA68936923EA0403D1 -S31508001F701C439461002038BD70B504460025D0F88E -S31508001F800C311D600269136865F3CC231360C37AAC -S31508001F90012B27D12E460321FFF7CAFFE2688023CB -S31508001FA05362202262F31F4563F30F05E1688D62D1 -S31508001FB0A02161F30F0663F31F46E368C3F80461C3 -S31508001FC04FF4907363F30F0662F31F46E368C3F892 -S31508001FD008614FF4A07363F30F066FF31F46E368B7 -S31508001FE0C3F80C6110212046FFF734FF2046FFF79F -S31508001FF055FF236900221A6123695A6123694FF044 -S31508002000FF3199612369DA6116E0131D04EB830336 -S315080020109B681B68002B02DA4FF0904100E0002114 -S31508002020131D04EB83039868016099680020086112 -S315080020309B68FF219960013263789A42E5D30022B2 -S3150800204018E002F1140304EB83035B681B68002B9A -S3150800205002DA4FF0904100E0002102F1140304EB8C -S315080020608303586801605968002008615B68FF218E -S315080020709960013263789A42E3D32269136943F47B -S3150800208080731361E378012B09D10323402262F39D -S315080020908A0343F4803362F3594322691363204663 -S315080020A0FFF74CFF002070BD03699B68C3F341032B -S315080020B0022B04D005D8012B03D00320704701203A -S315080020C070470220704700BF03699B6882691168E0 -S315080020D0C3F34103022B02D06FF30A0102E0032384 -S315080020E063F30A0111600269536843F4807353600D -S315080020F00020704730B400224B78012B08D10D78A8 -S315080021002C1D00EB8404A468AB4063F30F0209E0BE -S315080021100D7805F1140400EB840464680123AB40D0 -S3150800212063F31F42236813F4004F0DD18D6865F3DE -S315080021300A03CD7865F39343C98861F3995343F04D -S31508002140805343F4004323600369D9690A43DA617B -S31508002150002030BC704700BF70B4002215464B788B -S31508002160012B08D10E78311D00EB81018C68B34034 -S3150800217063F30F0509E00B7803F1140400EB8404FC -S3150800218064680121994061F31F456FF3CF322260DD -S315080021900269D36923EA0503D361002070BC70473E -S315080021A038B54B78012B58D10B78043300EB8303F1 -S315080021B09B681A681C694B692BB96FF312040123D3 -S315080021C063F3DC440DE063F312048D682B44013B92 -S315080021D0B3FBF5F363F3DC44CB78012B01D163F34E -S315080021E05E740B78043300EB83039B681C61C37829 -S315080021F0012B07D10B78043300EB83039B680C692A -S315080022005C610CE0CB78012B09D04B693BB10C78AB -S31508002210012303FA04F405696B6B23436B63CB78DC -S31508002220012B09D103699B6813F4807F02D142F020 -S31508002230005201E042F0805242F004420B78043327 -S3150800224000EB83039B681A60CB78012B44D18B8AF9 -S315080022500A78C968FFF714FD3EE00B78143300EBE3 -S3150800226083035B681A681C694B6933B98B6863F327 -S315080022701204012363F3DC440CE08D682B44013B14 -S31508002280B3FBF5F3C3F3090363F3DC4405FB03F37C -S3150800229063F312040B78143300EB83035B681C6149 -S315080022A0C378012B06D10B78143300EB83035B68E4 -S315080022B00C695C61CB78012B06D14B7913B142F0DE -S315080022C0005201E042F0805242F004420B78143387 -S315080022D000EB830043681A60002038BD30B482B032 -S315080022E04B78012B49D183691A681C6900944C699B -S315080022F06CB99DF800406FF306048DF800409DF810 -S315080023000240012565F3C4048DF8024017E08D6884 -S31508002310AC4207D94D619DF8004065F306048DF877 -S31508002320004005E09DF8005064F306058DF800505E -S315080023309DF80240012565F3C4048DF80240009C0F -S315080023401C61C478012C06D10C78043400EB840493 -S31508002350A4680D69656142F004421A60C378002BCF -S315080023604CD14B69002B49D00A78012303FA02F2B3 -S3150800237001694B6B13434B6340E00B78143300EB56 -S3150800238083035B681A681B6900934B6973B98C6889 -S315080023909DF8003064F306038DF800309DF802308E -S315080023A0012464F3C4038DF802300EE08C684C6196 -S315080023B09DF8003064F306038DF800309DF802306E -S315080023C0012464F3C4038DF802300B78143300EB50 -S315080023D083035B68009C1C61C378012B06D10B78CC -S315080023E0143300EB83035B680C695C6142F00442BA -S315080023F00B78143300EB830043681A60002002B0A0 -S3150800240030BC70474B78012B0DD10B78043300EBA9 -S31508002410830082681368002B01DA43F0804343F493 -S315080024200013136008E00B78143300EB830042684E -S31508002430116841F400131360002070474B78012B94 -S3150800244005D10B78043300EB8300806804E00B7831 -S31508002450143300EB8300406802686FF35552CB785B -S31508002460023BDBB2012B01D842F080520260002009 -S31508002470704700BF03699869DB691840000C70470C -S31508002480143100EB81014B689A680369586910405A -S31508002490704700BF03699869DB69184080B27047C6 -S315080024A082B000230093C0238DF803304FF0000359 -S315080024B0012262F3C4038DF8023018238DF8003028 -S315080024C0436D009A1A61C378012B08D1436D00F257 -S315080024D0CC525A61436D1A684FF080221A6002B0D6 -S315080024E0704700BF38B50446FFF7F2FB012384F8AE -S315080024F01231002284F8142115E091001144CB0012 -S315080025002344012183F81D1183F81C21A3F82221F5 -S31508002510002183F81F114020C3F82401C3F82811AD -S31508002520C3F83011013260788242E6D3002214E003 -S3150800253091001144CB002344002183F8751383F8D6 -S315080025407423A3F87A2383F877134025C3F87C53BA -S31508002550C3F88013C3F8881301328242E8D32046B1 -S31508002560FFF770FC2046FFF71DFC00212046FFF709 -S31508002570B5FC2046FFF700FD2046FFF75BFC38BD9B -S3150800258070B54DB2002D09DA01F07F0606EB860616 -S31508002590F40004F58C740444043408E001F07F0662 -S315080025A006EB8606F40004F55C740444043401F072 -S315080025B07F012170ED0F6570A260E37005B1E180BF -S315080025C0022B01D1002323712146FFF793FD00203A -S315080025D070BD00BF10B54AB2002A09DA01F07F04BF -S315080025E004EB8404E30003F58C730344043308E026 -S315080025F001F07F0404EB8404E30003F55C730344F1 -S31508002600043301F07F011970D20F5A701946FFF78B -S31508002610A3FD002010BD00BF70B501F07F04A60021 -S315080026203519E9000D4601F55C710144043105448C -S31508002630C5F88023C5F888330023C5F88C3385F898 -S31508002640753385F87443C378012B05D104EB8405EB -S31508002650EB000344C3F8842304EB8404E300034437 -S3150800266093F8743313B9FFF739FE01E0FFF798FDC5 -S31508002670002070BD70B501F07F05AE007119CC0061 -S3150800268004F58C740444211D2E44F40004440126E8 -S3150800269084F81D6184F81C51C4F82821C4F82C213B -S315080026A00022C4F83421C4F8303115B9FFF716FEF4 -S315080026B001E0FFF775FD002070BD00BF10B54AB2F6 -S315080026C0002A09DA01F07F0404EB8404E30003F529 -S315080026D08C730344043306E001EB8104E30003F53D -S315080026E05C730344043301249C7001F07F01197064 -S315080026F0D20F5A701946FFF785FE002010BD00BF9D -S3150800270010B54AB2002A09DA01F07F0404EB840402 -S31508002710E30003F58C730344043306E001EB8104FC -S31508002720E30003F55C730344043300249C7001F052 -S315080027307F011970D20F5A701946FFF77FFE20469F -S3150800274010BD00BF002361F30A13026911680B4329 -S315080027501360704708B50369596841F002025A6068 -S31508002760032000F085FC08BD10B50446044B1B6821 -S315080027705B6A98474FF08043E2685361012010BDB9 -S315080027801400002038B50446C3685D6815F0040FC8 -S3150800279003D0044B1B689B6A9847E3685D60012079 -S315080027A038BD00BF1400002010B50446837A43B133 -S315080027B0D0F80C316FF341036FF30003D0F80C2106 -S315080027C013602269536823F001035360054B1B68A5 -S315080027D09B69204698474FF00043E2685361012001 -S315080027E010BD00BF1400002030B583B00546002494 -S315080027F08DF8074090F812318DF80730154B1B6895 -S315080028005B6998472B699A684FF40064EB685C61CA -S31508002810AB7AE3B112F0010F19D095F81531012BF7 -S3150800282015D19DF80730DBB2032B10D1D5F80C2152 -S31508002830136843F001031360D5F80C21136843F0BD -S3150800284003031360044A136943F006031361012066 -S3150800285003B030BD1400002000ED00E010B50446BA -S31508002860044B1B68DB6898470823E268536101201C -S3150800287010BD00BF1400002010B50446044B1B68A9 -S31508002880DB6998474FF48013E2685361012010BD55 -S315080028901400002010B50446044B1B681B6A9847B1 -S315080028A04FF40013E2685361012010BD14000020A4 -S315080028B010B402691469536BCB40DB01DBB22343C6 -S315080028C0043100EB81008268906818405DF8044B7B -S315080028D0704700BF2DE9F0410746FFF7CBFD0446D8 -S315080028E0002553E014F0010F4ED05FFA85F84146F3 -S315080028F03846FFF7C5FD064610F0010F2BD0012616 -S3150800290005F1140307EB83035B689E60FB78B3420B -S3150800291010D105F1140307EB83035B681969AA0054 -S315080029202A44D3003B44D3F87C23C1F31201521A3C -S31508002930C3F88C23184B1B681B68414638469847D2 -S31508002940FB78012B07D135B997F81131052B02D140 -S315080029503846FFF7A5FD16F0020F06D0022605F148 -S31508002960140307EB83035B689E6016F0080F0BD011 -S31508002970094B1B689B6838469847082605F11403D7 -S3150800298007EB83035B689E6001356408002CA9D1B8 -S315080029900120BDE8F08100BF140000202DE9F041B8 -S315080029A007460D468A000A44D3000344D3F830216B -S315080029B0D3F83411521AD3F824319A4200D8134660 -S315080029C003F103084FEA98082B1D07EB83039B685E -S315080029D09B6927E05B1A05EB8501CA003A44D2F8E1 -S315080029E02461B34200D81E4606F103084FEA980848 -S315080029F0AB002B44DC003C44B3B2EAB2D4F828114D -S31508002A003846FFF73DF9D4F828313344C4F828315D -S31508002A10D4F834311E44C4F834612B1D07EB830304 -S31508002A209B689B699BB298450BD2AB002B44DA0096 -S31508002A303A44D2F83411D2F83031994201D2002BF7 -S31508002A40C8D10120BDE8F0812DE9F0410746FFF71E -S31508002A5021FD044600265EE014F0010F59D05FFA06 -S31508002A6086F841463846FFF723FF054610F0010F62 -S31508002A701ED0012303FA06F039694A6B22EA0002DE -S31508002A804A631D46321D07EB820292689360244B07 -S31508002A901B685B68414638469847FB78AB4207D1C6 -S31508002AA036B997F81131042B02D13846FFF7F8FCEE -S31508002AB015F0080F05D00825331D07EB83039B681F -S31508002AC09D6015F0100F05D01025331D07EB830305 -S31508002AD09B689D6015F0400F05D04025331D07EB18 -S31508002AE083039B689D6015F0020F05D00225331DF0 -S31508002AF007EB83039B689D6015F0800F09D031466C -S31508002B003846FFF74BFF8025331D07EB83039B6889 -S31508002B109D6001366408002C9ED10120BDE8F08135 -S31508002B20140000202DE9F0410546C268936923F098 -S31508002B3010039361C3681C6A04F00F06C4F3434389 -S31508002B40022B02D0062B1CD02BE047F6F07323424B -S31508002B5027D0C4F30A144FEA860808EB0603DF00F9 -S31508002B6007442246D7F88013FFF7A0F8D7F8803332 -S31508002B702344C7F88033D7F88C331C44C7F88C43F2 -S31508002B800FE0082200F2CC51FFF790F8C4F30A14BC -S31508002B90B2009119CB002B44D3F88C231444C3F804 -S31508002BA08C43EA68936943F0100393610120BDE8FA -S31508002BB0F08100BF2DE9F84304460025A8462F46B4 -S31508002BC02E460269536823F0010353602946FFF72E -S31508002BD041F92B460CE01A1D04EB82029268FF218C -S31508002BE0916003F1140204EB82025268916001338A -S31508002BF062789342EFD323694FF0FF329A614FF020 -S31508002C00010969F30F0569F31F452369DD6148F07A -S31508002C100B082369C3F8148047F00B0723691F6163 -S31508002C20226913686FF30A1313602046FFF738FC0E -S31508002C3046F48056E3685E61034B1B681B692046B1 -S31508002C4098474846BDE8F8831400002038B504467E -S31508002C50FFF73AFAE368DD682046FFF725FA032806 -S31508002C6008D10023A3704FF40073A380092363F3EC -S31508002C708D2506E00123A3704023A380052363F373 -S31508002C808D25E368DD604FF40053E2685361012047 -S31508002C9038BD00BF2DE9F8430546FFF73BF9002884 -S31508002CA06AD02846FFF73EF90646002868D0C0F3E2 -S31508002CB0074818F0080F04D02846FFF70BFE04460D -S31508002CC000E0002418F0040F03D02846FFF7BCFEE6 -S31508002CD00443F7B217F0020F02D00223EA685361E1 -S31508002CE04FEA166919F0800F03D02846FFF75CFDF6 -S31508002CF00443C6F3072616F0080F03D02846FFF745 -S31508002D0073FD044317F0080F03D02846FFF7A6FD06 -S31508002D10044317F0100F03D02846FFF703FF0443B8 -S31508002D2016F0100F03D02846FFF744FF044316F0A9 -S31508002D30200F03D02846FFF789FF044318F0100F29 -S31508002D4003D02846FFF798FD044318F0200F03D058 -S31508002D502846FFF79FFD044319F0400F03D0284685 -S31508002D60FFF702FD044317F0040F08D02846FFF7C3 -S31508002D7009FD2043BDE8F8830020BDE8F883204616 -S31508002D80BDE8F8832DE9F04383B001210846FEF734 -S31508002D9051F90124009400268DF804608DF8076027 -S31508002DA002258DF80550384F69463846FEF780F8F3 -S31508002DB021464FF40030FEF759F921462046FEF722 -S31508002DC039F9072229463846FEF7C0F807220321B3 -S31508002DD03846FEF7BBF88DF806608DF807408DF883 -S31508002DE004504FF00408CDF800808DF80550694668 -S31508002DF03846FEF75DF88DF804504FF00809CDF80F -S31508002E00009069463846FEF753F821462846FEF7ED -S31508002E1011F907F58067092249463846FEF796F8FC -S31508002E20092211463846FEF791F84FF4407300938D -S31508002E308DF804508DF805508DF806608DF807401A -S31508002E4069463846FEF734F821464FF00070FEF71B -S31508002E500DF921464046FEF7EDF84FF480570097E6 -S31508002E608DF804408DF806608DF807408DF80550FA -S31508002E70064C69462046FEF71BF839462046FEF7FB -S31508002E8061F803B0BDE8F083000002400008024084 -S31508002E9008B5FFF777FF01F01FF801F02DF8FCE7FA -S31508002EA007498D460749084A084B9A42BEBF51F85A -S31508002EB0040B42F8040BF8E7054880470548004725 -S31508002EC00000022030730008000000205001002096 -S31508002ED031130008E50100083349344A002301E0AC -S31508002EE041F8043B9142FBD3FFF7D2FFFEE7FEE72A -S31508002EF0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE79C -S31508002F00FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE78B -S31508002F10FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE77B -S31508002F20FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE76B -S31508002F30FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE75B -S31508002F40FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE74B -S31508002F50FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE73B -S31508002F60FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE72B -S31508002F70FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE71B -S31508002F80FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE70B -S31508002F90FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7FB -S31508002FA0FEE7FEE7FEE70000500100204C1900206E -S31508002FB070B582B001210846FEF73CF84FF4C053BD -S31508002FC0009303268DF8056002238DF8043000254A -S31508002FD08DF806508DF807501D4C69462046FDF7BA -S31508002FE067FF0A220B212046FDF7B0FF0A220C21B3 -S31508002FF02046FDF7ABFF01210420FEF71BF84FF42E -S315080030000063009301248DF804408DF806508DF86E -S3150800301007408DF8056005F1804505F502356946D6 -S315080030202846FDF745FF6B6923F400636B6121466B -S315080030304FF48040FEF728F821468020FEF708F86E -S3150800304021464FF08050FEF72DF802B070BD00BF44 -S3150800305000000240704700BF06E000BF013300E0F1 -S3150800306000231B2BF9D91046421E0028F8D17047B9 -S3150800307008B54FF47A7303FB00F0FFF7EDFF08BDC0 -S3150800308020230B80004870477000002008B590F890 -S315080030901231032B01D100F08BFE002008BD00BFC2 -S315080030A008B500F085FE002008BD00BF08B5084633 -S315080030B000F0B0FE002008BD08B50B7813F0600FCD -S315080030C021D14B780A2B12D00B2B15D0062B1AD1EF -S315080030D04B881B0A212B05D1CA88172A28BF172215 -S315080030E00A4901E00A491722FEF7A4FA0BE0012271 -S315080030F00849FEF79FFA06E08B7813B9054A13606C -S3150800310001E0FEF741FB002008BD00BF8200002059 -S3150800311079000020BC03002010B504468121FFF782 -S3150800312059FA01212046FFF755FA002010BD00BFC5 -S3150800313010B50446022340228121FFF721FA022313 -S31508003140402201212046FFF71BFA4023034A0121AA -S315080031502046FFF761FA002010BD00BF0C190020B9 -S31508003160004870470C19002012230B80004870474E -S31508003170B000002004230B8000487047AC000020F4 -S3150800318010B5044C0A4621460348FEF721FD2046A1 -S3150800319010BD00BF0C1800205C6A000810B5044C6E -S315080031A00A4621460348FEF713FD204610BD00BF18 -S315080031B00C180020706A000810B5044C0A4621460F -S315080031C00348FEF705FD204610BD00BF0C18002079 -S315080031D0806A000810B5044C0A4621460348FEF7E3 -S315080031E0F7FC204610BD00BF0C180020906A0008A6 -S315080031F010B5044C0A4621460348FEF7E9FC20466A -S3150800320010BD00BF0C180020986A0008704700BF60 -S31508003210704700BF704700BF08B5FDF769F808BDDD -S3150800322008B5FDF767F808BD08B50120FDF72EF8C3 -S3150800323008BD00BF08B50020FDF728F808BD00BF87 -S31508003240F0B5002421E0134D15F8147005EB44057C -S3150800325095F801E007EB0E0505FB00054AF2104C50 -S315080032609CFBF5F605FB16C66EB99CFBF5F5ADB2EB -S315080032700D80013DADB2B5F5806F04D2177083F8A5 -S3150800328000E00120F0BD0134E4B2112CDBD90020A6 -S31508003290F0BD00BFD86A000800B583B001AB0DF1D8 -S315080032A005020DF106014FF4FA70FFF7C9FF012870 -S315080032B003D0EE21384800F0D9FD384B00225A6178 -S315080032C01A6842F400421A6001E000F0ABFE334B84 -S315080032D01B6813F4004FF8D1304B1A6822F002022B -S315080032E01A601A6842F001021A6001E000F09AFEBC -S315080032F02A4B5B6813F0010FF8D09DF805305A1E6B -S315080033009DF80430013B1B0543EA0243BDF806203D -S31508003310013A1343214AD361136823F0010313606A -S3150800332001E000F07FFE1D4B5B6813F0010FF8D13A -S315080033301A4BD3F8002242F00102C3F80022D3F850 -S315080033401C2222F00102C3F81C22D3F80C2242F0F8 -S315080033500102C3F80C220022C3F84022C3F8442213 -S31508003360D3F8042222F00102C3F80422D3F8142267 -S3150800337022F00102C3F81422D3F81C2242F00102FB -S31508003380C3F81C22D3F8002222F00102C3F8002257 -S3150800339003B05DF804FB00BFB06A00080064004093 -S315080033A038B504460D46214B9B6813F0806F04D14F -S315080033B04FF4A2711E4800F059FD1C4BD3F880212A -S315080033C002F00102C3F88021D3F8802142F07C4242 -S315080033D042F40012C3F88021C3F88451E178A27838 -S315080033E0120442EA0162617842EA012221780A431C -S315080033F0C3F88821E179A279120442EA0162617967 -S3150800340042EA012221790A43C3F88C21D3F88021A4 -S3150800341042F00102C3F8802101E000F003FE034BED -S315080034209B6813F0806FF8D038BD00BF0064004079 -S31508003430B06A0008214BDB6813F0030F3CD01F4B22 -S31508003440D3F8B03113F0040F04D11C4BD3F8B031C4 -S315080034505B0D05E0194BD3F8B031DB0843F00043A8 -S3150800346040F26762934220D1144BD3F8B821027018 -S31508003470D3F8B821120A4270D3F8B821120C827018 -S31508003480D3F8B821120EC270D3F8BC210271D3F852 -S31508003490BC21120A4271D3F8BC21120C8271D3F8EE -S315080034A0BC311B0EC371012000E00020034AD3681B -S315080034B043F02003D3607047002070470064004043 -S315080034C008B500F0F7F908BD10B500F003FAA0B189 -S315080034D0FCF7C2FE88B100F057FD00F00DFA00F0C7 -S315080034E0FDF920F0604020F07F00044B186000F0E2 -S315080034F0F5F9446800F0E0F9A04710BD08ED00E0D2 -S3150800350070B508E0461C4D1C0B78037000F08AFD68 -S31508003510224630462946531E9CB2002AF2D170BD77 -S3150800352038B50546002419E000F07CFD04EB44029A -S3150800353093000C4AD358AB420ED804EB44018A00D8 -S3150800354008490A44526813449D4205D204EB4400D4 -S3150800355083000B44187A38BD0134E4B2092CE3D948 -S31508003560FF2038BD2C6B000808B5C1F3080353B912 -S315080035700368994209D040F8041B4FF40072FFF71C -S31508003580BFFF012008BD002008BD012008BD00BFFF -S315080035902DE9F04107460068FFF7C2FFFF282ED045 -S315080035A0FDF7DAFBF320FDF7EFFBFDF7F3FB012848 -S315080035B018D1FDF7E1FB0020BDE8F0813E68A300C5 -S315080035C006EB03083B445D6800F02CFD294640469F -S315080035D0FDF750FC08280AD156F824309D4208D138 -S315080035E0013400E000247F2CE8D9012402E00024FD -S315080035F000E00024FDF7C0FB2046BDE8F08100206E -S31508003600BDE8F08138B50D460B4B984207D0044605 -S315080036100A4B994205D0FFF7BBFF18B908E0084CDA -S3150800362000E0054C29462046FFF79EFF10B938BD35 -S31508003630002038BD204638BDC003002000800008A1 -S31508003640C40500202DE9F04106460C4617461D46DE -S3150800365021F4FF7828F001080368B3F1FF3F04D18D -S315080036604146FFF781FF034630B33368984505D0D6 -S3150800367041463046FFF7C6FF0646D0B13368E41A1E -S315080036803444043400F0CEFC331DE31AB3F5007F4E -S3150800369007D308F500713046FFF7B4FF064650B168 -S315080036A0041D17F8013B04F8013B013DEAD101234B -S315080036B002E0002300E000231846BDE8F08100BFC1 -S315080036C0884229D838B504460D46012826D90B293B -S315080036D026D8FDF741FBF320FDF756FBFDF75AFB0D -S315080036E0012813D1FDF748FB002038BD00F09AFCED -S315080036F002210C4B33F81400FDF784FB082803D08D -S31508003700FDF73AFB002038BD0134E4B2AC42EDD9EE -S31508003710FDF732FB012038BD00207047002038BD78 -S31508003720002038BDFC6A00084FF0FF33024A1360D8 -S31508003730024A1360704700BFC4050020C00300207A -S3150800374070B504460D461646FFF7EAFEFF281AD05E -S3150800375060190138FFF7E4FEFF2816D024F4FF733A -S3150800376023F001030A4A934206D12B4632462146E4 -S315080037700848FFF767FF70BD2B46324621460648C4 -S31508003780FFF760FF70BD002070BD002070BD00BF50 -S3150800379000800008C0030020C405002070B5064656 -S315080037A00C46FFF7BDFE054630190138FFF7B8FE8F -S315080037B0FF2D06D0FF2806D001462846FFF780FFD2 -S315080037C070BD002070BD002070BD00BF114B1B6886 -S315080037D0B3F1FF3F18D000B583B00E4B59689A680D -S315080037E01144DA6811441A6911445A6911449A69EC -S315080037F01144DA698B185B4202AA42F8043D042197 -S315080038000548FFF79DFF01E00120704703B05DF80A -S3150800381004FB00BFC0030020888100080D4B1A680E -S3150800382004331B6813440C4A126813440B4A126883 -S3150800383013440B4A11680B44043212681A44094BA4 -S315080038401B681344084A1268D34201D10120704705 -S315080038500020704700800008088000080C800008D7 -S3150800386010800008188000088881000808B50D4BEC -S315080038701B68B3F1FF3F04D00A48FFF789FE0346E9 -S3150800388068B1094B1B68B3F1FF3F05D00648FFF73F -S315080038907FFE034610B902E0012300E00123184623 -S315080038A008BD00BFC0030020C405002000487047BB -S315080038B00080000872B6704762B6704708B5FFF711 -S315080038C033FF08BD08B5FFF73BFF08BD08B5FFF78E -S315080038D065FF08BD08B5FFF7A1FF08BD08B5FFF7E6 -S315080038E0E5FF08BD08B5FFF771FF034610B1FFF7FE -S315080038F0BDFF0346184608BD0022014B1A607047F3 -S3150800390010E000E008B5FFF7F7FF054B054A5A60D7 -S3150800391000229A6005211960034B1A6008BD00BF92 -S3150800392010E000E03F900200C8070020044B1B6827 -S3150800393013F4803F03D0034A1368013313607047BA -S3150800394010E000E0C807002008B5FFF7EFFF014BBD -S31508003950186808BDC807002010B504468021094824 -S31508003960FDF77CFC58B121460648FDF76FFC80211F -S315080039700448FDF773FC0028F9D0012010BD00208B -S3150800398010BD00BF0044004010B50446202106487B -S31508003990FDF764FC012805D10348FDF75BFC2070A0 -S315080039A0012010BD002010BD0044004010B584B0B1 -S315080039B04FF4614300930023ADF80430ADF80630A8 -S315080039C0ADF80830ADF80C300C23ADF80A30054CCC -S315080039D069462046FDF7C4FB01212046FDF728FC71 -S315080039E004B010BD0044004070B506460D46402997 -S315080039F003D976210F4800F039FA2846FFF7ACFFBD -S31508003A00012803D07A210B4800F030FA00240CE094 -S31508003A1000F008FB305DFFF79FFF012803D08321E4 -S31508003A20044800F023FA0134A4B2ABB29C42EFD3A7 -S31508003A3070BD00BFA46B000838B5224B1C789CB932 -S31508003A402148FFF7A1FF01283AD11F4B1B78002B0D -S31508003A5031D0FFF779FF1D4B186000221C4B1A70F6 -S31508003A600122184B1A702BE00546194B1B780133B7 -S31508003A7015481844FFF788FF0446012810D1144B4F -S31508003A801A780132D2B21A700F4B1B789A4214D1A7 -S31508003A9010492846FFF734FD00220A4B1A700FE03A -S31508003AA0FFF752FF094B1B686433984207D9002475 -S31508003AB0044B1C7004E01C4602E0002400E00024CD -S31508003AC0204638BD11080020D0070020CC0700206A -S31508003AD012080020D1070020094B03F11801002223 -S31508003AE005E09A745961183318310132D2B2002AA6 -S31508003AF0F7D09A7400225A61014A024B1A6070473D -S31508003B0018080020140800200B4B1B6873B110B46A -S31508003B105C69094A146019749860D86000225A745E -S31508003B201860013908445860987C01E0FF20704706 -S31508003B305DF8044B704700BF1408002038B50446EA -S31508003B400D46012804D94FF4CD71154800F08EF9B9 -S31508003B5062002244D300134A13445A7C1B7C9A42BF -S31508003B601AD062002244D3000E4A1344DA6815704C -S31508003B705A7C01325A74DA680132DA605B689A4212 -S31508003B800CD9084A63001819C1000846515803465B -S31508003B901344D960012038BD002038BD012038BD46 -S31508003BA0D06B00081808002008B50146024B1878A3 -S31508003BB0FFF7C4FF08BD00BFCC08002038B504468F -S31508003BC00D46012804D94FF4DE71144800F04EF969 -S31508003BD004EB4402D300124A1344587CE0B1620055 -S31508003BE02244D3000E4A13449A6812782A705A7CE3 -S31508003BF0013A5A749A6801329A605B689A420AD9FD -S31508003C00074A63001819C100084651580346134469 -S31508003C109960012038BD012038BD00BFD06B00086F -S31508003C201808002008B50146024B1878FFF7C6FFAA -S31508003C3008BD00BF4808002010B50446012804D96D -S31508003C404FF4EE71044800F011F904EB4404E30064 -S31508003C50024A1344587C10BDD06B0008180800208F -S31508003C6030B583B0FFF738FF0F4C4021601CFFF7D3 -S31508003C704BFF20700D4D4021681CFFF745FF28704B -S31508003C802378FF2B01D0FF2803D17921084800F0BB -S31508003C90EDF8084B0093084B084A01210848FDF740 -S31508003CA09BFC03B030BD00BFCC08002048080020AC -S31508003CB0D06B0008C40000204400002090000020BB -S31508003CC01009002008B50348FEF744FD0020FCF75C -S31508003CD0DDFA08BD1009002070B506460D463F29D5 -S31508003CE003D99A210F4800F0C1F82846FFF75CFF70 -S31508003CF0012803D09E210B4800F0B8F800240CE0F8 -S31508003D0000F090F9305DFFF74FFF012803D0A72197 -S31508003D10044800F0ABF80134A4B2ABB29C42EFD32E -S31508003D2070BD00BFD06B000838B505461B48FEF7C6 -S31508003D30B1FF1B4B1C7874B91A48FFF773FF0128AB -S31508003D4029D1184B1B780BB30122154B1A70002288 -S31508003D50154B1A701FE0144B1B7801331148184491 -S31508003D60FFF760FF0446012812D10F4B1A7801327B -S31508003D70D2B21A700B4B1B789A420BD10B492846C4 -S31508003D80FFF7BEFB0022064B1A7004E01C4602E051 -S31508003D90002400E00024204638BD00BF100900209A -S31508003DA0440F0020040F00200D090020050F0020F5 -S31508003DB030B583B0134B1878FFF73EFF00B30546BE -S31508003DC0402800D94025002412E00DF107010D4BCB -S31508003DD01878FFF7F3FE012804D04FF492710A48C9 -S31508003DE000F044F89DF80720084B1A550134E4B250 -S31508003DF0A542EAD82B46054A81210548FEF73AFC32 -S31508003E0003B030BDCC080020D06B00088C08002019 -S31508003E101009002070B500EB8000C300104A134457 -S31508003E20B3F88C63002410E00E4B1D78FFF798F961 -S31508003E30015D2846FFF782FE012804D040F24311AF -S31508003E40094800F013F80134A4B2B442ECD3FFF7E2 -S31508003E5087F94023024601210148FEF7DDFB70BDC4 -S31508003E601009002048080020D06B000808B500F0AB -S31508003E70D9F8FCE708B500F0CDF8012816D000F00F -S31508003E805FF998B10A4B1B78012B0FD1FFF75CFD40 -S31508003E90084B1B6803F5FA63984207D30022044BC4 -S31508003EA01A7000F057F908B9FFF70EFB08BD00BFF6 -S31508003EB0450F0020480F002008B50122044B1A7050 -S31508003EC0FFF742FD034B1860FFF7D4FF08BD00BF9C -S31508003ED0450F0020480F002008B5FFF7F1FA00F05B -S31508003EE09DF8FFF70FFDFFF7E9FC00F013F900F066 -S31508003EF00FF8FFF7E1FF08BD08B500F093F8FFF7E4 -S31508003F0015FD00F035FA00F017F8FFF7B3FF08BD06 -S31508003F1010B502F0ADFCFFF7BFF9064C012323707C -S31508003F20FFF744FD00232370FFF79AFE0223237050 -S31508003F3010BD00BFE000002008B51148FFF77AFA67 -S31508003F40012805D101220F4B1A700D4802F0AEFC6C -S31508003F500B48FFF771FD012805D10022094B1A709D -S31508003F60074802F0A3FC0648FFF7DEFE012805D144 -S31508003F700222044B1A70024802F098FC08BD00BFE2 -S31508003F804C0F0020E000002008B5FFF79BFE08BD97 -S31508003F9038B504460D460C4B1B78012B02D1C9B225 -S31508003FA0FFF7FEF9084B1B781BB9E9B22046FFF765 -S31508003FB01BFD054B1B78022B03D1E9B22046FFF700 -S31508003FC08BFE02F06BFC38BDE0000020074B1B7827 -S31508003FD0022B05D0032B05D0012B05D040207047B6 -S31508003FE03F2070470020704708207047E0000020F7 -S31508003FF0074B1B78022B05D0032B05D0012B05D0C8 -S31508004000402070473F2070470020704708207047BF -S31508004010E000002008B502F039FC08BD08B5FCF739 -S315080040202BF908BD08B5FCF72DF908BD00F00F02FD -S3150800403002F13003092802D902F137007047054A10 -S3150800404012681A44527802F00302022A00D1203B71 -S31508004050D8B27047E400002038B505460C4600097A -S31508004060FFF7E4FF207005F00F00FFF7DFFF607031 -S315080040700023A370204638BD034601310D4AA2FB32 -S315080040800323DB08F9D110B400230B70094BA3FBFB -S315080040900023DB081A4603EB83035C00031B30335B -S315080040A001F8013D1046002AF0D108465DF8044B98 -S315080040B0704700BFCDCCCCCC30B4002423461EE0DC -S315080040C0025D134909681144497801F00301022980 -S315080040D000D1203AD2B2A2F13001C9B2162912D8BB -S315080040E0A2F13A05EDB2062D0FD9092901D9373AB9 -S315080040F0D1B21A01D2B20A44D3B20134E4B2012CC5 -S31508004100DED9184602E0002000E0002030BC7047E7 -S31508004110E400002008B50022054B1A700549064838 -S3150800412001F04EFD18B177210448FFF79FFE08BD40 -S31508004130CC0F0020F86B0008D80F0020FC6B000895 -S31508004140034B1B780BB9012070470020704700BF4E -S31508004150CC0F002008B5FFF75DFF01280AD0084BF1 -S315080041601B784BB9FCF7C8F8012807D10122044B84 -S315080041701A7008BD002008BD002008BD002008BD33 -S31508004180CC0F00200378114A1168CA18527802F039 -S315080041900302022A00D1203B532B0DD14378194440 -S315080041A04A7812F0040F09D0312B09D0322B09D0E6 -S315080041B0332B09D10220704703207047032070472C -S315080041C0002070470120704703207047E400002054 -S315080041D070B506460230FFF76FFF054684B204360F -S315080041E03046FFF769FF2844C5B2013CA4B202363F -S315080041F0012CF5D8ED43EDB23046FFF75DFF854259 -S3150800420001D0002070BD012070BD00BF2DE9F0412E -S31508004210044616460F4601B120B940F261215348BB -S31508004220FFF724FE2046FFF7ADFF0546032800F0FA -S3150800423093802046FFF7CCFF002800F09080012DE0 -S3150800424028D015B1022D52D08DE0A01CFFF734FFFF -S3150800425085B2201DFFF730FF4FEA0028C7F8008017 -S31508004260A01DFFF729FF404438600834033D2DB2EE -S3150800427056B92846BDE8F0812046FFF71DFFF055E0 -S3150800428002340137BFB200E00027AF42F4DB28460C -S31508004290BDE8F081A01CFFF70FFF85B2201DFFF7D0 -S315080042A00BFF4FEA0048C7F80080A01DFFF704FF80 -S315080042B008EB0028C7F8008004F10800FFF7FCFEA9 -S315080042C0404438600A34043D2DB256B92846BDE844 -S315080042D0F0812046FFF7F0FEF05502340137BFB2F1 -S315080042E000E00027AF42F4DB2846BDE8F081A01CB9 -S315080042F0FFF7E2FE85B2201DFFF7DEFE4FEA0068F3 -S31508004300C7F80080A01DFFF7D7FE08EB0048C7F8DE -S31508004310008004F10800FFF7CFFE08EB0028C7F875 -S31508004320008004F10A00FFF7C7FE404438600C34E9 -S31508004330053D2DB256B92846BDE8F0812046FFF75F -S31508004340BBFEF05502340137BFB200E00027AF428A -S31508004350F4DB2846BDE8F0810020BDE8F0814FF087 -S31508004360FF30BDE8F0810020BDE8F081FC6B000855 -S3150800437070B5AC4B1B78002B00F0E781012B29D1D7 -S31508004380FFF79CFAFBF7DCFFA748FCF71BF8A748E2 -S31508004390FCF718F8FBF7D0FF01220146A44801F004 -S315080043A03BFC30B1A348FCF70DF80120FBF7FEFFF4 -S315080043B070BDA148FCF706F8A048FCF703F8A0482A -S315080043C0FCF700F89F4B00221A605A600222954BB0 -S315080043D01A7070BD022B40F0EF809B4C04F50C72EE -S315080043E04FF48071994802F019F894F841326BB18C -S315080043F09048FBF7E7FF0220FBF7D8FF04F50C709F -S3150800440001F0C2FE0022874B1A7070BDB0B18F480A -S31508004410002200F5C071FFF7F9FE0446B0F1FF3F30 -S315080044200DD18448FBF7CEFF0320FBF7BFFF80487A -S3150800443001F0AAFE00227B4B1A7070BD0024002CE6 -S315080044405BDD804B5B6833B9804BD3F880217D4BAD -S315080044501A605C6051E07D4AD2F88011794A126888 -S315080044601A44914203D12344764A536045E0724880 -S31508004470FBF7A8FF7648FBF7A5FF764E714D314648 -S315080044806868FFF7F9FD3046FBF79CFF7248FBF7B3 -S3150800449099FF3146E878FFF7DFFDB11CA878FFF7EA -S315080044A0DBFD311D6878FFF7D7FDB11D2878FFF7CA -S315080044B0D3FD3046FBF786FF6848FBF783FF69683C -S315080044C02868FFF703FA60B95A48FBF77BFF05200F -S315080044D0FBF76CFF564801F057FE0022514B1A7045 -S315080044E070BD5548FBF76EFF5548FBF76BFF574BFA -S315080044F0D3F88021534B1A605C60534BD3F844229F -S31508004500D3F83C329A4240F020810021484801F015 -S315080045104DFE60B14748FBF755FF0420FBF746FF01 -S31508004520434801F031FE00223E4B1A7070BD454BE0 -S315080045305B68002B39D04048FBF744FF4448FBF73B -S3150800454041FF444D3F4C29466068FFF795FD2846D4 -S31508004550FBF738FF4048FBF735FF2946E078FFF7B9 -S315080045607BFDA91CA078FFF777FD291D6078FFF76A -S3150800457073FDA91D2078FFF76FFD2846FBF722FF7C -S315080045803648FBF71FFF61682068FFF79FF960B997 -S315080045902848FBF717FF0520FBF708FF244801F01A -S315080045A0F3FD00221F4B1A7070BD2348FBF70AFF64 -S315080045B003221C4B1A7070BD032B40F0C680224C98 -S315080045C004F50C724FF48071204801F027FF94F827 -S315080045D041326BB12248FBF7F5FE0220FBF7E6FEF7 -S315080045E004F50C7001F0D0FD00220E4B1A7070BD58 -S315080045F0002839D0154800F5807200F5C071FFF71C -S3150800460005FE0546B0F1FF3F2FD11648FBF7DAFE47 -S315080046100320FBF7CBFE064801F0B6FD0022014B4E -S315080046201A7070BDCC0F0020186C00083C6C00088E -S3150800463008120020646C00086C6C0008746C000892 -S31508004640986C0008D00F0020D80F002030140020E6 -S31508004650C86C00088C0F0020D46C0008786D000820 -S31508004660F06C0008146D00080025002D40DD374861 -S31508004670FBF7A8FE364C21462846FFF7FDFC2046E8 -S31508004680FBF7A0FE3348FBF79DFE334E214696F80E -S315080046908301FFF7E1FCA11C96F88201FFF7DCFC19 -S315080046A0211D96F88101FFF7D7FCA11D96F8800118 -S315080046B0FFF7D2FC2046FBF785FE2848FBF782FE6B -S315080046C006F580722946D6F88001FFF7FBF860B92F -S315080046D02348FBF777FE0620FBF768FE214801F022 -S315080046E053FD0022204B1A7070BD2048FBF76AFE66 -S315080046F01F4BD3F84422D3F83C329A4225D11D48A1 -S31508004700FBF760FEFFF7EEF860B91548FBF75AFEAF -S315080047100720FBF74BFE134801F036FD0022124B2B -S315080047201A7070BD1148FBF74DFE1348FBF74AFE99 -S315080047300C4801F029FD1148FBF744FE00220A4BFC -S315080047401A70FBF711FEFEF7BFFE70BD386D000844 -S315080047508C0F0020486D000830140020786D000882 -S31508004760646C000808120020CC0F00206C6C00084E -S31508004770D80F0020606D00087C6D0008946D000855 -S315080047804278007840EA0220704700BFC2788378F2 -S3150800479043EA0222437843EA0223007840EA0320E8 -S315080047A0704700BF0170090A417070470170C1F374 -S315080047B007234370C1F307438370090EC17070471E -S315080047C013462AB10A78027001300131013BF9D14A -S315080047D0704700BF01700130013AFBD1704700BF36 -S315080047E030B4451C037808781B1A013A04D04C1CCF -S315080047F028462146002BF4D0184630BC704700BF27 -S3150800480000E0013003780BB18B42FAD118467047A5 -S3150800481002394369023B994204D24389806A01FB03 -S31508004820030070470020704770B506460D4601F133 -S315080048301A00FFF7A5FF04463378032B05D105F1C7 -S315080048401400FFF79DFF44EA004070BD70B50646A8 -S315080048500C46154691B204F11A00FFF7A3FF337808 -S31508004860032B04D1290C04F11400FFF79BFF70BD3C -S315080048702DE9F04180460F4601F11A00FFF780FF47 -S3150800488050BB3D7805F03F056B1E03EB430503EB74 -S3150800489085050126002411E0174B185D3844FFF7FB -S315080048A06FFF36B1FE2D1AD828F8150006460135D1 -S315080048B003E04FF6FF73984214D101340C2CEBD960 -S315080048C03B7813F0400F10D0FE2D11D8002328F89E -S315080048D015300120BDE8F0810020BDE8F0810020F8 -S315080048E0BDE8F0810020BDE8F0810120BDE8F08137 -S315080048F00020BDE8F08100BF546E00082DE9F8439A -S3150800490080460F4691464B730F23CB7200240C73D7 -S31508004910214607F11A00FFF745FF09F1FF3303EBBC -S31508004920430603EB860625464FF6FF739D4202D0E3 -S3150800493038F8165001360C4B185D29463844FFF7EF -S3150800494031FF0DB94FF6FF7501340C2CECD94FF633 -S31508004950FF739D4202D038F816300BB949F040096A -S3150800496087F80090BDE8F883546E000870B582B0E9 -S31508004970054616461C460B22FFF722FF052C12D8C1 -S3150800498014E0023600220CE003F0010101EB4404B6 -S315080049905B0814F4803F03D084F4883484F021043F -S315080049A001320F2AF0D93388002BEAD1072300E019 -S315080049B0134604F00F0202F13001392901D902F138 -S315080049C037015A1E02A8034403F8081C2409EFD12C -S315080049D07E210346134403F8081C002300E0013334 -S315080049E0934202D2E95C2029F9D1591C2B44072AA3 -S315080049F005D802A8104410F8080C013200E020205F -S31508004A0018700B460729F0D902B070BD0B21002398 -S31508004A10DA0142EA5303027852FA83F3DBB2013031 -S31508004A200139F5D118467047016821B94FF0FF33AF -S31508004A3016E0013200E00A4613781F2B01D93A2BFB -S31508004A40F7D13A2B0BD110B44C1C0B78303B092B01 -S31508004A5008D8A24209D15BB9013202600AE00023F4 -S31508004A60184670474FF0FF3304E04FF0FF3301E07C -S31508004A704FF0FF3318465DF8044B704738B50C46BF -S31508004A8070B1054603685BB11A784AB1D98882883D -S31508004A90914205D15878FBF781FF10F0010F03D03A -S31508004AA000232360092038BD2B682360002038BD09 -S31508004AB0F8B5C37803B30446C66A00F13007012384 -S31508004AC0324639464078FBF7B7FFB8B90023E3709A -S31508004AD0236AF31AA269934212D2A57808E0A36959 -S31508004AE01E440123324639466078FBF7A5FF013D8F -S31508004AF0012DF4D80020F8BD0020F8BD0120F8BD2E -S31508004B000020F8BD70B5C36A8B4212D004460D4624 -S31508004B10FFF7CEFF064668B901232A4604F130019D -S31508004B206078FBF745FF10B101264FF0FF35E562C7 -S31508004B3000E00026304670BD10B504460023C37059 -S31508004B404FF0FF33C362FFF7DDFFD8B904F22E201A -S31508004B50FFF716FE4AF65523984215D104F166006A -S31508004B60FFF714FE20F07F400A4B98420ED004F15E -S31508004B708200FFF70BFE20F07F40064B984207D1D4 -S31508004B80002010BD042010BD032010BD002010BD5C -S31508004B90022010BD464154002DE9F04784B00E4668 -S31508004BA0174600230B60FFF73FFF051EC0F22C8156 -S31508004BB0AA4B53F82540002C00F02881346007F0F2 -S31508004BC0FE07237873B16078FBF7E8FE10F0010F53 -S31508004BD008D1002F00F01C8110F0040F40F01A8154 -S31508004BE0002038E100232370E8B26070FBF726FE48 -S31508004BF010F0010F40F010811FB110F0040F40F0C3 -S31508004C000D8100212046FFF797FF022815D00026C0 -S31508004C1028E004F130002B0103F5DF73184403790B -S31508004C201BB10830FFF7B2FD00E0002004AB03EB30 -S31508004C30850343F8100C013500E00025032DE8D95B -S31508004C40002504AB03EB850353F8106C26B13146F7 -S31508004C502046FFF771FF00E00320012802D901353D -S31508004C60032DEED9042800F0DB80012800F2DA8053 -S31508004C7004F13B00FFF784FDB0F5007F40F0D480D7 -S31508004C8004F14600FFF77CFD804620B904F1540084 -S31508004C90FFF77CFD8046C4F8188094F8409084F8A5 -S31508004CA0029009F1FF33DBB2012B00F2BF8008FB4B -S31508004CB009F994F83D506581002D00F0B9806B1E06 -S31508004CC01D4240F0B78004F14100FFF759FD8246C6 -S31508004CD0208110F00F0F40F0AF8004F14300FFF77A -S31508004CE04FFD074620B904F15000FFF74FFD074670 -S31508004CF004F13E00FFF744FD0346002800F09E80BD -S31508004D0009EB000202EB1A129742C0F09980BF1A0B -S31508004D10B7FBF5F5002D00F0958040F6F5718D424C -S31508004D2001D8012700E002274FF6F5718D4200D918 -S31508004D30032702356561E661334423623244A26281 -S31508004D40032F0FD104F15A00FFF71AFD00287BD173 -S31508004D50BAF1000F7AD104F15C00FFF717FD606223 -S31508004D60AD000EE0BAF1000F72D04B446362022F19 -S31508004D7001D16D0005E005EB450305F0010505EBDE -S31508004D80530505F2FF15B8EB552F63D34FF0FF33E4 -S31508004D902361E36080232371032F2CD104F1600083 -S31508004DA0FFF7EEFC012826D1711C2046FFF7AAFE64 -S31508004DB008BB0023237104F22E20FFF7E1FC4AF614 -S31508004DC05523984217D104F13000FFF7DFFC244B36 -S31508004DD0984210D104F50570FFF7D8FC214B98428C -S31508004DE009D104F50670FFF7D1FC206104F50770B8 -S31508004DF0FFF7CCFCE06027701B4A138801339BB28F -S31508004E001380E380002026E00B2024E00C2022E01B -S31508004E10002020E00A201EE003201CE00A201AE0F9 -S31508004E20012018E00D2016E00D2014E00D2012E0F8 -S31508004E300D2010E00D200EE00D200CE00D200AE0FC -S31508004E400D2008E00D2006E00D2004E00D2002E00C -S31508004E500D2000E00D2004B0BDE8F087B81700204B -S31508004E605252614172724161B4170020F8B5066862 -S31508004E70012951D97369994250D20C463378022BCD -S31508004E802AD0032B37D0012B4AD101EB5105316AC1 -S31508004E9001EB55213046FFF735FE002842D16F1C3D -S31508004EA0C5F30805354495F83050316A01EB5721AA -S31508004EB03046FFF727FE002837D1C7F308073E44D8 -S31508004EC096F8300045EA002014F0010F01D00009D9 -S31508004ED0F8BDC0F30B00F8BD316A01EB142130466A -S31508004EE0FFF710FE20BB600000F4FF70303030443E -S31508004EF0FFF746FCF8BD316A01EBD4113046FFF7DF -S31508004F0001FEC0B9A00000F4FE7030303044FFF74F -S31508004F103DFC20F07040F8BD0120F8BD0120F8BD29 -S31508004F200120F8BD4FF0FF30F8BD4FF0FF30F8BD57 -S31508004F304FF0FF30F8BD4FF0FF30F8BD2DE9F041D6 -S31508004F400768B1F5001F3DD206460C4611F01F0F43 -S31508004F503BD1316185681DB93B78022B00D97D6A42 -S31508004F6035B93B89B3EB541F32D97B6AB3611AE072 -S31508004F70B7F80A804FEA48280EE029463046FFF778 -S31508004F8075FF0546B0F1FF3F25D0012826D97B6974 -S31508004F90984226D2C8EB04044445EED22946384640 -S31508004FA0FFF736FCB0617561B369EBB103EB5423C7 -S31508004FB0B36107F13003C4F308042344F361002006 -S31508004FC0BDE8F0810220BDE8F0810220BDE8F0814D -S31508004FD00220BDE8F0810120BDE8F0810220BDE88D -S31508004FE0F0810220BDE8F0810220BDE8F08100BF13 -S31508004FF02DE9F843012977D94369994276D21746AC -S315080050000C4605460378022B42D0032B52D0012BBF -S315080050106ED101EB5108016A01EB5821FFF772FDC9 -S315080050200646002865D108F10109C8F3080814F0F6 -S31508005030010409D005EB080393F8303003F00F0399 -S3150800504043EA0713DBB200E0FBB2A84488F8303025 -S315080050500123EB70296A01EB59212846FFF752FD17 -S315080050600646002845D1C9F3080914B1C7F3071243 -S3150800507008E005EB090393F8303023F00F03C7F374 -S3150800508003221A43A94489F830200123EB7030E043 -S31508005090016A01EB1421FFF735FD064648BB60009F -S315080050A000F4FF703030B9B22844FFF77BFB0123C8 -S315080050B0EB701EE0016A01EBD411FFF723FD0646EB -S315080050C0B8B927F07047A40004F4FE7430342C44B1 -S315080050D02046FFF75BFB00F0704139432046FFF797 -S315080050E065FB0123EB7004E0022602E0022600E0DD -S315080050F002263046BDE8F8832DE9F04107460668E2 -S31508005100884629B9F5687DB173699D420ED20EE0CD -S31508005110FFF7ACFE012846D9B0F1FF3F51D07369BD -S3150800512098424ED3454602E0012500E001252C466B -S31508005130013473699C4202D3012D37D902242146D2 -S315080051403846FFF793FE38B1012832D0B0F1FF3F59 -S3150800515031D0AC42ECD130E04FF0FF322146304638 -S31508005160FFF746FF034640B9B8F1000F05D02246BF -S3150800517041463046FFF73CFF034673B9F46033698E -S315080051807269023A934201D2013B3361337943F0A3 -S31508005190010333712046BDE8F081012B10D14FF091 -S315080051A0FF30BDE8F0810120BDE8F0810020BDE8B0 -S315080051B0F081BDE8F081BDE8F0810020BDE8F0810E -S315080051C00120BDE8F08100BFF8B50E460468012944 -S315080051D02CD90746114663699E4229D22AB14FF057 -S315080051E0FF322046FFF704FF20BB31463846FFF75B -S315080051F03DFE0546F8B101281FD0B0F1FF3F1ED08D -S31508005200002231462046FFF7F3FED0B92369B3F1F1 -S31508005210FF3F05D001332361237943F0010323714E -S3150800522063692E469D42E0D30020F8BD0220F8BDF2 -S315080052300220F8BDF8BD0020F8BD0220F8BD012007 -S31508005240F8BDF8BD2DE9F8430668036903F12005A2 -S315080052508369002B6ED0B5F5001F6ED288460446CA -S31508005260C5F30807002F5DD101338361416941B950 -S315080052703389B3EB551F55D8002383610420BDE855 -S31508005280F8837389013B13EA55234BD1FFF7EEFDEB -S315080052908146012854D9B0F1FF3F54D0736998422A -S315080052A039D3B8F1000F04D10023A3610420BDE867 -S315080052B0F88361692046FFF71FFF8146002845D01D -S315080052C0012846D0B0F1FF3F46D03046FFF7F0FB45 -S315080052D0002844D14FF40072002106F13000FFF790 -S315080052E079FA49463046FFF793FAF0624FF000081C -S315080052F00AE00123F3703046FFF7DAFB90BB08F1AA -S315080053000108F36A0133F36273899845F1D3F36AA6 -S31508005310C8EB0303F362C4F8149049463046FFF716 -S3150800532077FAA061256107F130084644E661002056 -S31508005330BDE8F8830420BDE8F8830420BDE8F883B7 -S315080053400220BDE8F8830120BDE8F8830720BDE800 -S31508005350F8830220BDE8F8830120BDE8F883012020 -S31508005360BDE8F8830120BDE8F88300BFF8B5044618 -S315080053700F4606680021FFF7E1FD0246B8B9002589 -S31508005380A1693046FFF7BEFB024680B9E3691B7880 -S31508005390E52B00D01BB90135BD4201D107E0002538 -S315080053A001212046FFF74EFF02460028E8D0042ACE -S315080053B000D107221046F8BD2DE9F8430446884671 -S315080053C007684FF0FF094E4604254CE03846FFF7BC -S315080053D099FB054600284BD1E1690A78002A46D090 -S315080053E0CB7A03F03F03A371E52A2BD02E2A2BD0C4 -S315080053F023F02000082814BF00200120404525D1AD -S315080054000F2B15D112F0400F06D091F80D9002F02F -S31508005410BF02236963621646B24219D14B7B99458E -S3150800542018D1A06AFFF724FAB0B1013EF6B214E02B -S3150800543026B90846FFF7EAFA814519D04FF0FF3337 -S31508005440636215E0FF2608E0FF2606E0FF2604E073 -S31508005450FF2602E0FF2600E0FF2600212046FFF790 -S31508005460F1FE054620B9A1690029AFD100E004255F -S315080054700DB10023A3612846BDE8F88370B504463C -S3150800548005680669416AB1F1FF3F03D0FFF756FD8B -S31508005490034600E00023BBB9A1692846FFF732FBA3 -S315080054A0034670B9E269E52111700122EA702269A2 -S315080054B0964206D900212046FFF7C4FE0346002877 -S315080054C0EAD0042B00D10223184670BD2DE9F04F0F -S315080054D083B006468A46D1F80090856A002423469A -S315080054E05F1C19F803001F2805D92F2803D05C284C -S315080054F00AD100E0013709EB070219F807302F2B0C -S31508005500F8D05C2BF6D017E0FE2C00F2E2800121E1 -S3150800551000F0D6FF8046002800F0DD807F2806D8F8 -S3150800552001467148FFF76CF9002840F0D68025F847 -S3150800553014803B460134D3E7CAF800201F2802D856 -S315080055404FF004080CE04FF0000809E004F10043AE -S31508005550013B35F81330202B01D02E2B02D1013C0C -S31508005560002CF3D1002325F81430002C00F0B78066 -S315080055700B222021306AFFF72DF9002300E00133C2 -S3150800558035F81320202AFAD02E2AF8D01BB148F075 -S31508005590030800E0013C6CB104F10042013A35F819 -S315080055A012202E2AF6D14FF0080B4FF0000ACDF83C -S315080055B004A005E04FF0080B4FF0000ACDF804A050 -S315080055C003F1010935F81370002F57D0202F03D0A7 -S315080055D02E2F05D14C4503D048F003084B46EFE77C -S315080055E0DA4501D24C4515D1BBF10B0F02D148F073 -S315080055F0030843E04C4501D048F003084C453DD329 -S31508005600019B9B00DBB2019323464FF00B0B4FF037 -S31508005610080AD5E77F2F0BD90021384600F050FF3E -S31508005620074618B1A0F18007304BDF5D48F0020845 -S3150800563027B139462E48FFF7E3F818B148F00308B2 -S315080056405F2714E0A7F141039BB2192B04D8019BED -S3150800565043F0020301930AE0A7F161039BB2192BF9 -S3150800566005D8019B43F001030193203FBFB2336A7B -S3150800567003F80A704B460AF1010AA1E7336A1A7859 -S31508005680E52A01D105221A70BBF1080F03D1019B47 -S315080056909B00DBB20193019901F00C020C2A03D09E -S315080056A001F00303032B01D148F0020818F0020F9A -S315080056B00AD1019B03F00303012B01D148F010081E -S315080056C0042A01D148F00808336A83F80B800020C1 -S315080056D006E0062004E0062002E0062000E0062098 -S315080056E003B0BDE8F08F00BFC06D0008D46D000898 -S315080056F0CC6D0008F8B500238B758369002B69D03B -S315080057000D460646436AB3F1FF3F17D0836A002465 -S3150800571009E0002100F0D4FE50B1FE2C0AD82A195F -S3150800572090753B4601349F1C18880028F1D102E089 -S31508005730002400E000242C440023A375AC7D00223D -S31508005740134628E0F069591CC35C202B22D0052B90 -S3150800575000D1E523092907D114B9A8182E2787757A -S31508005760A8182E2747720132A81843728CB9A3F1DC -S315080057704100C0B219280AD8F069007B082901D966 -S31508005780102700E00827074201D02033DBB2A8180B -S31508005790837501320B460A2BD4D934B9AB180021CC -S315080057A09975F3691B7B03B90A462A440023537289 -S315080057B0F369DB7A2B72F0691C30FEF7E7FF286085 -S315080057C0F0691830FEF7DCFFA880F0691630FEF79E -S315080057D0D7FFE880F8BD00BF2DE9F0478146884627 -S315080057E001F11A00FEF7CCFFA8BB98F8005005F0A7 -S315080057F03F056B1E03EB430503EB850501270024D4 -S315080058001BE01F4B185D4044FEF7BAFF06467FB102 -S31508005810FE2D23D800F072FE074605F1010A39F875 -S31508005820150000F06BFE87421BD13746554603E04C -S315080058304FF6FF73984217D101340C2CE1D998F82A -S31508005840003013F0400F12D0A7B139F81530A3B9BC -S315080058500120BDE8F0870020BDE8F0870020BDE8FC -S31508005860F0870020BDE8F0870020BDE8F08701201A -S31508005870BDE8F0870120BDE8F0870020BDE8F08785 -S31508005880546E00082DE9F8430446D0F8008000213C -S31508005890FFF754FB00285CD14FF0FF3363624FF0EB -S315080058A0FF094F46A1694046FFF72CF9064600282E -S315080058B051D1E5692B78002B4FD0E97A01F03F02E8 -S315080058C0A271E52B04D011F0080F06D00F2A04D0D8 -S315080058D04FF0FF336362FF2733E00F2A17D1A06A20 -S315080058E078B313F0400F06D095F80D9003F0BF0378 -S315080058F0226962621F46BB421ED16B7B99451DD148 -S315080059002946FFF769FFD8B1013FFFB219E027B969 -S315080059102846FFF77BF8814522D0216ACB7A13F017 -S31508005920010F04D10B222846FEF75AFFD8B14FF0D3 -S31508005930FF336362FF2704E0FF2702E0FF2700E04A -S31508005940FF2700212046FFF77DFC0028AAD0BDE8E6 -S31508005950F883BDE8F883BDE8F8830420BDE8F8833A -S315080059603046BDE8F8833046BDE8F88330B583B0E5 -S3150800597004460191056801E001330193019B1A78F9 -S315080059802F2AF9D05C2AF7D00022A2601B781F2B99 -S3150800599008D8236A8022DA7200212046FFF7CEFA59 -S315080059A0034626E001A92046FFF790FD034600BB03 -S315080059B02046FFF767FF236ADA7A034628B10428E8 -S315080059C017D112F0040F11D013E012F0040F10D102 -S315080059D0A37913F0100F0BD02169C1F308013031F8 -S315080059E029442846FEF720FFA060DBE7052300E0F0 -S315080059F00523184603B030BD2DE9F04784B0066884 -S31508005A00D0F820A0D0F828809AF80B3013F0A00F11 -S31508005A1040F08380002400E0013438F81430002B6D -S31508005A20FAD105460C22514601A8FEF7C9FE9DF893 -S31508005A300F3013F0010F1ED000238AF80B30AB622B -S31508005A4001270BE03B46424601A95046FEF78EFF6A -S31508005A502846FFF717FF814610B90137632FF1D99A -S31508005A60642F5CD0B9F1040F5BD19DF80F308AF82A -S31508005A700B30C5F828809DF80F3013F0020F06D0BA -S31508005A800C342B4BA3FB0434A408013400E0012496 -S31508005A9021462846FFF76AFC034618BB013C21D07D -S31508005AA02969A1EB44112846FFF748FA0346C8B905 -S31508005AB0286AFEF7ABFF0746A9693046FFF722F8C2 -S31508005AC0034678B93B46E2B2E969A86AFEF716FFCB -S31508005AD00123F37000212846FFF7B4FB034608B9F3 -S31508005AE0013CE9D1FBB9A9693046FFF70BF88146B5 -S31508005AF0D8B920220021E869FEF76CFE0B22296A34 -S31508005B00E869FEF75DFEEA692B6ADB7A03F018039B -S31508005B1013730123F370484607E0062005E00720C3 -S31508005B2003E0484601E01846FFE704B0BDE8F08701 -S31508005B304FECC44E70B50446FEF7BAFF054600287A -S31508005B4037D12378032B2DD12379012B2AD104F1C0 -S31508005B5030064FF4007200213046FEF73BFE4AF647 -S31508005B60552104F22E20FEF71DFE13493046FEF796 -S31508005B701DFE124904F50570FEF718FE216904F5A5 -S31508005B800670FEF713FEE16804F50770FEF70EFED1 -S31508005B90E2690132E262012331466078FAF74CFF86 -S31508005BA000232371002211466078FAF79BFF00B1A3 -S31508005BB00125284670BD00BF52526141727241618B -S31508005BC010B584B001900091144604A840F8041D4D -S31508005BD0FEF72AFF002816DB0E4B53F820300BB1D0 -S31508005BE000221A70019B0BB100221A70019B094A08 -S31508005BF042F820304BB1012C09D1002201A9684690 -S31508005C00FEF7CAFF04E00B2002E0002000E00020B7 -S31508005C1004B010BDB81700202DE9F04393B00191E8 -S31508005C20002800F0AA80054616460023036002F005 -S31508005C301F073A4606A901A8FEF7AEFF002840F05E -S31508005C409E80069B079303AB0F934F4B11930199C5 -S31508005C5007A8FFF78BFE044628B90F9B93F90B306C -S31508005C60002B00DA062416F01C0F53D044B1042C7E -S31508005C7003D107A8FFF7C0FE044647F008070BE064 -S31508005C809DF8223013F0110F03D116F0040F02D13C -S31508005C9002E0072400E00824002C4BD117F0080F77 -S31508005CA048D03A4E31460E980E30FEF77FFD314603 -S31508005CB00E981630FEF77AFD20220E9BDA72069EA3 -S31508005CC0DDF8388041463046FEF7AEFD81460022B3 -S31508005CD041463046FEF7BAFD00210E981C30FEF705 -S31508005CE065FD0122069BDA70B9F1000F22D0069BEA -S31508005CF0DE6A0022494607A8FFF766FA0446C8B9CD -S31508005D0031460698FEF7FEFE044609F1FF33069A69 -S31508005D10D3600FE074B99DF8223013F0100F06D146 -S31508005D2016F0020F06D013F0010F02D102E0042488 -S31508005D3000E007244CB917F0080F01D047F02007F8 -S31508005D40069BDB6A2B620E9B6B62CCB9069EDDF85E -S31508005D50388041463046FEF767FDA86008F11C000A -S31508005D60FEF714FDE86000236B746B61EB612F741A -S31508005D702E60F388AB80204603E0092001E000E0AE -S31508005D80204613B0BDE8F083B41500200000614832 -S31508005D902DE9F04F83B00446884615461F46002372 -S31508005DA03B6001A9FEF76AFE002840F0A280607CED -S31508005DB0002840F0A080237C13F0010F00F09A80A1 -S31508005DC0E6686369F61AAE42C0F08E802E468BE00E -S31508005DD06369C3F30802002A70D1019A5289013A0D -S31508005DE012EA532912D10BB9A06803E0A16920462B -S31508005DF0FFF73CF8012802D8022060747BE0B0F176 -S31508005E00FF3F02D10120607475E0A061DDF804A0AF -S31508005E10A1695046FEF7FCFC054610B902206074DD -S31508005E2069E04D445FEA562B25D009EB0B03BAF817 -S31508005E300A20934201D9C9EB020B5B462A46414622 -S31508005E409AF80100FAF7B4FD10B10120607452E027 -S31508005E50237C13F0400F0BD0E069401B834507D91C -S31508005E604FF4007204F1280108EB4020FEF7A8FC65 -S31508005E704FEA4B2530E0E26995421ED0237C13F0A9 -S31508005E80400F0ED0012304F128019AF80100FAF711 -S31508005E90D3FD10B1012060742DE0237C23F040036C -S31508005EA0237401232A4604F1280101984078FAF759 -S31508005EB07FFD10B1012060741DE0E5616169C1F3E1 -S31508005EC00801C1F50075AE4200D2354628312A468A -S31508005ED021444046FEF774FCA84463692B44636179 -S31508005EE03B682B443B60761B002E7FF471AF002085 -S31508005EF001E000E0072003B0BDE8F08F2DE9F04F80 -S31508005F0083B00446884616461F4600233B6001A90F -S31508005F10FEF7B4FD002840F0BE80607C002840F003 -S31508005F20BC80237C13F0020F00F0B6806369F3424D -S31508005F30C0F0A880DE43A5E06369C3F30802002A1F -S31508005F4040F08180019A5289013A12EA53291DD1FB -S31508005F5033B9A06840B900212046FFF7CDF803E021 -S31508005F60A1692046FFF7C8F8002800F08E800128AE -S31508005F7002D10220607490E0B0F1FF3F02D1012007 -S31508005F8060748AE0A061A36803B9A060237C13F05B -S31508005F90400F0FD00123E26904F1280101984078E7 -S31508005FA0FAF74AFD10B10120607476E0237C23F0ED -S31508005FB040032374DDF804A0A1695046FEF728FCC7 -S31508005FC0054610B90220607467E04D445FEA562B17 -S31508005FD025D009EB0B03BAF80A20934201D9C9EB7D -S31508005FE0020B5B462A4641469AF80100FAF724FD59 -S31508005FF010B10120607450E0E169491B8B450BD94B -S315080060004FF4007208EB412104F12800FEF7D8FB93 -S31508006010237C23F0400323744FEA4B2525E0E369EC -S315080060209D420FD06269E3689A420BD201232A4641 -S3150800603004F128019AF80100FAF7BAFC10B1012018 -S3150800604060742AE0E5616069C0F30800C0F5007570 -S31508006050AE4200D2354628302A4641462044FEF74D -S31508006060AFFB237C43F040032374A84463692B44A5 -S315080060706361E268934238BF1346E3603B682B448A -S315080060803B60761B002E7FF457AF237C43F020033A -S315080060902374002001E000E0072003B0BDE8F08F7C -S315080060A070B582B004460E460A2902D10D21FFF7C3 -S315080060B0F7FF6368002B16DB5D1C23441E733C2D1B -S315080060C00DDD01AB2A4604F10C012068FFF716FF27 -S315080060D0019B9D4201D1002501E04FF0FF35656027 -S315080060E0A3680133A36002B070BD00BF30B583B0AA -S315080060F0044601A9FEF7C2FC00283CD1227C12F016 -S31508006100200F39D012F0400F0CD00123E26904F1B8 -S31508006110280101984078FAF78FFC70BB237C23F09E -S3150800612040032374216A0198FEF7ECFC38BB656AC4 -S31508006130EB7A43F02003EB72A26829462068FEF743 -S3150800614085FBE16805F11C00FEF730FB0D4905F1FA -S315080061501600FEF72BFB002105F11200FEF722FBC5 -S315080061600122019BDA700198FFF7E4FC237C23F0F7 -S315080061702003237403E002E001E00120FFE703B0F7 -S3150800618030BD00BF0000614810B582B00446FFF775 -S31508006190ADFF034638B901A92046FEF76FFC034652 -S315080061A008B900222260184602B010BDF0B583B0C7 -S315080061B004460E4601A9FEF761FC002840F0A480BB -S315080061C0657C002D40F0A180E368B34204D2227CAE -S315080061D012F0020F00D11E46636900226261002E8A -S315080061E05DD0019A57897F026BB1721EB2FBF7F236 -S315080061F0013BB3FBF7F18A4205D37A421340636148 -S31508006200F61AA16912E0A16879B92046FEF774FF6B -S315080062100146012802D10220607479E0B0F1FF3FFF -S3150800622002D10120607473E0A060A16119BB002649 -S3150800623038E0237C13F0020F05D02046FEF75CFFFA -S31508006240014620B91AE02046FEF710FE0146B1F1D4 -S31508006250FF3F02D1012060745AE0012903D9019B4E -S315080062605B69994202D30220607451E0A1616369B7 -S315080062703B446361F61BBE42DBD800E03E466369D9 -S3150800628033446361C6F308035BB10198FEF7C0FAAD -S3150800629010B9022060743BE000EB562602E00026A7 -S315080062A000E000266369C3F3080313B3E269964264 -S315080062B01FD0237C13F0400F0ED0012304F12801D0 -S315080062C001984078FAF7B8FB10B1012060741FE016 -S315080062D0237C23F0400323740123324604F128016A -S315080062E001984078FAF764FB10B1012060740FE05A -S315080062F0E6616369E268934209D9E360237C43F067 -S3150800630020032374284603E002E0284600E02846D6 -S3150800631003B0F0BD30B591B001900D46002205A935 -S3150800632001A8FEF739FC0446A8B902AB0D930B4B3E -S315080063300F93019905A8FFF719FB044658B90D9B59 -S3150800634093F90B30002B05DB2DB1294605A8FFF77D -S31508006350D1F900E00624204611B030BDB41500205E -S3150800636070B59CB00190022205A901A8FEF714FC9D -S31508006370059B1193044600284AD102AB1993264B74 -S315080063801B93019911A8FFF7F1FA044600283FD19B -S31508006390199B93F90B30002B05DB9DF84A3013F057 -S315080063A0010F02D102E0062400E00724ECB9059E9D -S315080063B018993046FEF738FA05469DF84A3013F024 -S315080063C0100F13D006960890002106A8FEF7B6FD12 -S315080063D0044658B9002106A8FEF7EEFF034600B9A1 -S315080063E00723042B02D01C4600E0002584B911A817 -S315080063F0FFF744F8044630B92DB10022294611A802 -S31508006400FEF7E2FE04461CB90598FFF793FB04461F -S3150800641020461CB070BD00BFB41500202DE9F04120 -S3150800642082B080460E4617460546002412E06B46A3 -S31508006430012201A93846FFF7ABFC009B012B0ED1C0 -S315080064409DF804300D2B05D06A1C2B7001340A2BDD -S3150800645004D01546731E9C42E9DB00E0154600236E -S315080064602B700CB9184600E0404602B0BDE8F08132 -S3150800647010B594B00446019100230293039303E0F8 -S31508006480013401A8FFF70CFE21780029F8D1029AF9 -S31508006490002A0BDB6B4604A90198FFF72FFD40B9CC -S315080064A0009B029A9A4207D1039807E04FF0FF3003 -S315080064B004E04FF0FF3001E04FF0FF3014B010BD9C -S315080064C07F2817D979B1FF2813D8A0F180030A4A83 -S315080064D032F813007047084A32F81320904205D064 -S315080064E001339BB200E000237F2BF4D98033D8B266 -S315080064F070470020704700BF14710008F0B4B0F56B -S31508006500805F01D2204B02E0204B00E02B461A8820 -S31508006510002A36D0904234D31D1D5B88190ADBB297 -S315080065209C18A04228DA08292BD8DFE801F00509CB -S315080065300F1215181B1E2100861A35F8160020E0C2 -S31508006540821A02F00103C01A80B21AE0103880B22B -S3150800655017E0203880B214E0303880B211E01A38DB -S3150800656080B20EE0083080B20BE0503880B208E006 -S31508006570A0F5E35080B204E00029C7D105EB430338 -S31508006580C5E7F0BC704700BF206F0008646E0008BE -S3150800659010B4002304E010F8011B0B44DBB22146BB -S315080065A04C1E0029F7D1136001205DF8044B704793 -S315080065B00022014B5A707047BC170020034BFE227D -S315080065C0DA7018710222A3F844207047BC1700201D -S315080065D010B5054C00232370FFF7EAFFFF23E3708D -S315080065E00123A4F8443010BDBC170020064BFF2237 -S315080065F0DA7000221A71597859719A71DA711A7219 -S315080066000622A3F844207047BC17002008B50020CE -S31508006610FFF7D4FF08BD00BF084BFF22DA70084A0F -S315080066209A6400221A715A719A710721D9711A72DD -S315080066305A729A720822A3F844207047BC170020A1 -S3150800664014720008044BFF22DA7042689A64012229 -S31508006650A3F84420704700BFBC17002010B5084CAB -S31508006660FF23E370E21D4168A06CFFF791FF2071DC -S3150800667000236371A3710823A4F8443010BD00BF3A -S31508006680BC17002008B53120FFF798FF08BD00BFEA -S3150800669038B5084CFF23E370002525716571FDF7B1 -S315080066A095FCA071E571257265720723A4F844303C -S315080066B038BD00BFBC17002038B5FDF741FD18B935 -S315080066C01020FFF77BFF38BDFFF772FF0D4C012541 -S315080066D02570FF23E3701023237100236371FDF7F0 -S315080066E075FCA071FDF784FCE071FDF781FCC0F331 -S315080066F0072020726572A5720823A4F84430FDF7B6 -S31508006700DDF838BDBC17002038B505464478FDF7D6 -S315080067105DFC0138844203DD2220FFF74FFF38BDB8 -S31508006720084C6A78A16C201DFCF7EAFEFF23E3708B -S315080067306B78A26C1344A3646B780133A4F84430D5 -S3150800674038BD00BFBC17002038B504464578FDF7AC -S315080067503DFC0138854203DD2220FFF72FFF38BDB7 -S315080067606168084DA9646278281DFCF7C9FEFF23F5 -S31508006770EB706378AA6C1344AB6463780133A5F8AD -S31508006780443038BDBC17002038B505460D4B9C6C07 -S31508006790FDF71CFC6A1C411E2046FDF793F818B944 -S315080067A03120FFF70BFF38BD064CFF23E370FDF7DA -S315080067B00DFC0138A36C1844A0640123A4F84430E6 -S315080067C038BD00BFBC17002038B504464578FDF72C -S315080067D0FDFB0238854203DD2220FFF7EFFE38BDB8 -S315080067E00F4BFF22DA700122A3F84420617831B9F1 -S315080067F0FDF778F890B93120FFF7E0FE38BDA21C06 -S31508006800074B986CFDF75EF818B93120FFF7D6FEEE -S3150800681038BD6378024A916C0B44936438BD00BF57 -S31508006820BC17002008B54168074B986CFDF74EF871 -S3150800683018B93120FFF7C2FE08BD034BFF22DA70F4 -S315080068400122A3F8442008BDBC17002008B5FCF7B0 -S315080068503BFE034BFF22DA700122A3F8442008BD51 -S31508006860BC17002008B589B2FDF792FB08BD00BF2A -S31508006870054B00221A709A6483F84320A3F8442033 -S315080068809A705A70704700BFBC170020024B1878E0 -S3150800689000B10120704700BFBC1700200022024B40 -S315080068A083F84320704700BFBC17002008B503785B -S315080068B0FF2B02D1FFF700FF50E0334A1278012A76 -S315080068C060D1C93B352B46D8DFE803F0334545424E -S315080068D045453F363C394545454545454545454584 -S315080068E0454545454545454545454545454545454A -S315080068F0454545454545241E1B2145454527452A09 -S315080069002D30FFF701FF29E0FFF71EFF26E0FFF70E -S3150800691099FE23E0FFF7A2FE20E0FFF77DFE1DE0CB -S31508006920FFF774FE1AE0FFF761FE17E0FFF750FE67 -S3150800693014E0FFF729FF11E0FFF746FF0EE0FFF727 -S31508006940A7FE0BE0FFF76EFF08E0FFF77FFF05E005 -S31508006950FFF798FE02E02020FFF730FE0A4B93F877 -S315080069604330012B02D11020FFF728FE064BB3F95E -S315080069704410002906DD1846012380F84330033009 -S31508006980FFF770FF08BD00BFBC17002008B5074B0E -S31508006990044613B10021AFF30080054B1868836ADB -S315080069A003B19847204600F033F800BF0000000006 -S315080069B02073000870B50E4B0E4CE41AA41000257F -S315080069C01E46A54204D056F8253098470135F8E703 -S315080069D000F020F8084C094BE41AA41000251E46BE -S315080069E0A54204D056F8253098470135F8E770BD1A -S315080069F048010020480100204C01002048010020E1 -S31508006A0002440346934202D003F8011BFAE7704793 -S31508006A10FEE70000F8B500BFF8BC08BC9E46704704 -S31508006A20F8B500BFF8BC08BC9E4670472F64656D74 -S31508006A306F70726F675F6F6C696D65785F73746D81 -S31508006A403332703430352E73726563002F626F6F80 -S31508006A50746C6F672E7478740000000057696E5561 -S31508006A6053422042756C6B20446576696365000065 -S31508006A704F70656E424C54205573657200000000D5 -S31508006A8030303030303030303035304300000000A0 -S31508006A9044656661756C740057696E5553422042A9 -S31508006AA0756C6B20496E74657266616365000000DB -S31508006AB02E2E5C2E2E5C2E2E5C2E2E5C536F75723F -S31508006AC063655C41524D434D345F53544D333246F2 -S31508006AD0345C63616E2E6300050206020603070333 -S31508006AE00803090309040A040B040C040C050D0524 -S31508006AF00E050F050F0610061007100800000800FF -S31508006B001000180020002800300038004000480017 -S31508006B10500058008000880090009800A000A80047 -S31508006B20B000B800C000C800D000D8000080000837 -S31508006B30004000000200000000C0000800400000FD -S31508006B400300000000000108000001000400000026 -S31508006B50000002080000020005000000000004080A -S31508006B6000000200060000000000060800000200FF -S31508006B7007000000000008080000020008000000E6 -S31508006B8000000A08000002000900000000000C08C6 -S31508006B90000002000A00000000000E0800000200C3 -S31508006BA00B0000002E2E5C2E2E5C2E2E5C2E2E5CEC -S31508006BB0536F757263655C41524D434D345F535450 -S31508006BC04D333246345C756172742E6300000000E2 -S31508006BD02E2E5C2E2E5C2E2E5C2E2E5C536F75721E -S31508006BE063655C41524D434D345F53544D333246D1 -S31508006BF0345C7573622E6300303A00002E2E5C2ECC -S31508006C002E5C2E2E5C2E2E5C536F757263655C6649 -S31508006C10696C652E630000004669726D776172655E -S31508006C20207570646174652072657175657374206A -S31508006C3064657465637465640A0D00004F70656E5B -S31508006C40696E67206669726D776172652066696C20 -S31508006C506520666F722072656164696E672E2E2ED6 -S31508006C60000000004552524F520A0D004F4B0A0DC4 -S31508006C70000000005374617274696E672074686559 -S31508006C802070726F6772616D6D696E6720736571CA -S31508006C9075656E63650A0D0050617273696E6720CB -S31508006CA06669726D776172652066696C6520746FB6 -S31508006CB02064657465637420657261736520626C0F -S31508006CC06F636B732E2E2E0045726173696E672093 -S31508006CD0000000002062797465732066726F6D206B -S31508006CE06D656D6F72792061742030780000000040 -S31508006CF052656164696E67206C696E652066726F9D -S31508006D006D2066696C652E2E2E4552524F520A0D1D -S31508006D1000000000496E76616C69642063686563EB -S31508006D206B73756D20666F756E642E2E2E455252E6 -S31508006D304F520A0D0000000050726F6772616D6D48 -S31508006D40696E6720000000002062797465732074FC -S31508006D506F206D656D6F7279206174203078000040 -S31508006D6057726974696E672070726F6772616D20F9 -S31508006D70636865636B73756D2E2E2E00436C6F7397 -S31508006D80696E67206669726D776172652066696CDF -S31508006D90650A0D004669726D7761726520757064C3 -S31508006DA0617465207375636365737366756C6C7956 -S31508006DB020636F6D706C657465640A0D00000000D1 -S31508006DC0222A3A3C3E3F7C7F000000002B2C3B3DAC -S31508006DD05B5D0000809A45418E418F804545454957 -S31508006DE049498E8F9092924F994F555559999A9B2A -S31508006DF09C9D9E9F41494F55A5A5A6A7A8A9AAABA4 -S31508006E00ACADAEAFB0B1B2B3B4B5B6B7B8B9BABB3C -S31508006E10BCBDBEBFC0C1C2C3C4C5C6C7C8C9CACB2C -S31508006E20CCCDCECFD0D1D2D3D4D5D6D7D8D9DADB1C -S31508006E30DCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEB0C -S31508006E40ECEDEEEFF0F1F2F3F4F5F6F7F8F9FAFBFC -S31508006E50FCFDFEFF01030507090E10121416181C87 -S31508006E601E0000007D1D0100632C001E9601A01E59 -S31508006E705A01001F0806101F0606201F0806301FA5 -S31508006E800806401F0606511F0700591F521F5B1FA1 -S31508006E90541F5D1F561F5F1F601F0806701F0E00D8 -S31508006EA0BA1FBB1FC81FC91FCA1FCB1FDA1FDB1F8C -S31508006EB0F81FF91FEA1FEB1FFA1FFB1F801F0806A2 -S31508006EC0901F0806A01F0806B01F0400B81FB91FA8 -S31508006ED0B21FBC1FCC1F0100C31FD01F0206E01F34 -S31508006EE00206E51F0100EC1FF21F0100FC1F4E21E0 -S31508006EF00100322170211002842101008321D0244F -S31508006F001A05302C2F04602C0201672C0601752CFB -S31508006F100201802C6401002D260841FF1A03000097 -S31508006F2061001A03E0001703F8000703FF000100D9 -S31508006F3078010001300132010601390110014A01C8 -S31508006F402E017901060180014D004302810182016B -S31508006F5082018401840186018701870189018A01EA -S31508006F608B018B018D018E018F0190019101910199 -S31508006F7093019401F60196019701980198013D0243 -S31508006F809B019C019D0120029F01A001A001A20175 -S31508006F90A201A401A401A601A701A701A901AA01AA -S31508006FA0AB01AC01AC01AE01AF01AF01B101B20159 -S31508006FB0B301B301B501B501B701B801B801BA010A -S31508006FC0BB01BC01BC01BE01F701C001C101C20180 -S31508006FD0C301C401C501C401C701C801C701CA016B -S31508006FE0CB01CA01CD011001DD0101008E01DE01D0 -S31508006FF01201F3010300F101F401F401F80128017B -S31508007000220212013A020900652C3B023B023D02AC -S31508007010662C3F0240024102410246020A0153021F -S31508007020400081018601550289018A0158028F01B3 -S315080070305A0290015C025D025E025F0293016102E0 -S31508007040620294016402650266026702970196016C -S315080070506A02622C6C026D026E029C017002710259 -S315080070609D01730274029F01760277027802790203 -S315080070707A027B027C02642C7E027F02A6018102D0 -S315080070808202A9018402850286028702AE014402B1 -S31508007090B101B20145028D028E028F029002910261 -S315080070A0B7017B030300FD03FE03FF03AC030400E3 -S315080070B08603880389038A03B1031103C203020006 -S315080070C0A303A303C4030803CC0303008C038E03A2 -S315080070D08F03D8031801F2030A00F903F303F40334 -S315080070E0F503F603F703F703F903FA03FA03300483 -S315080070F0200350041007600422018A043601C104E3 -S315080071000E01CF040100C004D00444016105260421 -S3150800711000000000C700FC00E900E200E400E0000F -S31508007120E500E700EA00EB00E800EF00EE00EC00FF -S31508007130C400C500C900E600C600F400F600F20067 -S31508007140FB00F900FF00D600DC00A200A300A500A2 -S31508007150A7209201E100ED00F300FA00F100D1004A -S31508007160AA00BA00BF001023AC00BD00BC00A100F5 -S31508007170AB00BB0091259225932502252425612580 -S315080071806225562555256325512557255D255C25F8 -S315080071905B251025142534252C251C2500253C2582 -S315080071A05E255F255A2554256925662560255025BF -S315080071B06C25672568256425652559255825522592 -S315080071C053256B256A2518250C25882584258C25A5 -S315080071D090258025B103DF009303C003A303C303EF -S315080071E0B500C403A6039803A903B4031E22C60365 -S315080071F0B50329226122B1006522642220232123B6 -S31508007200F7004822B0001922B7001A227F20B200E0 -S31508007210A025A0004F70656E424C54000020202027 -S315080072202020202020202828282828202020202028 -S3150800723020202020202020202020202020881010F8 -S315080072401010101010101010101010101004040454 -S315080072500404040404040410101010101010414112 -S315080072604141414101010101010101010101010100 -S315080072700101010101010101101010101010424214 -S3150800728042424242020202020202020202020202D0 -S315080072900202020202020202101010102000000070 -S315080072A000000000000000000000000000000000D0 -S315080072B000000000000000000000000000000000C0 -S315080072C000000000000000000000000000000000B0 -S315080072D000000000000000000000000000000000A0 -S315080072E00000000000000000000000000000000090 -S315080072F00000000000000000000000000000000080 +S315080002200C48AFF3008006F0BDFB2000290000F063 +S3150800023017FA06F0A3FB00BF000008000000022022 +S315080002400000000000000000B8020020B81A0020D4 +S31508000250000000000000000007498D460749084ACB +S31508000260084B9A42BEBF51F8040B42F8040BF8E754 +S3150800027005488047054800470000022088730008A3 +S3150800028000000020B802002069290008E5010008DE +S315080002903349344A002301E041F8043B9142FBD339 +S315080002A000F0DEF9FEE7FEE7FEE7FEE7FEE7FEE71B +S315080002B0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE708 +S315080002C0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7F8 +S315080002D0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7E8 +S315080002E0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7D8 +S315080002F0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7C8 +S31508000300FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7B7 +S31508000310FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7A7 +S31508000320FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE797 +S31508000330FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE787 +S31508000340FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE777 +S31508000350FEE7FEE7FEE7FEE7FEE7FEE7FEE700004C +S31508000360B8020020B81A0020704700BF704700BFC7 +S31508000370704700BF08B500F0C7F908BD08B500F01A +S31508000380C5F908BD08B5012000F08CF908BD00BF05 +S3150800039008B5002000F086F908BD00BF70B582B028 +S315080003A00121084602F0B6F84FF4C053009303261D +S315080003B08DF8056002238DF8043000258DF8065067 +S315080003C08DF807501D4C6946204601F0E1FF0A22C8 +S315080003D00B21204602F02AF80A220C21204602F0B8 +S315080003E025F80121042002F095F84FF400630093E4 +S315080003F001248DF804408DF806508DF807408DF8D5 +S31508000400056005F1804505F502356946284601F07F +S31508000410BFFF6B6923F400636B6121464FF480408C +S3150800042002F0A2F82146802002F082F821464FF019 +S31508000430805002F0A7F802B070BD00BF000002406D +S31508000440704700BF06E000BF013300E000231B2B06 +S31508000450F9D91046421E0028F8D1704708B54FF45E +S315080004607A7303FB00F0FFF7EDFF08BD20230B802E +S31508000470004870474800002008B590F81231032B51 +S3150800048001D103F0A5FF002008BD00BF08B503F0A1 +S315080004909FFF002008BD00BF08B5084603F0CAFF45 +S315080004A0002008BD08B50B7813F0600F21D14B78F2 +S315080004B00A2B12D00B2B15D0062B1AD14B881B0AE8 +S315080004C0212B05D1CA88172A28BF17220A4901E015 +S315080004D00A49172202F076FE0BE00122084902F0CB +S315080004E071FE06E08B7813B9054A136001E002F045 +S315080004F0CDFA002008BD00BF5A0000205100002098 +S31508000500D402002010B50446812101F075FE0121B0 +S31508000510204601F071FE002010BD00BF10B504464C +S3150800052002234022812101F03DFE022340220121BF +S31508000530204601F037FE4023034A0121204601F0F8 +S315080005407DFE002010BD00BF7419002000487047CA +S31508000550741900202DE9F04383B00121084601F003 +S31508000560D9FF0124009400268DF804608DF80760F1 +S3150800057002258DF80550384F6946384601F008FFC0 +S3150800058021464FF4003001F0E1FF2146204601F0F4 +S31508000590C1FF07222946384601F048FF07220321F2 +S315080005A0384601F043FF8DF806608DF807408DF850 +S315080005B004504FF00408CDF800808DF805506946C0 +S315080005C0384601F0E5FE8DF804504FF00809CDF8DD +S315080005D000906946384601F0DBFE2146284601F0C0 +S315080005E099FF07F5806709224946384601F01EFF3C +S315080005F009221146384601F019FF4FF4407300935B +S315080006008DF804508DF805508DF806608DF8074072 +S315080006106946384601F0BCFE21464FF0007001F0ED +S3150800062095FF2146404601F075FF4FF48057009725 +S315080006308DF804408DF806608DF807408DF8055052 +S31508000640064C6946204601F0A3FE3946204601F0CD +S31508000650E9FE03B0BDE8F08300000240000802404E +S3150800066008B5FFF777FF03F00FFC03F01DFCFCE766 +S3150800067008B50121054801F0CDFE012803D000F098 +S3150800068005F9012008BD002008BD00BF0000024092 +S3150800069008B5642000F0C8F808BD00BF08B500F02A +S315080006A0C9F808BD30B583B00446154B1B78BBB9ED +S315080006B00121042001F02EFF4FF400630093012569 +S315080006C08DF804508DF8065000238DF80730022364 +S315080006D08DF8053069460B4801F05AFE084B1D7027 +S315080006E0012C05D14FF40061064801F09DFE04E097 +S315080006F04FF40061034801F095FE03B030BD00BF1A +S31508000700D802002000080240704700BF704700BFAB +S3150800071000B5C7B04FF48C720021684606F090F910 +S3150800072069460A4805F0ECFF38B9009B3BB19DF8CD +S31508000730083013F0100F04D004E0002002E0002077 +S3150800074000E0012047B05DF804FB00BF846A00089A +S3150800075000487047846A000808B50748002380F8EF +S3150800076028320A22054905F04DFC18B90122024B28 +S3150800077083F8282208BD00BFDC020020A46A00080E +S3150800078010B50D4B93F82832012B02D10A4805F013 +S31508000790F1FE04F0DDF900F1640403E004F0D8F991 +S315080007A0844205D34021054802F024F80028F5D0F4 +S315080007B0034805F0CBFF10BDDC02002000440040D2 +S315080007C0846A000808B5044B93F82832012B02D135 +S315080007D0014805F0CFFE08BDDC02002010B504462E +S315080007E00F4B93F82832012B15D10D4906F036F830 +S315080007F0002810DA0A48002380F8283205F0BAFEE5 +S3150800080009E0084801F0EEFF8021064801F0F2FFF2 +S315080008100028F9D0013421780029F2D110BD00BF93 +S31508000820DC02002000440040014B1880704700BFDE +S315080008300805002008B504F08BF9104B1B68984290 +S315080008401AD30F4B1B7843B901220D4B1A704FF47C +S3150800085080510C4801F0E8FD07E00022084B1A70A9 +S315080008604FF48051074801F0DDFD04F071F9064B9D +S315080008701B881844014B186008BD00BF0C050020F2 +S3150800088010050020000802400805002008B54FF4AE +S315080008908051024801F0C6FD08BD00BF00080240AD +S315080008A012230B80004870478800002004230B8021 +S315080008B0004870478400002010B5044C0A462146BB +S315080008C0034802F007FB204610BD00BFB4190020FC +S315080008D0B46A000810B5044C0A462146034802F0DB +S315080008E0F9FA204610BD00BFB4190020C86A0008EE +S315080008F010B5044C0A462146034802F0EBFA204696 +S3150800090010BD00BFB4190020D86A000810B5044C01 +S315080009100A462146034802F0DDFA204610BD00BF0C +S31508000920B4190020E86A000810B5044C0A462146A6 +S31508000930034802F0CFFA204610BD00BFB4190020C4 +S31508000940F06A0008024A137843F0010313707047EF +S315080009509A00002030B587B0154D0021284601F0D1 +S3150800096077FF0024ADF804404FF48273ADF80630E3 +S31508000970ADF80840ADF80A40ADF80C404FF40073E6 +S31508000980ADF80E30ADF81040ADF812400723ADF8BB +S31508000990143001A9284601F035FF2146284601F002 +S315080009A06BFF0121284601F053FF07B030BD00BF99 +S315080009B00038004070B582B0214D4FF4805128466A +S315080009C001F030FD02238DF8053001238DF804303F +S315080009D000248DF807408DF806404FF4004602A91A +S315080009E041F8086D284601F0D3FC3146284601F047 +S315080009F019FD10E00221134801F04CFF0028F9D038 +S31508000A00FF21104801F036FF01210E4801F042FF90 +S31508000A100028F9D00134092CECD94FF4004300938F +S31508000A2002238DF804308DF8053000228DF8062053 +S31508000A308DF807306946024801F0AAFC02B070BD7D +S31508000A4000040240003800402DE9F04188B0012139 +S31508000A50022001F05FFD01214FF4804001F076FD90 +S31508000A604FF48058CDF8048002268DF809600127D6 +S31508000A708DF8087000248DF80B408DF80A40294D32 +S31508000A8001A9284601F084FC4146284601F0CAFC23 +S31508000A9005220D21284601F0C9FC05220E2128460B +S31508000AA001F0C4FC05220F21284601F0BFFC4FF4D3 +S31508000AB0604301938DF808608DF809608DF80A4047 +S31508000AC08DF80B6001A9284601F062FCADF80C40D0 +S31508000AD04FF48273ADF80E30ADF81040ADF8124001 +S31508000AE0ADF814404FF40073ADF816303023ADF866 +S31508000AF01830ADF81A400723ADF81C30A5F5E635D1 +S31508000B0003A9284601F07EFE2146284601F0B4FED8 +S31508000B103946284601F09CFEFFF74CFF08B0BDE8B1 +S31508000B20F08100BF0004024008B50146064801F0FE +S31508000B30A1FE0121044801F0ADFE0028F9D00248C3 +S31508000B4001F094FEC0B208BD0038004038B503F085 +S31508000B50FFFF00F5FA75FF20FFF7E6FF0446FF28BA +S31508000B6003D003F0F5FF8542F5D8FF2C14BF00200B +S31508000B70012038BD08B54FF48051034801F052FCF6 +S31508000B80FF20FFF7D1FF08BD0004024010B54FF45F +S31508000B908051084801F048FCFF20FFF7C5FFFFF722 +S31508000BA0D5FF18B90446FFF7E5FF00E00124204603 +S31508000BB010BD00BF0004024038B504460D4610F0CB +S31508000BC0800F07D000F07F0400213720FFF7F4FFDD +S31508000BD0012831D8FFF7CEFFFFF7D8FF58B344F006 +S31508000BE04000FFF7A1FF280EFFF79EFFC5F3074059 +S31508000BF0FFF79AFFC5F30720FFF796FFE8B2FFF75E +S31508000C0093FF0CB1012000E09520082C00D1872025 +S31508000C10FFF78AFF0C2C02D1FF20FFF785FF0A2475 +S31508000C20FF20FFF781FF10F0800F05D0631E13F039 +S31508000C30FF04F5D138BDFF2038BD00BF10B5044606 +S31508000C40FF20FFF771FF207010BD00BFF8B50546FD +S31508000C500E4603F07DFF00F16407FF20FFF764FFEF +S31508000C600446FF2803D103F073FF8742F5D8FE2C0C +S31508000C7011D16C1C2846FFF7E1FF20460235FFF725 +S31508000C80DDFF023EF5D1FF20FFF74EFFFF20FFF7FD +S31508000C904BFF0120F8BD0020F8BD00BF70B5054622 +S31508000CA00C46FFF753FF034618B32046FFF73CFFF1 +S31508000CB0FD2C1BD04FF400762C4614F8020BFFF7D8 +S31508000CC033FF6878FFF730FF2546023EF4D1FF2050 +S31508000CD0FFF72AFFFF20FFF727FFFF20FFF724FF74 +S31508000CE000F01F00052803D0002302E0012300E0DE +S31508000CF00123184670BD00BF002840F0A380534B5F +S31508000D001B7813F0020F03D0504B1878C0B2704707 +S31508000D1070B582B0FFF798FE4FF480514C4801F049 +S31508000D2083FB00210846FFF747FF01286AD103F035 +S31508000D300FFF00F57A754FF4D5710820FFF73CFFD1 +S31508000D4001283FD109E0FF20FFF7EEFE02AB23445E +S31508000D5003F8040C0134E4B200E00024032CF2D9B1 +S31508000D609DF80630012B4FD19DF80730AA2B4DD19F +S31508000D7003F0EEFE854206D94FF08041A920FFF721 +S31508000D801BFF0028F4D103F0E3FE854240D9002179 +S31508000D903A20FFF711FF58B1002440E0FF20FFF783 +S31508000DA0C3FE02AB234403F8040C0134E4B200E0AA +S31508000DB00024032CF2D99DF8043013F0400F29D0F3 +S31508000DC00C242CE00021A920FFF7F6FE012802D802 +S31508000DD00224A92601E00124264603F0B9FE85422D +S31508000DE005D900213046FFF7E7FE0028F5D103F0C4 +S31508000DF0AFFE854210D94FF400711020FFF7DCFED4 +S31508000E0060B90CE000240AE0002408E0002406E0AB +S31508000E10002404E0042402E0002400E000240D4B32 +S31508000E201C60FFF7A7FE3CB1084A137803F0FE03DF +S31508000E301370FFF78FFD01E0FFF784FD034B187869 +S31508000E40C0B201E00120704702B070BD9A000020D0 +S31508000E50000402401405002018B9034B1878C0B2E4 +S31508000E6070470120704700BF9A000020B8BB38B50C +S31508000E700D461C46ABB31D4B1B7813F0010F32D140 +S31508000E801B4B1B6813F0080F00D15202012C0BD123 +S31508000E9011461120FFF790FED8B94FF40071284685 +S31508000EA0FFF7D4FEA0B914E011461220FFF784FE1E +S31508000EB078B94FF400712846FFF7C8FE18B105F552 +S31508000EC00075013CF5D100210C20FFF775FE00E006 +S31508000ED00024FFF74FFE201C18BF012038BD042050 +S31508000EE07047042038BD032038BD00BF9A00002093 +S31508000EF014050020002846D170B50D4616461C4636 +S31508000F00002B42D0244B1B7813F0010F3FD1224B04 +S31508000F101B7813F0040F3CD1204B1B6813F0080F05 +S31508000F2000D15602012C0AD131461820FFF744FE9B +S31508000F3018BBFE212846FFF7B1FED8B91DE013F00D +S31508000F40060F03D021469720FFF736FE31461920B3 +S31508000F50FFF732FE88B9FC212846FFF79FFE18B135 +S31508000F6005F50075013CF6D1FD210020FFF796FE38 +S31508000F7010B102E0002400E00124FFF7FBFD201C6D +S31508000F8018BF012070BD04207047042070BD0320DF +S31508000F9070BD022070BD00BF9A0000201405002015 +S31508000FA0002840F02D81994B1B7813F0010F40F073 +S31508000FB0298130B585B014460E2900F20281DFE892 +S31508000FC011F00F00160000015E000001000100018B +S31508000FD0000100010001B900BE00CC00D900EC00F8 +S31508000FE0FFF7D4FD002840F0EE80012404E100213B +S31508000FF00920FFF7E1FD002840F0E7801021684648 +S31508001000FFF724FE002800F0E2809DF800309B09D7 +S31508001010012B10D19DF809309DF8082003EB022218 +S315080010209DF807301B0403F47C13134401339B0219 +S3150800103023600024E0E09DF805209DF80A109DF83D +S3150800104009305B0002F00F0202EBD11203F006032F +S3150800105013440233DBB29DF808109DF8072092006E +S3150800106002EB91119DF80620920202F440620A44AE +S315080010700132093B02FA03F323600024BCE0644B07 +S315080010801B6813F0040F21D000218D20FFF794FD73 +S31508001090002840F09E80FF20FFF746FD1021684695 +S315080010A0FFF7D4FD38B90124A6E0FF20FFF73CFD81 +S315080010B0013DEDB200E03025002DF6D19DF80A304D +S315080010C01A09102393402360002495E00021092083 +S315080010D0FFF772FD00287ED110216846FFF7B6FD9E +S315080010E000287AD04A4B1B6813F0020F11D09DF8DE +S315080010F00A305B0003F07E039DF80B2003EBD21346 +S3150800110001339DF80D209209013A9340236000248B +S3150800111072E09DF80A30C3F384019DF80B30DA00BB +S3150800112002F0180202EB5312531C01FB033323602F +S31508001130002461E0364B1B68137000245CE0002134 +S315080011400920FFF739FD002849D110212046FFF76D +S315080011507DFD002845D101244EE000210A20FFF735 +S315080011602BFD00283FD110212046FFF76FFDE0BB7D +S31508001170012441E000213A20FFF71EFD40B1012479 +S315080011803AE0FF20FFF7D0FC60550135EDB200E0EC +S315080011900025032DF5D900242EE01D4B1B6813F0FE +S315080011A0040F24D000218D20FFF706FD08BBFF2081 +S315080011B0FFF7BAFC40212046FFF748FDD8B90124BD +S315080011C01AE0042418E0002416E0012414E001249F +S315080011D012E0012410E001240EE001240CE00124B1 +S315080011E00AE0002408E0012406E0002404E00124C3 +S315080011F002E0012400E00024FFF7BCFC204603E0DF +S31508001200042070470320704705B030BD9A000020BF +S315080012101405002010B50446044B1B685B6A984702 +S315080012204FF08043E2685361012010BDAC000020F6 +S3150800123038B50446C3685D6815F0040F03D0044B3F +S315080012401B689B6A9847E3685D60012038BD00BF4C +S31508001250AC00002010B50446837A43B1D0F80C31AF +S315080012606FF341036FF30003D0F80C211360226972 +S31508001270536823F001035360054B1B689B6920469E +S3150800128098474FF00043E2685361012010BD00BF44 +S31508001290AC00002030B583B0054600248DF8074021 +S315080012A090F812318DF80730154B1B685B69984723 +S315080012B02B699A684FF40064EB685C61AB7AE3B11A +S315080012C012F0010F19D095F81531012B15D19DF89B +S315080012D00730DBB2032B10D1D5F80C21136843F085 +S315080012E001031360D5F80C21136843F00303136058 +S315080012F0044A136943F006031361012003B030BDA5 +S31508001300AC00002000ED00E010B50446044B1B6855 +S31508001310DB6898470823E2685361012010BD00BFC7 +S31508001320AC00002010B50446044B1B68DB699847DF +S315080013304FF48013E2685361012010BDAC00002011 +S3150800134010B50446044B1B681B6A98474FF40013F4 +S31508001350E2685361012010BDAC00002010B4026998 +S315080013601469536BCB40DB01DBB22343043100EB3A +S3150800137081008268906818405DF8044B704700BF8A +S315080013802DE9F041074600F087FE0446002553E0A4 +S3150800139014F0010F4ED05FFA85F84146384600F042 +S315080013A081FE064610F0010F2BD0012605F1140325 +S315080013B007EB83035B689E60FB78B34210D105F1A7 +S315080013C0140307EB83035B681969AA002A44D30050 +S315080013D03B44D3F87C23C1F31201521AC3F88C2379 +S315080013E0184B1B681B68414638469847FB78012B03 +S315080013F007D135B997F81131052B02D1384600F0D7 +S3150800140061FE16F0020F06D0022605F1140307EB5B +S3150800141083035B689E6016F0080F0BD0094B1B68A8 +S315080014209B6838469847082605F1140307EB83039B +S315080014305B689E6001356408002CA9D10120BDE8CF +S31508001440F08100BFAC0000202DE9F04107460D46AB +S315080014508A000A44D3000344D3F83021D3F8341160 +S31508001460521AD3F824319A4200D8134603F10308D6 +S315080014704FEA98082B1D07EB83039B689B6927E0B7 +S315080014805B1A05EB8501CA003A44D2F82461B342D7 +S3150800149000D81E4606F103084FEA9808AB002B440D +S315080014A0DC003C44B3B2EAB2D4F82811384600F05E +S315080014B0F9F9D4F828313344C4F82831D4F834314A +S315080014C01E44C4F834612B1D07EB83039B689B6994 +S315080014D09BB298450BD2AB002B44DA003A44D2F8BB +S315080014E03411D2F83031994201D2002BC8D10120EB +S315080014F0BDE8F0812DE9F041074600F0DDFD044620 +S3150800150000265EE014F0010F59D05FFA86F84146CE +S315080015103846FFF723FF054610F0010F1ED00123BA +S3150800152003FA06F039694A6B22EA00024A631D4645 +S31508001530321D07EB820292689360244B1B685B6836 +S31508001540414638469847FB78AB4207D136B997F8F3 +S315080015501131042B02D1384600F0B4FD15F0080FFE +S3150800156005D00825331D07EB83039B689D6015F09E +S31508001570100F05D01025331D07EB83039B689D606C +S3150800158015F0400F05D04025331D07EB83039B68F4 +S315080015909D6015F0020F05D00225331D07EB830366 +S315080015A09B689D6015F0800F09D031463846FFF7D5 +S315080015B04BFF8025331D07EB83039B689D6001362F +S315080015C06408002C9ED10120BDE8F081AC00002003 +S315080015D02DE9F0410546C268936923F0100393612B +S315080015E0C3681C6A04F00F06C4F34343022B02D0F7 +S315080015F0062B1CD02BE047F6F073234227D0C4F302 +S315080016000A144FEA860808EB0603DF000744224659 +S31508001610D7F8801300F05CF9D7F880332344C7F86D +S315080016208033D7F88C331C44C7F88C430FE0082264 +S3150800163000F2CC5100F04CF9C4F30A14B200911927 +S31508001640CB002B44D3F88C231444C3F88C43EA68A4 +S31508001650936943F0100393610120BDE8F08100BF50 +S315080016602DE9F84304460025A8462F462E4602696A +S31508001670536823F001035360294600F0FDF92B4611 +S315080016800CE01A1D04EB82029268FF21916003F1B7 +S31508001690140204EB82025268916001336278934225 +S315080016A0EFD323694FF0FF329A614FF0010969F3CE +S315080016B00F0569F31F452369DD6148F00B082369A7 +S315080016C0C3F8148047F00B0723691F612269136862 +S315080016D06FF30A131360204600F0F4FC46F48056B4 +S315080016E0E3685E61034B1B681B69204698474846BA +S315080016F0BDE8F883AC00002038B5044600F0F6FAD9 +S31508001700E368DD68204600F0E1FA032808D10023E3 +S31508001710A3704FF40073A380092363F38D2506E0B5 +S315080017200123A3704023A380052363F38D25E36873 +S31508001730DD604FF40053E2685361012038BD00BFF5 +S315080017402DE9F843054600F0F7F900286AD028463F +S3150800175000F0FAF90646002868D0C0F3074818F0E2 +S31508001760080F04D02846FFF70BFE044600E00024C5 +S3150800177018F0040F03D02846FFF7BCFE0443F7B25F +S3150800178017F0020F02D00223EA6853614FEA16697E +S3150800179019F0800F03D02846FFF75CFD0443C6F313 +S315080017A0072616F0080F03D02846FFF773FD0443F3 +S315080017B017F0080F03D02846FFF7A6FD044317F0D5 +S315080017C0100F03D02846FFF703FF044316F0100F47 +S315080017D003D02846FFF744FF044316F0200F03D032 +S315080017E02846FFF789FF044318F0100F03D0284650 +S315080017F0FFF798FD044318F0200F03D02846FFF79B +S315080018009FFD044319F0400F03D02846FFF702FD59 +S31508001810044317F0040F08D02846FFF709FD2043B4 +S31508001820BDE8F8830020BDE8F8832046BDE8F883C4 +S31508001830C3684FF0FF325A60C3686FF080425A613E +S31508001840014BC268936170470008008030B583B0C9 +S315080018500546002401940320FEF7F4FDEB681A6997 +S31508001860019201340E4A944217D8019A002AF2DAF4 +S31508001870019A42F001020192019A1A610023EA686C +S31508001880126901920133064A934203D8019A12F06B +S31508001890010FF4D10320FEF7D5FD002003B030BDBB +S315080018A0400D030010B4C4786CB903339B10323270 +S315080018B000EB82008468002203E051F8040B2060E4 +S315080018C001329A42F9D300205DF8044B704700BFF5 +S315080018D010B403329210D0F8D040002303E02068F9 +S315080018E041F8040B01339342F9D308465DF8044BDB +S315080018F0704700BF30B40023C370012383704023B0 +S31508001900838001290DD10123C372082303700423A0 +S3150800191043704FF4A073C380022303724FF0A044B0 +S315080019200CE051B90023C3720C23037006234370DD +S315080019304FF4A063C380234C00E00024C46004F580 +S315080019400063036100230FE004EB431202F5106500 +S31508001950191D00EB81018D6002F5306203F1140157 +S3150800196000EB81014A60013342789342ECD304F5D7 +S315080019708063436104F58863C0F8CC30002309E02E +S3150800198004EB431101F5A06103F1220200EB820288 +S31508001990916001330578AB42F2D3002309E004EBEA +S315080019A0033101F5805103F1320200EB82029160A6 +S315080019B00133AB42F3D304F56064C0F80C41002050 +S315080019C030BC70470000044038B50446037A012B42 +S315080019D022D10025C268936B6FF31043417A09B18F +S315080019E043F480139363E268D3686FF386136FF347 +S315080019F096536FF351436FF3D343D3602046FFF7F3 +S31508001A0025FFE378012B19D1052363F3440545F037 +S31508001A102005E3689D6011E0C268D16841F0400383 +S31508001A20D360FFF713FF4FF45023627A0AB14FF4DD +S31508001A30E813E26893631420FEF710FDE378012BA0 +S31508001A4007D1E3689D68052262F3440545F0200541 +S31508001A509D60002038BD00BFC268936843F001034B +S31508001A6093600020704700BFC268936823F00103A3 +S31508001A7093600020704700BF00B583B00023019330 +S31508001A80019A42F020020192019A61F38A120192A8 +S31508001A90C26801991161C268126901920133074A45 +S31508001AA0934203D8019A12F0200FF4D10320FEF7CF +S31508001AB0C9FC002003B05DF804FB00BF400D03001D +S31508001AC000B583B000230193019A42F010020192F7 +S31508001AD0C26801991161C268126901920133074A05 +S31508001AE0934203D8019A12F0100FF4D10320FEF79F +S31508001AF0A9FC002003B05DF804FB00BF400D0300FD +S31508001B0008B5C268D3686FF35D736FF39E730129D6 +S31508001B1002D143F0005302E009B943F08043D36091 +S31508001B203220FEF79BFC002008BD00BFC368586939 +S31508001B3000F00100704700BF08B5FFF7F7FF10F186 +S31508001B40FF3018BF012008BDC36858699B69184053 +S31508001B50704700BF0269136861F301031360704799 +S31508001B6038B505460024C3689C61C3686FF0804297 +S31508001B705A61FFF75DFEEB7803B9102444F0804400 +S31508001B8044F4701444F4605444F00C04EA6893690D +S31508001B9023EA04031C439461002038BD70B504464B +S31508001BA00025D0F80C311D600269136865F3CC2353 +S31508001BB01360C37A012B27D12E460321FFF7CAFFEC +S31508001BC0E26880235362202262F31F4563F30F0500 +S31508001BD0E1688D62A02161F30F0663F31F46E3688F +S31508001BE0C3F804614FF4907363F30F0662F31F465C +S31508001BF0E368C3F808614FF4A07363F30F066FF345 +S31508001C001F46E368C3F80C6110212046FFF734FF2E +S31508001C102046FFF755FF236900221A6123695A6196 +S31508001C2023694FF0FF3199612369DA6116E0131DC4 +S31508001C3004EB83039B681B68002B02DA4FF0904184 +S31508001C4000E00021131D04EB83039868016099687E +S31508001C50002008619B68FF219960013263789A42E7 +S31508001C60E5D3002218E002F1140304EB83035B6852 +S31508001C701B68002B02DA4FF0904100E0002102F1C8 +S31508001C80140304EB8303586801605968002008614F +S31508001C905B68FF219960013263789A42E3D322692F +S31508001CA0136943F480731361E378012B09D1032385 +S31508001CB0402262F38A0343F4803362F3594322696C +S31508001CC013632046FFF74CFF002070BD03699B682D +S31508001CD0C3F34103022B04D005D8012B03D00320FC +S31508001CE07047012070470220704700BF03699B6850 +S31508001CF082691168C3F34103022B02D06FF30A010C +S31508001D0002E0032363F30A0111600269536843F48E +S31508001D10807353600020704730B400224B78012B43 +S31508001D2008D10D782C1D00EB8404A468AB4063F33E +S31508001D300F0209E00D7805F1140400EB84046468C9 +S31508001D400123AB4063F31F42236813F4004F0DD100 +S31508001D508D6865F30A03CD7865F39343C98861F303 +S31508001D60995343F0805343F4004323600369D969C8 +S31508001D700A43DA61002030BC704700BF70B4002205 +S31508001D8015464B78012B08D10E78311D00EB8101E1 +S31508001D908C68B34063F30F0509E00B7803F114046C +S31508001DA000EB840464680121994061F31F456FF3D1 +S31508001DB0CF3222600269D36923EA0503D361002082 +S31508001DC070BC704738B54B78012B58D10B78043363 +S31508001DD000EB83039B681A681C694B692BB96FF380 +S31508001DE01204012363F3DC440DE063F312048D68E7 +S31508001DF02B44013BB3FBF5F363F3DC44CB78012BAF +S31508001E0001D163F35E740B78043300EB83039B689C +S31508001E101C61C378012B07D10B78043300EB8303CD +S31508001E209B680C695C610CE0CB78012B09D04B6987 +S31508001E303BB10C78012303FA04F405696B6B234361 +S31508001E406B63CB78012B09D103699B6813F4807FF8 +S31508001E5002D142F0005201E042F0805242F00442C0 +S31508001E600B78043300EB83039B681A60CB78012B4D +S31508001E7044D18B8A0A78C968FFF714FD3EE00B78CF +S31508001E80143300EB83035B681A681C694B6933B922 +S31508001E908B6863F31204012363F3DC440CE08D685A +S31508001EA02B44013BB3FBF5F3C3F3090363F3DC44AB +S31508001EB005FB03F363F312040B78143300EB830377 +S31508001EC05B681C61C378012B06D10B78143300EBD1 +S31508001ED083035B680C695C61CB78012B06D14B796F +S31508001EE013B142F0005201E042F0805242F004423F +S31508001EF00B78143300EB830043681A60002038BD62 +S31508001F0030B482B04B78012B49D183691A681C69B1 +S31508001F1000944C696CB99DF800406FF306048DF87F +S31508001F2000409DF80240012565F3C4048DF802407F +S31508001F3017E08D68AC4207D94D619DF8004065F3FE +S31508001F4006048DF8004005E09DF8005064F3060588 +S31508001F508DF800509DF80240012565F3C4048DF8FC +S31508001F600240009C1C61C478012C06D10C7804340C +S31508001F7000EB8404A4680D69656142F004421A60A6 +S31508001F80C378002B4CD14B69002B49D00A78012322 +S31508001F9003FA02F201694B6B13434B6340E00B787B +S31508001FA0143300EB83035B681A681B6900934B695B +S31508001FB073B98C689DF8003064F306038DF8003019 +S31508001FC09DF80230012464F3C4038DF802300EE054 +S31508001FD08C684C619DF8003064F306038DF8003078 +S31508001FE09DF80230012464F3C4038DF802300B789F +S31508001FF0143300EB83035B68009C1C61C378012BD8 +S3150800200006D10B78143300EB83035B680C695C61BB +S3150800201042F004420B78143300EB830043681A60DD +S31508002020002002B030BC70474B78012B0DD10B78DD +S31508002030043300EB830082681368002B01DA43F04F +S31508002040804343F40013136008E00B78143300EB65 +S3150800205083004268116841F400131360002070473A +S315080020604B78012B05D10B78043300EB830080688D +S3150800207004E00B78143300EB8300406802686FF3C2 +S315080020805552CB78023BDBB2012B01D842F0805285 +S3150800209002600020704700BF03699869DB69184031 +S315080020A0000C7047143100EB81014B689A6803698C +S315080020B058691040704700BF03699869DB69184082 +S315080020C080B2704782B000230093C0238DF8033096 +S315080020D04FF00003012262F3C4038DF8023018237F +S315080020E08DF80030436D009A1A61C378012B08D128 +S315080020F0436D00F2CC525A61436D1A684FF0802244 +S315080021001A6002B0704700BF38B50446FFF7F2FB05 +S31508002110012384F81231002284F8142115E0910075 +S315080021201144CB002344012183F81D1183F81C2197 +S31508002130A3F82221002183F81F114020C3F82401A7 +S31508002140C3F82811C3F83011013260788242E6D309 +S31508002150002214E091001144CB002344002183F8A7 +S31508002160751383F87423A3F87A2383F87713402525 +S31508002170C3F87C53C3F88013C3F88813013282422C +S31508002180E8D32046FFF770FC2046FFF71DFC002128 +S315080021902046FFF7B5FC2046FFF700FD2046FFF76F +S315080021A05BFC38BD70B54DB2002D09DA01F07F062B +S315080021B006EB8606F40004F58C740444043408E03F +S315080021C001F07F0606EB8606F40004F55C74044409 +S315080021D0043401F07F012170ED0F6570A260E37091 +S315080021E005B1E180022B01D1002323712146FFF7B7 +S315080021F093FD002070BD00BF10B54AB2002A09DA67 +S3150800220001F07F0404EB8404E30003F58C730344B4 +S31508002210043308E001F07F0404EB8404E30003F5CB +S315080022205C730344043301F07F011970D20F5A70AE +S315080022301946FFF7A3FD002010BD00BF70B501F0D9 +S315080022407F04A6003519E9000D4601F55C710144C5 +S3150800225004310544C5F88023C5F888330023C5F83A +S315080022608C3385F8753385F87443C378012B05D10B +S3150800227004EB8405EB000344C3F8842304EB8404CD +S31508002280E300034493F8743313B9FFF739FE01E00A +S31508002290FFF798FD002070BD70B501F07F05AE0010 +S315080022A07119CC0004F58C740444211D2E44F400E5 +S315080022B00444012684F81D6184F81C51C4F82821B9 +S315080022C0C4F82C210022C4F83421C4F8303115B9D9 +S315080022D0FFF716FE01E0FFF775FD002070BD00BF91 +S315080022E010B54AB2002A09DA01F07F0404EB840427 +S315080022F0E30003F58C730344043306E001EB810421 +S31508002300E30003F55C730344043301249C7001F075 +S315080023107F011970D20F5A701946FFF785FE002003 +S3150800232010BD00BF10B54AB2002A09DA01F07F04D1 +S3150800233004EB8404E30003F58C730344043306E0DA +S3150800234001EB8104E30003F55C73034404330024C2 +S315080023509C7001F07F011970D20F5A701946FFF769 +S315080023607FFE204610BD00BF002361F30A130269F1 +S3150800237011680B431360704708B50369596841F043 +S3150800238002025A600320FEF769F808BDFEE700BF9F +S3150800239070B400233AE001229A400C68A24334D173 +S315080023A004685D000322AA40D2431440046006680C +S315080023B00C79AC40344304600C79013CE4B2012C3E +S315080023C015D884682240826084684A79AA402243E4 +S315080023D0826046689AB20124944026EA040444605E +S315080023E046688C7904FA02F292B232434260C468B3 +S315080023F09AB256000322B24024EA0202C260C668B4 +S31508002400CC7904FA05F23243C26001330F2BC2D9E4 +S3150800241070BC70470369194201D00120704700203B +S31508002420704700BF018370474183704730B401F09D +S3150800243007039B009A40C908083150F821400F2528 +S3150800244005FA03F324EA030340F8213050F8213053 +S315080024501A4340F8212030BC704700BF10B4294BFE +S315080024609B6803F00C03042B05D0082B06D053BB3E +S31508002470254B036029E0254B036026E0214B5A686B +S315080024805B6803F03F0312F4800F09D01F4AB2FBC2 +S31508002490F3F21C4B5B68C3F3881303FB02F208E0F4 +S315080024A0194AB2FBF3F3174A5168C1F3881101FBC5 +S315080024B003F2144B5B68C3F3014301335B00B2FBC1 +S315080024C0F3F3036001E0104B03600E4CA368C3F3FB +S315080024D003130F49CB5CDAB20368D3404360A268A2 +S315080024E0C2F382228A5CD2B223FA02F28260A2681E +S315080024F0C2F342328A5CD2B2D340C3605DF8044B61 +S31508002500704700BF003802400024F40000127A0029 +S315080025109C00002021B1054B1A6B104318637047C5 +S31508002520024A136B23EA0000106370470038024022 +S3150800253021B1054B5A6B104358637047024A536BD7 +S3150800254023EA0000506370470038024021B1054B6A +S315080025501A6C104318647047024A136C23EA000089 +S31508002560106470470038024021B1054B5A6C10437D +S3150800257058647047024A536C23EA00005064704757 +S315080025800038024021B1054B1A6A10431862704799 +S31508002590024A136A23EA00001062704700380240B4 +S315080025A0054B1B69002B05DA034B044A5A6002F1F6 +S315080025B088325A60704700BF003C024023016745D5 +S315080025C0024A136943F0004313617047003C024016 +S315080025D0014BD860704700BF003C02400E4BDB68D9 +S315080025E013F4803F10D10C4BDB6813F0100F0DD19C +S315080025F0094BDB6813F0EF0F0AD1074BDB6813F0C2 +S31508002600020F07D007207047012070470520704742 +S315080026100620704708207047003C024000B583B08A +S3150800262008238DF80730FFF7D9FF8DF8070003E078 +S31508002630FFF7D4FF8DF807009DF80730DBB2012BB2 +S31508002640F6D09DF8070003B05DF804FB70B50546A3 +S3150800265031B1012906D0022907D14FF4007606E0E8 +S31508002660002604E04FF4807601E04FF44076FFF749 +S31508002670D5FF08281ED1104C236923F44073236123 +S3150800268023691E432661236923F0F803236123691E +S315080026901D4345F002052561236943F48033236110 +S315080026A0FFF7BCFF236923F002032361236923F0A4 +S315080026B0F803236170BD00BF003C024070B50546B3 +S315080026C00E46FFF7ABFF082813D10A4C236923F4FB +S315080026D040732361236943F400732361236943F03C +S315080026E0010323612E60FFF799FF236923F0010395 +S315080026F0236170BD003C024030B585B004460D46E6 +S31508002700038A9BB223F44053CA88134303828389FE +S315080027109BB223F4B05323F00C01A8882A896B894D +S31508002720024313430B43A381A38A9BB223F440734A +S31508002730AA891343A3826846FFF790FE204B9C4262 +S3150800274003D003F580639C4201D1039A00E0029A04 +S31508002750A38913F4004F08D002EB820202EB82022F +S3150800276029684900B2FBF1F107E002EB820202EBAD +S31508002770820229688900B2FBF1F1124BA3FB0123FF +S315080027805B091B011A09642000FB1212A18911F4C6 +S31508002790004F08D0D20032320A49A1FB0212C2F316 +S315080027A042121A4307E0120132320649A1FB02120D +S315080027B0C2F343121A4392B2228105B030BD00BF5C +S315080027C0001001401F85EB5129B183899BB243F460 +S315080027D000538381704783899BB223F400539BB2CD +S315080027E083817047C1F30801818070478088C0F3F0 +S315080027F0080070470388194201D00120704700205D +S31508002800704700BF2DE9F041038803F441570D884E +S315080028104E888C88B1F806800A89B1F80AC08B8977 +S31508002820B1F80EE03543254348EA050414434CEA5B +S3150800283004021A434EEA02033B430380838B9BB28E +S3150800284023F400639BB283830B8A0382BDE8F0817D +S3150800285029B103889BB243F040030380704703887D +S315080028609BB223F040039BB203807047808980B2F5 +S31508002870704700BF8181704729B103889BB243F432 +S3150800288000530380704703889BB223F400539BB21E +S31508002890038070470389194201D001207047002040 +S315080028A0704700BF82B00023019300932A4A136839 +S315080028B043F480331360284B1B6803F400330093FA +S315080028C0019B01330193009B1BB9019BB3F5A06FD4 +S315080028D0F1D1214B1B6813F4003F02D0012300936A +S315080028E001E000230093009B012B32D11A4B1A6C8E +S315080028F042F080521A6419490A6842F440420A6052 +S315080029009A689A609A6842F400429A609A6842F411 +S31508002910A0529A60124A5A601A6842F080721A6087 +S315080029200D4B1B6813F0007FFAD040F205620D4B81 +S315080029301A60A3F580639A6822F003029A609A687F +S3150800294042F002029A60044B9B6803F00C03082BC2 +S31508002950F9D102B0704700BF00380240007000404D +S3150800296008544007003C024010B5104CD4F8883093 +S3150800297043F47003C4F888300D4B1A6842F001021C +S315080029801A60002199601A6822F0847222F4803253 +S315080029901A60084A5A601A6822F480221A60D960B6 +S315080029A0FFF780FF4FF00063A36010BD00ED00E065 +S315080029B000380240103000240346002002E00130AF +S315080029C0C0B201331A78002AF9D1704710B5044607 +S315080029D04B88012B09D1C0F81831D0F8E4359B682B +S315080029E09847204600F00EFC10BD022B29D18B8893 +S315080029F013F0FF0F25D1026952681B0A013B042B0D +S31508002A0017D8DFE803F003070B0F1300012363F35E +S31508002A1006120EE0022363F306120AE0032363F3A9 +S31508002A20061206E0042363F3061202E0052363F3A5 +S31508002A300612044B1A60012384F81631204600F06A +S31508002A40E1FB10BDB41A002090F8CC350B7090F855 +S31508002A50CD354B7090F8CE3590F8CF2503EB022391 +S31508002A604B8090F8D03590F8D12503EB02238B8064 +S31508002A7090F8D23590F8D32503EB02239BB2CB808E +S31508002A80C0F84031012380F81131704710B504466B +S31508002A908021FFF725FC00212046FFF721FC204670 +S31508002AA0FFF710FB10BD00BF30B583B005460C46D6 +S31508002AB04A88130A013B062B67D8DFE803F0041699 +S31508002AC02266665E6200D0F8EC351B680DF10601D9 +S31508002AD080789847E388402B03D095F81231012B6C +S31508002AE057D10823ADF8063053E0D0F8E4359B6A91 +S31508002AF00DF106018078984702234370C5F8F00562 +S31508002B0047E0D2B2052A34D8DFE802F0030B131BDC +S31508002B10232BD0F8EC355B680DF1060180789847D1 +S31508002B2037E0D0F8EC359B680DF1060180789847B8 +S31508002B302FE0D0F8EC35DB680DF106018078984770 +S31508002B4027E0D0F8EC351B690DF106018078984727 +S31508002B501FE0D0F8EC355B690DF1060180789847DF +S31508002B6017E0D0F8EC359B690DF106018078984797 +S31508002B700FE02146FFF78AFF19E02146FFF786FF97 +S31508002B8015E02146FFF782FF11E02146FFF77EFF99 +S31508002B900DE0BDF8063053B1E28842B19A4228BF2B +S31508002BA01A46ADF806200146284600F00BFB03B08E +S31508002BB030BD00BF38B504468B88E3B9CB88D3B996 +S31508002BC08D7805F07F0590F81231032B02D1FFF7B7 +S31508002BD05DFF38BD80F814512946FFF7C5FB20462E +S31508002BE000F010FB1DB1022384F8123138BD012311 +S31508002BF084F8123138BD2046FFF748FF38BD00BFBC +S31508002C0010B504468B78214A1370012B02D9FFF7B9 +S31508002C103DFF10BD90F81221022A02D0032A10D0D7 +S31508002C2030E05BB180F81031032280F81221194692 +S31508002C3000F0B4FA204600F0E5FA10BD00F0E2FA1A +S31508002C4010BD5BB9022280F8122180F810311946AE +S31508002C5000F0B0FA204600F0D5FA10BD90F8101131 +S31508002C608B420CD000F0A6FA084B197884F810119C +S31508002C70204600F093FA204600F0C4FA10BD00F092 +S31508002C80C1FA10BDFFF702FF10BD00BF1C050020EA +S31508002C9008B5CB88012B02D0FFF7F8FE08BD90F8DF +S31508002CA01231022B02D0032B05D00AE00122064975 +S31508002CB000F088FA08BD012200F5887100F082FA52 +S31508002CC008BDFFF7E3FE08BD2405002008B590F807 +S31508002CD01231023B012B0DD80122084B1A60D0F89D +S31508002CE0183113B10322054B1A600222034900F07A +S31508002CF069FA08BDFFF7CAFE08BD00BF180500201F +S31508002D0010B5044690F81231023B012B0DD84B88BA +S31508002D10012B0CD10023C0F81831D0F8E4359B6894 +S31508002D209847204600F06EFA10BDFFF7AFFE10BDBB +S31508002D3008B54B78092B1BD8DFE803F011171A14CE +S31508002D401A08051A0E0BFFF7AFFE13E0FFF732FF5E +S31508002D5010E0FFF755FF0DE0FFF79AFF0AE0FFF7CF +S31508002D60B5FF07E0FFF732FE04E0FFF7C9FF01E011 +S31508002D70FFF78CFE002008BD38B504460D4690F8CE +S31508002D801231032B0FD10B79012B09D8D0F8E43572 +S31508002D909B689847EB8843B9204600F033FA04E06D +S31508002DA0FFF774FE01E0FFF771FE002038BD00BF93 +S31508002DB038B505460C468A88D1B26378012B27D0E8 +S31508002DC0002B49D0032B40F0818090F81231022B5A +S31508002DD002D0032B07D017E0002977D0802975D0B9 +S31508002DE0FFF77EFA72E0638823B919B1802901D00A +S31508002DF0FFF776FAD5F8E4359B68214628469847C2 +S31508002E00284600F0FFF961E02146FFF73FFE5DE046 +S31508002E1090F81231022B02D0032B07D018E00029B4 +S31508002E2054D0802952D0FFF75BFA4FE06388002B15 +S31508002E304CD149B1802907D0FFF774FAD5F8E435A3 +S31508002E409B68214628469847284600F0DBF93DE06E +S31508002E502146FFF71BFE39E090F81231022B02D00B +S31508002E60032B06D02FE089B380292FD0FFF738FA35 +S31508002E702CE052B2002A10DA01F07F0101EB810141 +S31508002E80CB00034493F81E311BB10122104B1A6084 +S31508002E9013E000220E4B1A600FE0002A0DDB01EB4F +S31508002EA08101CB00034493F876331BB10122084B0A +S31508002EB01A6002E00022064B1A60022204492846DC +S31508002EC000F080F902E02146FFF7E0FD002038BD5A +S31508002ED020050020D0B170B50D4616460446FFF70A +S31508002EE06BFD0130400080B23080287003236B7080 +S31508002EF0022307E05A1CD2B20134E9540233DBB28A +S31508002F000021A95421780029F4D170BD704700BF6B +S31508002F100369024A12685A6000207047B41A0020F2 +S31508002F2010B50446D0F8E8351B699847032384F89A +S31508002F301231002010BD00BF08B590F8123180F894 +S31508002F401331042380F81231D0F8E835DB68984746 +S31508002F50002008BD08B5D0F8E435DB6903B1984709 +S31508002F60002008BD08B5D0F8E4351B6A984700204C +S31508002F7008BD00BF08B5D0F8E4355B6A984700205D +S31508002F8008BD00BF10B50446D0F8E8355B69984718 +S31508002F90012384F81531002010BD00BF10B5044682 +S31508002FA0D0F8E8359B699847D4F8E4355B68002182 +S31508002FB020469847002084F8150110BD10B5044630 +S31508002FC0002340221946FFF7EDF80023402280210E +S31508002FD02046FFF7E7F8012384F81231D4F8E835DC +S31508002FE05B68A0789847002010BD00BF10B582B076 +S31508002FF004466946FFF728FD9DF8001001F01F03F7 +S31508003000012B08D013B1022B0AD00EE069462046E0 +S31508003010FFF78EFE0EE069462046FFF7ADFE09E093 +S3150800302069462046FFF7C4FE04E001F08001204609 +S31508003030FFF756F9002002B010BD00BF10B50446D0 +S31508003040002947D190F81131022B38D1D0F8382110 +S31508003050D0F824319A4211D9D21AC0F83821C17849 +S31508003060012904D1D0F828110B44C0F8283192B2AE +S31508003070D4F82811204600F0B5F820E0D0F83C1125 +S31508003080B1FBF3F203FB121262B98B420AD8D0F8ED +S315080030904031994206D2114600F0A4F80023C4F83C +S315080030A040310CE0D4F8E435DB682BB194F81221F2 +S315080030B0032A01D120469847204600F0B3F894F831 +S315080030C01631012B11D12046FFF722FF002384F881 +S315080030D016310AE00A46D0F8E4355B692BB190F858 +S315080030E01211032901D111469847002010BD00BFCF +S315080030F010B5044659BB90F81131032B32D1D0F8DC +S315080031009033D0F87C23934214D99B1AC0F8903395 +S31508003110C178012904D1D0F880131144C0F880136E +S315080031209A4228BF1A4692B2D4F88013204600F075 +S3150800313061F817E0D0F8E4351B6923B190F812213D +S31508003140032A00D19847204600F05CF80AE00A46B0 +S31508003150D0F8E4359B692BB190F81211032901D1F7 +S3150800316011469847002010BDF8B504460D46164688 +S315080031701F46FDF713F9C4F8E475069BC4F8E8354D +S31508003180C4F8EC6529462046FEF7BEFFD4F8E835B4 +S315080031901B6898472046FDF753F9F8BD10B5044655 +S315080031A0D0F8E4351B689847D4F8E8359B68984703 +S315080031B0002010BD08B5D0F8E4355B6898470020B4 +S315080031C008BD00BF10B504461346C4F83C21C4F830 +S315080031D03821022280F811210A460021FFF75CF8FF +S315080031E0002010BD08B513460A460021FFF754F81B +S315080031F0002008BD08B513460A460021FFF71EF849 +S31508003200002008BD10B50446042380F811310023B8 +S315080032101A461946FFF740F82046FEF753FF0020E6 +S3150800322010BD00BF10B50446052380F811310023F0 +S315080032301A461946FFF702F82046FEF743FF002014 +S3150800324010BD00BF10B4002304E010F8011B0B44A6 +S31508003250DBB221464C1E0029F7D1136001205DF828 +S31508003260044B70470022014B5A707047280500200E +S31508003270034BFE22DA7018710222A3F84420704725 +S315080032802805002010B5054C00232370FFF7EAFF38 +S31508003290FF23E3700123A4F8443010BD280500205D +S315080032A0064BFF22DA7000221A71597859719A7101 +S315080032B0DA711A720622A3F84420704728050020FE +S315080032C008B50020FFF7D4FF08BD00BF084BFF2252 +S315080032D0DA70084A9A6400221A715A719A7107219B +S315080032E0D9711A725A729A720822A3F84420704742 +S315080032F028050020086B0008044BFF22DA70426894 +S315080033009A640122A3F84420704700BF28050020CC +S3150800331010B5084CFF23E370E21D4168A06CFFF767 +S3150800332091FF207100236371A3710823A4F8443028 +S3150800333010BD00BF2805002008B53120FFF798FF0B +S3150800334008BD00BF38B5084CFF23E370002525717A +S31508003350657100F071FDA071E5712572657207232C +S31508003360A4F8443038BD00BF2805002038B500F061 +S31508003370EFF918B91020FFF77BFF38BDFFF772FF8A +S315080033800D4C01252570FF23E370102323710023BC +S31508003390637100F051FDA07100F060FDE07100F06E +S315080033A05DFDC0F3072020726572A5720823A4F894 +S315080033B0443000F083FE38BD2805002038B50546A0 +S315080033C0447800F039FD0138844203DD2220FFF7F6 +S315080033D04FFF38BD084C6A78A16C201D01F076F8BD +S315080033E0FF23E3706B78A26C1344A3646B780133F4 +S315080033F0A4F8443038BD00BF2805002038B5044677 +S31508003400457800F019FD0138854203DD2220FFF7D3 +S315080034102FFF38BD6168084DA9646278281D01F040 +S3150800342055F8FF23EB706378AA6C1344AB64637892 +S315080034300133A5F8443038BD2805002038B50546BF +S315080034400D4B9C6C00F0F8FC6A1C411E204600F0EF +S3150800345039FE18B93120FFF70BFF38BD064CFF239C +S31508003460E37000F0E9FC0138A36C1844A06401235A +S31508003470A4F8443038BD00BF2805002038B50446F6 +S31508003480457800F0D9FC0238854203DD2220FFF793 +S31508003490EFFE38BD0F4BFF22DA700122A3F8442055 +S315080034A0617831B900F01EFE90B93120FFF7E0FED1 +S315080034B038BDA21C074B986C00F004FE18B93120E1 +S315080034C0FFF7D6FE38BD6378024A916C0B449364C5 +S315080034D038BD00BF2805002008B54168074B986C21 +S315080034E000F0F4FD18B93120FFF7C2FE08BD034B02 +S315080034F0FF22DA700122A3F8442008BD280500201F +S3150800350008B500F0C7FF034BFF22DA700122A3F8C3 +S31508003510442008BD2805002008B589B200F06EFCD5 +S3150800352008BD00BF054B00221A709A6483F8432031 +S31508003530A3F844209A705A70704700BF28050020E7 +S31508003540024B187800B10120704700BF28050020FB +S315080035500022024B83F84320704700BF280500204D +S3150800356008B50378FF2B02D1FFF700FF50E0334A76 +S315080035701278012A60D1C93B352B46D8DFE803F01B +S315080035803345454245453F363C394545454545451C +S3150800359045454545454545454545454545454545CD +S315080035A045454545454545454545241E1B21454553 +S315080035B04527452A2D30FFF701FF29E0FFF71EFFB3 +S315080035C026E0FFF799FE23E0FFF7A2FE20E0FFF7CB +S315080035D07DFE1DE0FFF774FE1AE0FFF761FE17E0B7 +S315080035E0FFF750FE14E0FFF729FF11E0FFF746FF4B +S315080035F00EE0FFF7A7FE0BE0FFF76EFF08E0FFF708 +S315080036007FFF05E0FFF798FE02E02020FFF730FE77 +S315080036100A4B93F84330012B02D11020FFF728FEFE +S31508003620064BB3F94410002906DD1846012380F835 +S3150800363043300330FFF770FF08BD00BF28050020A0 +S31508003640034601310D4AA2FB0323DB08F9D110B466 +S3150800365000230B70094BA3FB0023DB081A4603EB78 +S3150800366083035C00031B303301F8013D1046002A32 +S31508003670F0D108465DF8044B704700BFCDCCCCCCE2 +S3150800368000F00F03092802D903F13700704710B577 +S3150800369003F1300403F0B8F92044437803F0030338 +S315080036A0022B00D1203CE0B210BD00BF38B505465C +S315080036B00C460009FFF7E4FF207005F00F00FFF73E +S315080036C0DFFF60700023A370204638BDF8B50746B3 +S315080036D0002634461EE0BD5D03F096F9284443787B +S315080036E003F00303022B00D1203DEBB2A3F1300215 +S315080036F0D2B2162A12D8A3F13A01C9B206290FD9AD +S31508003700092A01D9373BDAB22301DBB21344DCB20A +S315080037100136F6B2012EDED92046F8BD0020F8BDE6 +S315080037200020F8BD08B50022054B1A700549064861 +S3150800373002F03CFC18B17721044800F0FBFB08BDF9 +S31508003740B4050020106B0008C0050020146B0008A3 +S31508003750034B1B780BB9012070470020704700BF48 +S31508003760B405002008B500F08BFB01280AD0084BE9 +S315080037701B784BB9FCF7CCFF012807D10122044B73 +S315080037801A7008BD002008BD002008BD002008BD2D +S31508003790B405002038B50546047803F035F9204409 +S315080037A0437803F00303022B00D1203C532C0FD19E +S315080037B003F02AF96B781844427812F0040F09D0FE +S315080037C0312B09D0322B09D0332B09D1022038BD31 +S315080037D0032038BD032038BD002038BD012038BD80 +S315080037E0032038BD70B506460230FFF76FFF054661 +S315080037F084B204363046FFF769FF2844C5B2013C57 +S31508003800A4B20236012CF5D8ED43EDB23046FFF7E7 +S315080038105DFF854201D0002070BD012070BD00BF4C +S31508003820F8B5044616460F4601B120B940F26121A3 +S315080038304D4800F07FFB2046FFF7ACFF05460328FE +S3150800384000F08A802046FFF7CDFF002800F086802A +S31508003850012D25D015B1022D4CD082E0A01CFFF712 +S3150800386035FF85B2201DFFF731FF00023860A01D25 +S31508003870FFF72CFF3B68184438600834E81E05B289 +S315080038804EB92846F8BD2046FFF720FFF05502340A +S315080038900137BFB200E00027AF42F4DB2846F8BD87 +S315080038A0A01CFFF713FF85B2201DFFF70FFF0004CA +S315080038B03860A01DFFF70AFF3B6803EB002038605D +S315080038C004F10800FFF702FF3B68184438600A3421 +S315080038D0281F05B24EB92846F8BD2046FFF7F6FE62 +S315080038E0F05502340137BFB200E00027AF42F4DBDF +S315080038F02846F8BDA01CFFF7E9FE85B2201DFFF794 +S31508003900E5FE00063860A01DFFF7E0FE3B6803EB06 +S315080039100040386004F10800FFF7D8FE3B6803EB67 +S315080039200020386004F10A00FFF7D0FE3B6818440F +S3150800393038600C34681F05B24EB92846F8BD2046D3 +S31508003940FFF7C4FEF05502340137BFB200E0002786 +S31508003950AF42F4DB2846F8BD0020F8BD4FF0FF3033 +S31508003960F8BD0020F8BD00BF146B000870B5AC4B5D +S315080039701B78002B00F0E781012B29D100F09EFB74 +S31508003980FCF7EAFEA748FCF729FFA748FCF726FF3D +S31508003990FCF7DEFE01220146A44802F033FB30B1F3 +S315080039A0A348FCF71BFF0120FCF70CFF70BDA148DC +S315080039B0FCF714FFA048FCF711FFA048FCF70EFF20 +S315080039C09F4B00221A605A600222954B1A7070BDEE +S315080039D0022B40F0EF809B4C04F50C724FF480717B +S315080039E0994802F011FF94F841326BB19048FCF700 +S315080039F0F5FE0220FCF7E6FE04F50C7002F0BAFDAF +S31508003A000022874B1A7070BDB0B18F48002200F5AE +S31508003A10C071FFF705FF0446B0F1FF3F0DD184489A +S31508003A20FCF7DCFE0320FCF7CDFE804802F0A2FD81 +S31508003A3000227B4B1A7070BD0024002C5BDD804B86 +S31508003A405B6833B9804BD3F880217D4B1A605C6084 +S31508003A5051E07D4AD2F88011794A12681A44914297 +S31508003A6003D12344764A536045E07248FCF7B6FE14 +S31508003A707648FCF7B3FE764E714D31466868FFF717 +S31508003A80DFFD3046FCF7AAFE7248FCF7A7FE314672 +S31508003A90E878FFF70BFEB11CA878FFF707FE311D83 +S31508003AA06878FFF703FEB11D2878FFF7FFFD30465B +S31508003AB0FCF794FE6848FCF791FE6968286800F0F0 +S31508003AC005FB60B95A48FCF789FE0520FCF77AFE23 +S31508003AD0564802F04FFD0022514B1A7070BD5548EA +S31508003AE0FCF77CFE5548FCF779FE574BD3F8802146 +S31508003AF0534B1A605C60534BD3F84422D3F83C32DC +S31508003B009A4240F020810021484802F045FD60B104 +S31508003B104748FCF763FE0420FCF754FE434802F0CE +S31508003B2029FD00223E4B1A7070BD454B5B68002B81 +S31508003B3039D04048FCF752FE4448FCF74FFE444D46 +S31508003B403F4C29466068FFF77BFD2846FCF746FE92 +S31508003B504048FCF743FE2946E078FFF7A7FDA91C75 +S31508003B60A078FFF7A3FD291D6078FFF79FFDA91D23 +S31508003B702078FFF79BFD2846FCF730FE3648FCF711 +S31508003B802DFE6168206800F0A1FA60B92848FCF7A4 +S31508003B9025FE0520FCF716FE244802F0EBFC002261 +S31508003BA01F4B1A7070BD2348FCF718FE03221C4BE6 +S31508003BB01A7070BD032B40F0C680224C04F50C72B7 +S31508003BC04FF48071204802F01FFE94F841326BB121 +S31508003BD02248FCF703FE0220FCF7F4FD04F50C70FE +S31508003BE002F0C8FC00220E4B1A7070BD002839D0AE +S31508003BF0154800F5807200F5C071FFF711FE0546FD +S31508003C00B0F1FF3F2FD11648FCF7E8FD0320FCF77B +S31508003C10D9FD064802F0AEFC0022014B1A7070BDB1 +S31508003C20B40500202C6B0008506B0008F007002034 +S31508003C30786B0008806B0008886B0008AC6B00087E +S31508003C40B8050020C0050020180A0020DC6B000813 +S31508003C5074050020E86B00088C6C0008046C0008EA +S31508003C60286C00080025002D40DD3748FCF7B6FD16 +S31508003C70364C21462846FFF7E3FC2046FCF7AEFD06 +S31508003C803348FCF7ABFD334E214696F88301FFF720 +S31508003C900DFDA11C96F88201FFF708FD211D96F877 +S31508003CA08101FFF703FDA11D96F88001FFF7FEFCD1 +S31508003CB02046FCF793FD2848FCF790FD06F5807230 +S31508003CC02946D6F8800100F0FDF960B92348FCF7CB +S31508003CD085FD0620FCF776FD214802F04BFC002204 +S31508003CE0204B1A7070BD2048FCF778FD1F4BD3F89F +S31508003CF04422D3F83C329A4225D11D48FCF76EFD82 +S31508003D0000F0F0F960B91548FCF768FD0720FCF7E4 +S31508003D1059FD134802F02EFC0022124B1A7070BD92 +S31508003D201148FCF75BFD1348FCF758FD0C4802F0F8 +S31508003D3021FC1148FCF752FD00220A4B1A70FCF7C9 +S31508003D401FFD00F0A7FB70BD4C6C00087405002031 +S31508003D505C6C0008180A00208C6C0008786B000858 +S31508003D60F0070020B4050020806B0008C00500207D +S31508003D70746C0008906C0008A86C000810B5FFF772 +S31508003D80D1FB00F0A9FD064C0123237000F000F9D1 +S31508003D900023237000F074FA0223237010BD00BFBD +S31508003DA0DC00002008B5114800F064FE012805D1A2 +S31508003DB001220F4B1A700D48FFF7D2FB0B4800F093 +S31508003DC02DF9012805D10022094B1A700748FFF77B +S31508003DD0C7FB064800F0B8FA012805D10222044BB1 +S31508003DE01A700248FFF7BCFB08BD00BF9C0B0020F9 +S31508003DF0DC00002008B500F075FA08BD38B50446A1 +S31508003E000D460C4B1B78012B02D1C9B200F0E8FD18 +S31508003E10084B1B781BB9E9B2204600F0D7F8054BCA +S31508003E201B78022B03D1E9B2204600F065FAFFF7AA +S31508003E308FFB38BDDC000020074B1B78022B05D012 +S31508003E40032B05D0012B05D0402070473F20704733 +S31508003E500020704708207047DC000020074B1B78BD +S31508003E60022B05D0032B05D0012B05D04020704727 +S31508003E703F2070470020704708207047DC0000206C +S31508003E8008B5FFF75DFB08BD08B500F0FFFA00F0BE +S31508003E9017F800F03BFE00F011F9FFF743FCFFF7B7 +S31508003EA06DFF00F037F808BD08B500F00DF800F012 +S31508003EB041FEFFF75BFDFFF775FF00F009F808BD47 +S31508003EC008B5FCF7E5FB08BD08B5FCF7E7FB08BD38 +S31508003ED008B5FFF7D5FF012816D0FFF739FC98B1CA +S31508003EE00A4B1B78012B0FD100F032FE084B1B68DA +S31508003EF003F5FA63984207D30022044B1A70FFF7BA +S31508003F0031FC08B900F0C6FA08BD00BFDC0B00207A +S31508003F10E00B002008B50122044B1A7000F018FEC9 +S31508003F20034B1860FFF7D4FF08BD00BFDC0B002069 +S31508003F30E00B002008B5FFF7C7FFFCE710B50446FD +S31508003F4080210948FEF756FC58B121460648FEF777 +S31508003F5049FC80210448FEF74DFC0028F9D00120D1 +S31508003F6010BD002010BD00BF0044004010B5044637 +S31508003F7020210648FEF73EFC012805D10348FEF736 +S31508003F8035FC2070012010BD002010BD0044004003 +S31508003F9010B584B04FF4614300930023ADF80430A4 +S31508003FA0ADF80630ADF80830ADF80C300C23ADF896 +S31508003FB00A30054C69462046FEF79EFB012120463D +S31508003FC0FEF702FC04B010BD0044004070B506467A +S31508003FD00D46402903D976210F48FFF7ABFF28463F +S31508003FE0FFF7ACFF012803D07A210B48FFF7A2FFA1 +S31508003FF000240CE0FFF768FF305DFFF79FFF0128FC +S3150800400003D083210448FFF795FF0134A4B2ABB26D +S315080040109C42EFD370BD00BFD46C000838B5224B64 +S315080040201C789CB92148FFF7A1FF01283AD11F4BFC +S315080040301B78002B31D000F08BFD1D4B1860002239 +S315080040401C4B1A700122184B1A702BE00546194BA7 +S315080040501B78013315481844FFF788FF04460128E2 +S3150800406010D1144B1A780132D2B21A700F4B1B7842 +S315080040709A4214D11049284600F028FA00220A4B21 +S315080040801A700FE000F064FD094B1B686433984210 +S3150800409007D90024044B1C7004E01C4602E00024E7 +S315080040A000E00024204638BD290C0020E80B00203B +S315080040B0E40B00202A0C0020E90B002008B500F0CC +S315080040C019FB08BD08B500F021FB08BD08B500F0CE +S315080040D04BFB08BD08B500F087FB08BD08B500F026 +S315080040E0CBFB08BD08B500F057FB034610B100F03E +S315080040F0A3FB0346184608BD094B03F11801002225 +S3150800410005E09A745961183318310132D2B2002A7F +S31508004110F7D09A7400225A61014A024B1A60704716 +S31508004120300C00202C0C00200B4B1B6873B110B40C +S315080041305C69094A146019749860D86000225A7438 +S315080041401860013908445860987C01E0FF207047E0 +S315080041505DF8044B704700BF2C0C002038B50446A8 +S315080041600D46012804D94FF4CD711548FFF7E2FE34 +S3150800417062002244D300134A13445A7C1B7C9A4299 +S315080041801AD062002244D3000E4A1344DA68157026 +S315080041905A7C01325A74DA680132DA605B689A42EC +S315080041A00CD9084A63001819C10008465158034635 +S315080041B01344D960012038BD002038BD012038BD20 +S315080041C0FC6C0008300C002008B50146024B187834 +S315080041D0FFF7C4FF08BD00BFE40C002038B504464D +S315080041E00D46012804D94FF4DE711448FFF7A2FEE4 +S315080041F004EB4402D300124A1344587CE0B162002F +S315080042002244D3000E4A13449A6812782A705A7CBC +S31508004210013A5A749A6801329A605B689A420AD9D6 +S31508004220074A63001819C100084651580346134443 +S315080042309960012038BD012038BD00BFFC6C00081C +S31508004240300C002008B50146024B1878FFF7C6FF68 +S3150800425008BD00BF600C002010B50446012804D92B +S315080042604FF4EE710448FFF765FE04EB4404E300DF +S31508004270024A1344587C10BDFC6C0008300C002020 +S3150800428030B583B0FFF738FF0F4C4021601CFFF7AD +S315080042904BFF20700D4D4021681CFFF745FF287025 +S315080042A02378FF2B01D0FF2803D179210848FFF78F +S315080042B041FE084B0093084B084A01210848FEF7BF +S315080042C053FF03B030BD00BFE40C0020600C002093 +S315080042D0FC6C0008000000201C000020680000207C +S315080042E0280D002008B50348FEF746F80020FCF71D +S315080042F0D9F908BD280D002070B506460D463F2998 +S3150800430003D99A210F48FFF715FE2846FFF75CFFE9 +S31508004310012803D09E210B48FFF70CFE00240CE071 +S31508004320FFF7D2FD305DFFF74FFF012803D0A72125 +S315080043300448FFF7FFFD0134A4B2ABB29C42EFD3A9 +S3150800434070BD00BFFC6C000838B505461B48FDF774 +S31508004350F7F91B4B1C7874B91A48FFF773FF012845 +S3150800436029D1184B1B780BB30122154B1A70002262 +S31508004370154B1A701FE0144B1B780133114818446B +S31508004380FFF760FF0446012812D10F4B1A78013255 +S31508004390D2B21A700B4B1B789A420BD10B4928469E +S315080043A000F094F80022064B1A7004E01C4602E05E +S315080043B0002400E00024204638BD00BF280D002058 +S315080043C05C1300201C130020250D00201D1300205F +S315080043D030B583B0134B1878FFF73EFF00B3054698 +S315080043E0402800D94025002412E00DF107010D4BA5 +S315080043F01878FFF7F3FE012804D04FF492710A48A3 +S31508004400FFF798FD9DF80720084B1A550134E4B2CA +S31508004410A542EAD82B46054A81210548FDF73CFF07 +S3150800442003B030BDE40C0020FC6C0008A40C00208E +S31508004430280D002070B500EB8000C300104A134415 +S31508004440B3F88C63002410E00E4B1D78FCF77EF859 +S31508004450015D2846FFF782FE012804D040F2431189 +S315080044600948FFF767FD0134A4B2B442ECD3FCF760 +S315080044706DF84023024601210148FDF7DFFE70BDB5 +S31508004480280D0020600C0020FC6C000808B500F020 +S3150800449067FB08BD10B5FFF71DFEA0B1FCF7E8F8ED +S315080044A088B1FFF7A7FC00F02BFBFFF717FE20F0FB +S315080044B0604020F07F00044B1860FFF70FFE446849 +S315080044C000F050FBA04710BD08ED00E070B508E00D +S315080044D0461C4D1C0B780370FFF7F6FC2246304647 +S315080044E02946531E9CB2002AF2D170BD38B505463E +S315080044F0002419E0FFF7E8FC04EB440293000C4A99 +S31508004500D358AB420ED804EB44018A0008490A4442 +S31508004510526813449D4205D204EB440083000B44C1 +S31508004520187A38BD0134E4B2092CE3D9FF2038BD26 +S31508004530546D000808B5C1F3080353B903689942D6 +S3150800454009D040F8041B4FF40072FFF7BFFF0120A3 +S3150800455008BD002008BD012008BD00BF2DE9F041B7 +S3150800456007460068FFF7C2FFFF282ED0FEF718F8A7 +S31508004570F320FEF72DF8FEF731F8012818D1FEF7DB +S315080045801FF80020BDE8F0813E68A30006EB03088B +S315080045903B445D68FFF798FC29464046FEF78EF8CF +S315080045A008280AD156F824309D4208D1013400E083 +S315080045B000247F2CE8D9012402E0002400E000242E +S315080045C0FDF7FEFF2046BDE8F0810020BDE8F0813A +S315080045D038B50D460B4B984207D004460A4B99420C +S315080045E005D0FFF7BBFF18B908E0084C00E0054CFA +S315080045F029462046FFF79EFF10B938BD002038BD72 +S31508004600204638BD6013002000800008641500208D +S315080046102DE9F04106460C4617461D4621F4FF785B +S3150800462028F001080368B3F1FF3F04D14146FFF7BC +S3150800463081FF034630B33368984505D04146304676 +S31508004640FFF7C6FF0646D0B13368E41A344404348B +S31508004650FFF73AFC331DE31AB3F5007F07D308F5D5 +S3150800466000713046FFF7B4FF064650B1041D17F82F +S31508004670013B04F8013B013DEAD1012302E0002396 +S3150800468000E000231846BDE8F08100BF884229D81B +S3150800469038B504460D46012826D90B2926D8FDF734 +S315080046A07FFFF320FDF794FFFDF798FF012813D14C +S315080046B0FDF786FF002038BDFFF706FC02210C4BEC +S315080046C033F81400FDF7C2FF082803D0FDF778FF7A +S315080046D0002038BD0134E4B2AC42EDD9FDF770FFD5 +S315080046E0012038BD00207047002038BD002038BDA5 +S315080046F0246D00084FF0FF33024A1360024A136024 +S31508004700704700BF641500206013002070B504468A +S315080047100D461646FFF7EAFEFF281AD0601901383B +S31508004720FFF7E4FEFF2816D024F4FF7323F00103F5 +S315080047300A4A934206D12B46324621460848FFF7D5 +S3150800474067FF70BD2B46324621460648FFF760FFD5 +S3150800475070BD002070BD002070BD00BF008000083D +S31508004760601300206415002070B506460C46FFF756 +S31508004770BDFE054630190138FFF7B8FEFF2D06D0F5 +S31508004780FF2806D001462846FFF780FF70BD0020A7 +S3150800479070BD002070BD00BF114B1B68B3F1FF3F11 +S315080047A018D000B583B00E4B59689A681144DA6878 +S315080047B011441A6911445A6911449A691144DA690B +S315080047C08B185B4202AA42F8043D04210548FFF70C +S315080047D09DFF01E00120704703B05DF804FB00BFB0 +S315080047E060130020888100080D4B1A6804331B6883 +S315080047F013440C4A126813440B4A126813440B4AB2 +S3150800480011680B44043212681A44094B1B68134496 +S31508004810084A1268D34201D1012070470020704728 +S3150800482000800008088000080C8000081080000836 +S31508004830188000088881000808B50D4B1B68B3F17D +S31508004840FF3F04D00A48FFF789FE034668B1094BC3 +S315080048501B68B3F1FF3F05D00648FFF77FFE034606 +S3150800486010B902E0012300E00123184608BD00BF85 +S315080048706013002064150020004870470080000877 +S31508004880F0B5002421E0134D15F8147005EB440526 +S3150800489095F801E007EB0E0505FB00054AF2104CFA +S315080048A09CFBF5F605FB16C66EB99CFBF5F5ADB295 +S315080048B00D80013DADB2B5F5806F04D2177083F84F +S315080048C000E00120F0BD0134E4B2112CDBD9002050 +S315080048D0F0BD00BFF46D000800B583B001AB0DF163 +S315080048E005020DF106014FF4FA70FFF7C9FF01281A +S315080048F003D0EE213848FFF71DFB384B00225A61DA +S315080049001A6842F400421A6001E0FFF7DDFA334BF9 +S315080049101B6813F4004FF8D1304B1A6822F00202D4 +S315080049201A601A6842F001021A6001E0FFF7CCFA31 +S315080049302A4B5B6813F0010FF8D09DF805305A1E14 +S315080049409DF80430013B1B0543EA0243BDF80620E7 +S31508004950013A1343214AD361136823F00103136014 +S3150800496001E0FFF7B1FA1D4B5B6813F0010FF8D1B0 +S315080049701A4BD3F8002242F00102C3F80022D3F8FA +S315080049801C2222F00102C3F81C22D3F80C2242F0A2 +S315080049900102C3F80C220022C3F84022C3F84422BD +S315080049A0D3F8042222F00102C3F80422D3F8142211 +S315080049B022F00102C3F81422D3F81C2242F00102A5 +S315080049C0C3F81C22D3F8002222F00102C3F8002201 +S315080049D003B05DF804FB00BFCC6D0008006400401E +S315080049E038B504460D46214B9B6813F0806F04D1F9 +S315080049F04FF4A2711E48FFF79DFA1C4BD3F880218D +S31508004A0002F00102C3F88021D3F8802142F07C42EB +S31508004A1042F40012C3F88021C3F88451E178A278E1 +S31508004A20120442EA0162617842EA012221780A43C5 +S31508004A30C3F88821E179A279120442EA0162617910 +S31508004A4042EA012221790A43C3F88C21D3F880214E +S31508004A5042F00102C3F8802101E0FFF735FA034B63 +S31508004A609B6813F0806FF8D038BD00BF0064004023 +S31508004A70CC6D0008214BDB6813F0030F3CD01F4BAD +S31508004A80D3F8B03113F0040F04D11C4BD3F8B0316E +S31508004A905B0D05E0194BD3F8B031DB0843F0004352 +S31508004AA040F26762934220D1144BD3F8B8210270C2 +S31508004AB0D3F8B821120A4270D3F8B821120C8270C2 +S31508004AC0D3F8B821120EC270D3F8BC210271D3F8FC +S31508004AD0BC21120A4271D3F8BC21120C8271D3F898 +S31508004AE0BC311B0EC371012000E00020034AD368C5 +S31508004AF043F02003D36070470020704700640040ED +S31508004B000022014B1A60704710E000E008B5FFF775 +S31508004B10F7FF054B054A5A6000229A60052119607D +S31508004B20034B1A6008BD00BF10E000E03F9002008A +S31508004B3068170020044B1B6813F4803F03D0034A10 +S31508004B40136801331360704710E000E0681700200F +S31508004B5008B5FFF7EFFF014B186808BD6817002076 +S31508004B6072B6704762B670474278007840EA02200B +S31508004B70704700BFC278837843EA0222437843EA43 +S31508004B800223007840EA0320704700BF0170090A33 +S31508004B90417070470170C1F307234370C1F307439F +S31508004BA08370090EC170704713462AB10A780270DD +S31508004BB001300131013BF9D1704700BF0170013066 +S31508004BC0013AFBD1704700BF30B4451C037808781A +S31508004BD01B1A013A04D04C1C28462146002BF4D057 +S31508004BE0184630BC704700BF00E0013003780BB1AF +S31508004BF08B42FAD11846704702394369023B9942FB +S31508004C0004D24389806A01FB03007047002070477D +S31508004C1070B506460D4601F11A00FFF7A5FF0446D2 +S31508004C203378032B05D105F11400FFF79DFF44EAFD +S31508004C30004070BD70B506460C46154691B204F1A3 +S31508004C401A00FFF7A3FF3378032B04D1290C04F1CC +S31508004C501400FFF79BFF70BD2DE9F04180460F4613 +S31508004C6001F11A00FFF780FF50BB3D7805F03F05BC +S31508004C706B1E03EB430503EB85050126002411E0B3 +S31508004C80174B185D3844FFF76FFF36B1FE2D1AD85B +S31508004C9028F815000646013503E04FF6FF739842DB +S31508004CA014D101340C2CEBD93B7813F0400F10D0FB +S31508004CB0FE2D11D8002328F815300120BDE8F08113 +S31508004CC00020BDE8F0810020BDE8F0810020BDE8A5 +S31508004CD0F0810120BDE8F0810020BDE8F08100BF29 +S31508004CE0AC6E00082DE9F84380460F4691464B7393 +S31508004CF00F23CB7200240C73214607F11A00FFF725 +S31508004D0045FF09F1FF3303EB430603EB8606254609 +S31508004D104FF6FF739D4202D038F8165001360C4BF9 +S31508004D20185D29463844FFF731FF0DB94FF6FF7570 +S31508004D3001340C2CECD94FF6FF739D4202D038F89B +S31508004D4016300BB949F0400987F80090BDE8F8839A +S31508004D50AC6E000870B582B0054616461C460B2296 +S31508004D60FFF722FF052C12D814E0023600220CE0C9 +S31508004D7003F0010101EB44045B0814F4803F03D0FF +S31508004D8084F4883484F0210401320F2AF0D9338858 +S31508004D90002BEAD1072300E0134604F00F0202F1C4 +S31508004DA03001392901D902F137015A1E02A80344F4 +S31508004DB003F8081C2409EFD17E210346134403F89F +S31508004DC0081C002300E00133934202D2E95C202943 +S31508004DD0F9D1591C2B44072A05D802A8104410F803 +S31508004DE0080C013200E0202018700B460729F0D97C +S31508004DF002B070BD0B210023DA0142EA53030278A0 +S31508004E0052FA83F3DBB201300139F5D118467047FF +S31508004E10016821B94FF0FF3316E0013200E00A4677 +S31508004E2013781F2B01D93A2BF7D13A2B0BD110B493 +S31508004E304C1C0B78303B092B08D8A24209D15BB928 +S31508004E40013202600AE00023184670474FF0FF332C +S31508004E5004E04FF0FF3301E04FF0FF3318465DF8EA +S31508004E60044B704738B50C4670B1054603685BB10C +S31508004E701A784AB1D9888288914205D15878FBF7C1 +S31508004E80EBFF10F0010F03D000232360092038BD83 +S31508004E902B682360002038BDF8B5C37803B30446F1 +S31508004EA0C66A00F130070123324639464078FCF7D6 +S31508004EB021F8B8B90023E370236AF31AA26993426A +S31508004EC012D2A57808E0A3691E4401233246394662 +S31508004ED06078FCF70FF8013D012DF4D80020F8BDE5 +S31508004EE00020F8BD0120F8BD0020F8BD70B5C36AE2 +S31508004EF08B4212D004460D46FFF7CEFF064668B928 +S31508004F0001232A4604F130016078FBF7AFFF10B1A0 +S31508004F1001264FF0FF35E56200E00026304670BDF9 +S31508004F2010B504460023C3704FF0FF33C362FFF782 +S31508004F30DDFFD8B904F22E20FFF716FE4AF65523F0 +S31508004F40984215D104F16600FFF714FE20F07F4061 +S31508004F500A4B98420ED004F18200FFF70BFE20F0B0 +S31508004F607F40064B984207D1002010BD042010BD93 +S31508004F70032010BD002010BD022010BD464154007C +S31508004F802DE9F04784B00E46174600230B60FFF75D +S31508004F903FFF051EC0F22C81AA4B53F82540002C72 +S31508004FA000F02881346007F0FE07237873B1607833 +S31508004FB0FBF752FF10F0010F08D1002F00F01C81FB +S31508004FC010F0040F40F01A81002038E10023237006 +S31508004FD0E8B26070FBF790FE10F0010F40F0108108 +S31508004FE01FB110F0040F40F00D8100212046FFF795 +S31508004FF097FF022815D0002628E004F130002B017F +S3150800500003F5DF73184403791BB10830FFF7B2FDC7 +S3150800501000E0002004AB03EB850343F8100C0135D0 +S3150800502000E00025032DE8D9002504AB03EB850332 +S3150800503053F8106C26B131462046FFF771FF00E0A1 +S315080050400320012802D90135032DEED9042800F0E2 +S31508005050DB80012800F2DA8004F13B00FFF784FDCB +S31508005060B0F5007F40F0D48004F14600FFF77CFDE0 +S31508005070804620B904F15400FFF77CFD8046C4F849 +S31508005080188094F8409084F8029009F1FF33DBB257 +S31508005090012B00F2BF8008FB09F994F83D506581A1 +S315080050A0002D00F0B9806B1E1D4240F0B78004F158 +S315080050B04100FFF759FD8246208110F00F0F40F09E +S315080050C0AF8004F14300FFF74FFD074620B904F10E +S315080050D05000FFF74FFD074604F13E00FFF744FD79 +S315080050E00346002800F09E8009EB000202EB1A1224 +S315080050F09742C0F09980BF1AB7FBF5F5002D00F06E +S31508005100958040F6F5718D4201D8012700E0022707 +S315080051104FF6F5718D4200D9032702356561E661C0 +S31508005120334423623244A262032F0FD104F15A009A +S31508005130FFF71AFD00287BD1BAF1000F7AD104F1E6 +S315080051405C00FFF717FD6062AD000EE0BAF1000FD4 +S3150800515072D04B446362022F01D16D0005E005EB66 +S31508005160450305F0010505EB530505F2FF15B8EBF8 +S31508005170552F63D34FF0FF332361E36080232371F8 +S31508005180032F2CD104F16000FFF7EEFC012826D18D +S31508005190711C2046FFF7AAFE08BB0023237104F200 +S315080051A02E20FFF7E1FC4AF65523984217D104F161 +S315080051B03000FFF7DFFC244B984210D104F5057048 +S315080051C0FFF7D8FC214B984209D104F50670FFF782 +S315080051D0D1FC206104F50770FFF7CCFCE06027706E +S315080051E01B4A138801339BB21380E380002026E014 +S315080051F00B2024E00C2022E0002020E00A201EE0FC +S3150800520003201CE00A201AE0012018E00D2016E011 +S315080052100D2014E00D2012E00D2010E00D200EE008 +S315080052200D200CE00D200AE00D2008E00D2006E018 +S315080052300D2004E00D2002E00D2000E00D2004B052 +S31508005240BDE8F087701900205252614172724161BF +S315080052506C190020F8B50668012951D97369994275 +S3150800526050D20C463378022B2AD0032B37D0012B89 +S315080052704AD101EB5105316A01EB55213046FFF75A +S3150800528035FE002842D16F1CC5F30805354495F84C +S315080052903050316A01EB57213046FFF727FE0028C8 +S315080052A037D1C7F308073E4496F8300045EA002090 +S315080052B014F0010F01D00009F8BDC0F30B00F8BDCA +S315080052C0316A01EB14213046FFF710FE20BB60005F +S315080052D000F4FF7030303044FFF746FCF8BD316A01 +S315080052E001EBD4113046FFF701FEC0B9A00000F467 +S315080052F0FE7030303044FFF73DFC20F07040F8BDBA +S315080053000120F8BD0120F8BD0120F8BD4FF0FF309F +S31508005310F8BD4FF0FF30F8BD4FF0FF30F8BD4FF045 +S31508005320FF30F8BD2DE9F0410768B1F5001F3DD201 +S3150800533006460C4611F01F0F3BD1316185681DB931 +S315080053403B78022B00D97D6A35B93B89B3EB541FEC +S3150800535032D97B6AB3611AE0B7F80A804FEA48285F +S315080053600EE029463046FFF775FF0546B0F1FF3FC8 +S3150800537025D0012826D97B69984226D2C8EB040491 +S315080053804445EED229463846FFF736FCB0617561CA +S31508005390B369EBB103EB5423B36107F13003C4F3EC +S315080053A008042344F3610020BDE8F0810220BDE82B +S315080053B0F0810220BDE8F0810220BDE8F0810120DD +S315080053C0BDE8F0810220BDE8F0810220BDE8F08149 +S315080053D00220BDE8F08100BF2DE9F843012979D9FB +S315080053E04369994278D217460C4605460378022B3C +S315080053F044D0032B54D0012B70D101EB5108016A1C +S3150800540001EB5821FFF772FD0646002867D108F11F +S315080054100109C8F3080814F001040BD005EB0803CA +S3150800542093F830203B0103F47F6302F00F02134325 +S31508005430DBB200E0FBB2A84488F830300123EB70F9 +S31508005440296A01EB59212846FFF750FD0646002830 +S3150800545045D1C9F3080914B1C7F3071208E005EBEB +S31508005460090393F8303023F00F03C7F303221A43D6 +S31508005470A94489F830200123EB7030E0016A01EB7A +S315080054801421FFF733FD064648BB600000F4FF70A1 +S315080054903030B9B22844FFF779FB0123EB701EE0E0 +S315080054A0016A01EBD411FFF721FD0646B8B927F0CA +S315080054B07047A40004F4FE7430342C442046FFF7E9 +S315080054C059FB00F0704139432046FFF763FB01237F +S315080054D0EB7004E0022602E0022600E002263046CF +S315080054E0BDE8F8832DE9F04107460668884629B9DC +S315080054F0F5687DB173699D420ED20EE0FFF7AAFEEC +S31508005500012846D9B0F1FF3F51D0736998424ED36E +S31508005510454602E0012500E001252C460134736961 +S315080055209C4202D3012D37D9022421463846FFF77B +S3150800553091FE38B1012832D0B0F1FF3F31D0AC42EC +S31508005540ECD130E04FF0FF3221463046FFF744FFFA +S31508005550034640B9B8F1000F05D022464146304609 +S31508005560FFF73AFF034673B9F46033697269023A82 +S31508005570934201D2013B3361337943F0010333711E +S315080055802046BDE8F081012B10D14FF0FF30BDE871 +S31508005590F0810120BDE8F0810020BDE8F081BDE87A +S315080055A0F081BDE8F0810020BDE8F0810120BDE86A +S315080055B0F08100BFF8B50E46046801292CD90746C4 +S315080055C0114663699E4229D22AB14FF0FF3220461E +S315080055D0FFF702FF20BB31463846FFF73BFE05467C +S315080055E0F8B101281FD0B0F1FF3F1ED00022314686 +S315080055F02046FFF7F1FED0B92369B3F1FF3F05D086 +S3150800560001332361237943F00103237163692E462D +S315080056109D42E0D30020F8BD0220F8BD0220F8BD67 +S31508005620F8BD0020F8BD0220F8BD0120F8BDF8BD80 +S315080056302DE9F8430668036903F120058369002B01 +S315080056406ED0B5F5001F6ED288460446C5F3080726 +S31508005650002F5DD101338361416941B93389B3EBC9 +S31508005660551F55D8002383610420BDE8F883738944 +S31508005670013B13EA55234BD1FFF7ECFD8146012880 +S3150800568054D9B0F1FF3F54D07369984239D3B8F171 +S31508005690000F04D10023A3610420BDE8F8836169E3 +S315080056A02046FFF71FFF8146002845D0012846D02F +S315080056B0B0F1FF3F46D03046FFF7EEFB002844D155 +S315080056C04FF40072002106F13000FFF777FA4946D9 +S315080056D03046FFF791FAF0624FF000080AE001231E +S315080056E0F3703046FFF7D8FB90BB08F10108F36A60 +S315080056F00133F36273899845F1D3F36AC8EB030360 +S31508005700F362C4F8149049463046FFF775FAA0616B +S31508005710256107F130084644E6610020BDE8F883B4 +S315080057200420BDE8F8830420BDE8F8830220BDE81C +S31508005730F8830120BDE8F8830720BDE8F883022036 +S31508005740BDE8F8830120BDE8F8830120BDE8F883A9 +S315080057500120BDE8F88300BFF8B504460F46066881 +S315080057600021FFF7DFFD0246B8B90025A1693046DA +S31508005770FFF7BCFB024680B9E3691B78E52B00D02E +S315080057801BB90135BD4201D107E00025012120469C +S31508005790FFF74EFF02460028E8D0042A00D1072268 +S315080057A01046F8BD2DE9F8430446884607684FF0C9 +S315080057B0FF094E4604254CE03846FFF797FB054699 +S315080057C000284BD1E1690A78002A46D0CB7A03F043 +S315080057D03F03A371E52A2BD02E2A2BD023F02000D5 +S315080057E0082814BF00200120404525D10F2B15D1CC +S315080057F012F0400F06D091F80D9002F0BF0223690F +S3150800580063621646B24219D14B7B994518D1A06AF4 +S31508005810FFF722FAB0B1013EF6B214E026B90846FF +S31508005820FFF7E8FA814519D04FF0FF33636215E0B8 +S31508005830FF2608E0FF2606E0FF2604E0FF2602E032 +S31508005840FF2600E0FF2600212046FFF7F1FE054669 +S3150800585020B9A1690029AFD100E004250DB10023C4 +S31508005860A3612846BDE8F88370B50446056806694D +S31508005870416AB1F1FF3F03D0FFF754FD034600E04C +S315080058800023BBB9A1692846FFF730FB034670B968 +S31508005890E269E52111700122EA702269964206D969 +S315080058A000212046FFF7C4FE03460028EAD0042B51 +S315080058B000D10223184670BD2DE9F04F83B0064685 +S315080058C08A46D1F80090856A002423465F1C19F899 +S315080058D003001F2805D92F2803D05C280AD100E029 +S315080058E0013709EB070219F807302F2BF8D05C2B84 +S315080058F0F6D017E0FE2C00F2E280012100F0D6FF78 +S315080059008046002800F0DD807F2806D801467148C9 +S31508005910FFF76AF9002840F0D68025F814803B4640 +S315080059200134D3E7CAF800201F2802D84FF004082C +S315080059300CE04FF0000809E004F10043013B35F89C +S315080059401330202B01D02E2B02D1013C002CF3D191 +S31508005950002325F81430002C00F0B7800B222021F4 +S31508005960306AFFF72BF9002300E0013335F81320DE +S31508005970202AFAD02E2AF8D01BB148F0030800E0F6 +S31508005980013C6CB104F10042013A35F812202E2A86 +S31508005990F6D14FF0080B4FF0000ACDF804A005E049 +S315080059A04FF0080B4FF0000ACDF804A003F10109E7 +S315080059B035F81370002F57D0202F03D02E2F05D17E +S315080059C04C4503D048F003084B46EFE7DA4501D2C9 +S315080059D04C4515D1BBF10B0F02D148F0030843E043 +S315080059E04C4501D048F003084C453DD3019B9B002C +S315080059F0DBB2019323464FF00B0B4FF0080AD5E7AD +S31508005A007F2F0BD90021384600F050FF074618B102 +S31508005A10A0F18007304BDF5D48F0020827B1394610 +S31508005A202E48FFF7E1F818B148F003085F2714E09D +S31508005A30A7F141039BB2192B04D8019B43F002033B +S31508005A4001930AE0A7F161039BB2192B05D8019BC4 +S31508005A5043F001030193203FBFB2336A03F80A708B +S31508005A604B460AF1010AA1E7336A1A78E52A01D1F9 +S31508005A7005221A70BBF1080F03D1019B9B00DBB20C +S31508005A800193019901F00C020C2A03D001F00303DB +S31508005A90032B01D148F0020818F0020F0AD1019B26 +S31508005AA003F00303012B01D148F01008042A01D1A1 +S31508005AB048F00808336A83F80B80002006E00620C1 +S31508005AC004E0062002E0062000E0062003B0BDE858 +S31508005AD0F08F00BF186E00082C6E0008246E0008B0 +S31508005AE0F8B500238B758369002B69D00D460646E9 +S31508005AF0436AB3F1FF3F17D0836A002409E0002107 +S31508005B0000F0D4FE50B1FE2C0AD82A1990753B46EF +S31508005B1001349F1C18880028F1D102E0002400E017 +S31508005B2000242C440023A375AC7D0022134628E0EC +S31508005B30F069591CC35C202B22D0052B00D1E52324 +S31508005B40092907D114B9A8182E278775A8182E274A +S31508005B5047720132A81843728CB9A3F14100C0B24A +S31508005B6019280AD8F069007B082901D9102700E00E +S31508005B700827074201D02033DBB2A8188375013203 +S31508005B800B460A2BD4D934B9AB1800219975F36999 +S31508005B901B7B03B90A462A4400235372F369DB7A4E +S31508005BA02B72F0691C30FEF7E5FF2860F0691830A3 +S31508005BB0FEF7DAFFA880F0691630FEF7D5FFE88011 +S31508005BC0F8BD00BF2DE9F0478146884601F11A0065 +S31508005BD0FEF7CAFFA8BB98F8005005F03F056B1EF4 +S31508005BE003EB430503EB8505012700241BE01F4B48 +S31508005BF0185D4044FEF7B8FF06467FB1FE2D23D850 +S31508005C0000F072FE074605F1010A39F8150000F0A2 +S31508005C106BFE87421BD13746554603E04FF6FF73A6 +S31508005C20984217D101340C2CE1D998F8003013F0BA +S31508005C30400F12D0A7B139F81530A3B90120BDE835 +S31508005C40F0870020BDE8F0870020BDE8F087002037 +S31508005C50BDE8F0870020BDE8F0870120BDE8F087A1 +S31508005C600120BDE8F0870020BDE8F087AC6E00088B +S31508005C702DE9F8430446D0F800800021FFF752FBCF +S31508005C8000285CD14FF0FF3363624FF0FF094F469F +S31508005C90A1694046FFF72AF90646002851D1E56969 +S31508005CA02B78002B4FD0E97A01F03F02A271E52B41 +S31508005CB004D011F0080F06D00F2A04D04FF0FF3396 +S31508005CC06362FF2733E00F2A17D1A06A78B313F06F +S31508005CD0400F06D095F80D9003F0BF032269626263 +S31508005CE01F46BB421ED16B7B99451DD12946FFF73E +S31508005CF069FFD8B1013FFFB219E027B92846FFF777 +S31508005D0079F8814522D0216ACB7A13F0010F04D1A4 +S31508005D100B222846FEF758FFD8B14FF0FF336362CF +S31508005D20FF2704E0FF2702E0FF2700E0FF27002106 +S31508005D302046FFF77DFC0028AAD0BDE8F883BDE819 +S31508005D40F883BDE8F8830420BDE8F8833046BDE84B +S31508005D50F8833046BDE8F88330B583B00446019130 +S31508005D60056801E001330193019B1A782F2AF9D0BF +S31508005D705C2AF7D00022A2601B781F2B08D8236A5A +S31508005D808022DA7200212046FFF7CCFA034626E085 +S31508005D9001A92046FFF790FD034600BB2046FFF702 +S31508005DA067FF236ADA7A034628B1042817D112F066 +S31508005DB0040F11D013E012F0040F10D1A37913F0D9 +S31508005DC0100F0BD02169C1F3080130312944284648 +S31508005DD0FEF71EFFA060DBE7052300E00523184653 +S31508005DE003B030BD2DE9F04784B00668D0F820A08E +S31508005DF0D0F828809AF80B3013F0A00F40F0838073 +S31508005E00002400E0013438F81430002BFAD1054696 +S31508005E100C22514601A8FEF7C7FE9DF80F3013F075 +S31508005E20010F1ED000238AF80B30AB6201270BE066 +S31508005E303B46424601A95046FEF78CFF2846FFF727 +S31508005E4017FF814610B90137632FF1D9642F5CD04B +S31508005E50B9F1040F5BD19DF80F308AF80B30C5F8FD +S31508005E6028809DF80F3013F0020F06D00C342B4B08 +S31508005E70A3FB0434A408013400E001242146284683 +S31508005E80FFF76AFC034618BB013C21D02969A1EB40 +S31508005E9044112846FFF746FA0346C8B9286AFEF7AA +S31508005EA0A9FF0746A9693046FFF720F8034678B9DF +S31508005EB03B46E2B2E969A86AFEF714FF0123F370CC +S31508005EC000212846FFF7B4FB034608B9013CE9D18F +S31508005ED0FBB9A9693046FFF709F88146D8B92022E7 +S31508005EE00021E869FEF76AFE0B22296AE869FEF7CF +S31508005EF05BFEEA692B6ADB7A03F018031373012346 +S31508005F00F370484607E0062005E0072003E0484608 +S31508005F1001E01846FFE704B0BDE8F0874FECC44E31 +S31508005F2070B50446FEF7B8FF0546002837D1237832 +S31508005F30032B2DD12379012B2AD104F130064FF4F6 +S31508005F40007200213046FEF739FE4AF6552104F262 +S31508005F502E20FEF71BFE13493046FEF71BFE12499C +S31508005F6004F50570FEF716FE216904F50670FEF7BE +S31508005F7011FEE16804F50770FEF70CFEE2690132CE +S31508005F80E262012331466078FAF7B4FF00232371F1 +S31508005F90002211466078FBF703F800B10125284670 +S31508005FA070BD00BF525261417272416110B584B032 +S31508005FB001900091144604A840F8041DFEF728FF36 +S31508005FC0002816DB0E4B53F820300BB100221A704E +S31508005FD0019B0BB100221A70019B094A42F8203036 +S31508005FE04BB1012C09D1002201A96846FEF7C8FF6A +S31508005FF004E00B2002E0002000E0002004B010BD01 +S31508006000701900202DE9F04393B00191002800F0A3 +S31508006010AA80054616460023036002F01F073A4683 +S3150800602006A901A8FEF7ACFF002840F09E80069B53 +S31508006030079303AB0F934F4B1193019907A8FFF7EB +S315080060408BFE044628B90F9B93F90B30002B00DA18 +S31508006050062416F01C0F53D044B1042C03D107A80C +S31508006060FFF7C0FE044647F008070BE09DF822300C +S3150800607013F0110F03D116F0040F02D102E0072422 +S3150800608000E00824002C4BD117F0080F48D03A4EF0 +S3150800609031460E980E30FEF77DFD31460E981630C5 +S315080060A0FEF778FD20220E9BDA72069EDDF8388010 +S315080060B041463046FEF7ACFD814600224146304651 +S315080060C0FEF7B8FD00210E981C30FEF763FD01228D +S315080060D0069BDA70B9F1000F22D0069BDE6A002211 +S315080060E0494607A8FFF766FA0446C8B9314606982E +S315080060F0FEF7FCFE044609F1FF33069AD3600FE06B +S3150800610074B99DF8223013F0100F06D116F0020F5D +S3150800611006D013F0010F02D102E0042400E00724A0 +S315080061204CB917F0080F01D047F02007069BDB6A29 +S315080061302B620E9B6B62CCB9069EDDF83880414611 +S315080061403046FEF765FDA86008F11C00FEF712FD53 +S31508006150E86000236B746B61EB612F742E60F38823 +S31508006160AB80204603E0092001E000E0204613B09A +S31508006170BDE8F0836C170020000061482DE9F04F58 +S3150800618083B00446884615461F4600233B6001A98E +S31508006190FEF768FE002840F0A280607C002840F0E8 +S315080061A0A080237C13F0010F00F09A80E6686369EB +S315080061B0F61AAE42C0F08E802E468BE06369C3F3B2 +S315080061C00802002A70D1019A5289013A12EA532923 +S315080061D012D10BB9A06803E0A1692046FFF73AF887 +S315080061E0012802D8022060747BE0B0F1FF3F02D19B +S315080061F00120607475E0A061DDF804A0A16950462D +S31508006200FEF7FAFC054610B90220607469E04D44B1 +S315080062105FEA562B25D009EB0B03BAF80A209342FE +S3150800622001D9C9EB020B5B462A4641469AF801009A +S31508006230FAF71CFE10B10120607452E0237C13F0BB +S31508006240400F0BD0E069401B834507D94FF4007215 +S3150800625004F1280108EB4020FEF7A6FC4FEA4B257F +S3150800626030E0E26995421ED0237C13F0400F0ED031 +S31508006270012304F128019AF80100FAF73BFE10B150 +S31508006280012060742DE0237C23F04003237401234E +S315080062902A4604F1280101984078FAF7E7FD10B17B +S315080062A0012060741DE0E5616169C1F30801C1F56B +S315080062B00075AE4200D2354628312A46214440466A +S315080062C0FEF772FCA84463692B4463613B682B4460 +S315080062D03B60761B002E7FF471AF002001E000E0E2 +S315080062E0072003B0BDE8F08F2DE9F04F83B00446D0 +S315080062F0884616461F4600233B6001A9FEF7B2FDF5 +S31508006300002840F0BE80607C002840F0BC80237CDA +S3150800631013F0020F00F0B6806369F342C0F0A8805C +S31508006320DE43A5E06369C3F30802002A40F08180D2 +S31508006330019A5289013A12EA53291DD133B9A06844 +S3150800634040B900212046FFF7CDF803E0A1692046B1 +S31508006350FFF7C8F8002800F08E80012802D1022035 +S31508006360607490E0B0F1FF3F02D1012060748AE0CA +S31508006370A061A36803B9A060237C13F0400F0FD077 +S315080063800123E26904F1280101984078FAF7B2FD81 +S3150800639010B10120607476E0237C23F04003237457 +S315080063A0DDF804A0A1695046FEF726FC054610B99B +S315080063B00220607467E04D445FEA562B25D009EB4E +S315080063C00B03BAF80A20934201D9C9EB020B5B46C4 +S315080063D02A4641469AF80100FAF78CFD10B10120C9 +S315080063E0607450E0E169491B8B450BD94FF4007284 +S315080063F008EB412104F12800FEF7D6FB237C23F0A5 +S31508006400400323744FEA4B2525E0E3699D420FD0EC +S315080064106269E3689A420BD201232A4604F12801ED +S315080064209AF80100FAF722FD10B1012060742AE0FB +S31508006430E5616069C0F30800C0F50075AE4200D298 +S31508006440354628302A4641462044FEF7ADFB237CD4 +S3150800645043F040032374A84463692B446361E268EC +S31508006460934238BF1346E3603B682B443B60761B78 +S31508006470002E7FF457AF237C43F0200323740020BB +S3150800648001E000E0072003B0BDE8F08F70B582B0E8 +S3150800649004460E460A2902D10D21FFF7F7FF636865 +S315080064A0002B16DB5D1C23441E733C2D0DDD01AB52 +S315080064B02A4604F10C012068FFF716FF019B9D424E +S315080064C001D1002501E04FF0FF356560A36801336F +S315080064D0A36002B070BD00BF30B583B0044601A901 +S315080064E0FEF7C0FC00283CD1227C12F0200F39D0E0 +S315080064F012F0400F0CD00123E26904F1280101983B +S315080065004078FAF7F7FC70BB237C23F0400323742A +S31508006510216A0198FEF7EAFC38BB656AEB7A43F014 +S315080065202003EB72A26829462068FEF783FBE16820 +S3150800653005F11C00FEF72EFB0D4905F11600FEF7C6 +S3150800654029FB002105F11200FEF720FB0122019B21 +S31508006550DA700198FFF7E4FC237C23F02003237408 +S3150800656003E002E001E00120FFE703B030BD00BF11 +S315080065700000614810B582B00446FFF7ADFF034638 +S3150800658038B901A92046FEF76DFC034608B9002272 +S315080065902260184602B010BDF0B583B004460E4618 +S315080065A001A9FEF75FFC002840F0A480657C002D59 +S315080065B040F0A180E368B34204D2227C12F0020FB5 +S315080065C000D11E46636900226261002E5DD0019AE1 +S315080065D057897F026BB1721EB2FBF7F2013BB3FB20 +S315080065E0F7F18A4205D37A4213406361F61AA16924 +S315080065F012E0A16879B92046FEF774FF0146012822 +S3150800660002D10220607479E0B0F1FF3F02D1012087 +S31508006610607473E0A060A16119BB002638E0237C92 +S3150800662013F0020F05D02046FEF75CFF014620B99D +S315080066301AE02046FEF70EFE0146B1F1FF3F02D1F1 +S31508006640012060745AE0012903D9019B5B699942CC +S3150800665002D30220607451E0A16163693B4463611F +S31508006660F61BBE42DBD800E03E46636933446361ED +S31508006670C6F308035BB10198FEF7BEFA10B902200B +S3150800668060743BE000EB562602E0002600E0002698 +S315080066906369C3F3080313B3E26996421FD0237CE8 +S315080066A013F0400F0ED0012304F128010198407819 +S315080066B0FAF720FC10B1012060741FE0237C23F058 +S315080066C0400323740123324604F1280101984078D7 +S315080066D0FAF7CCFB10B1012060740FE0E66163693C +S315080066E0E268934209D9E360237C43F020032374CC +S315080066F0284603E002E0284600E0284603B0F0BD3D +S3150800670030B591B001900D46002205A901A8FEF703 +S3150800671037FC0446A8B902AB0D930B4B0F930199AE +S3150800672005A8FFF719FB044658B90D9B93F90B30DA +S31508006730002B05DB2DB1294605A8FFF7D1F900E0A6 +S315080067400624204611B030BD6C17002070B59CB0E9 +S315080067500190022205A901A8FEF712FC059B1193D8 +S31508006760044600284AD102AB1993264B1B9301997C +S3150800677011A8FFF7F1FA044600283FD1199B93F9AF +S315080067800B30002B05DB9DF84A3013F0010F02D1C0 +S3150800679002E0062400E00724ECB9059E1899304665 +S315080067A0FEF736FA05469DF84A3013F0100F13D057 +S315080067B006960890002106A8FEF7B4FD044658B9C7 +S315080067C0002106A8FEF7EEFF034600B90723042BAF +S315080067D002D01C4600E0002584B911A8FFF744F84A +S315080067E0044630B92DB10022294611A8FEF7E2FE6B +S315080067F004461CB90598FFF793FB044620461CB0CF +S3150800680070BD00BF6C1700202DE9F04182B08046AC +S315080068100E4617460546002412E06B46012201A9DA +S315080068203846FFF7ABFC009B012B0ED19DF80430D0 +S315080068300D2B05D06A1C2B7001340A2B04D0154683 +S31508006840731E9C42E9DB00E0154600232B700CB949 +S31508006850184600E0404602B0BDE8F08110B594B095 +S315080068600446019100230293039303E0013401A82F +S31508006870FFF70CFE21780029F8D1029A002A0BDBD3 +S315080068806B4604A90198FFF72FFD40B9009B029AB1 +S315080068909A4207D1039807E04FF0FF3004E04FF023 +S315080068A0FF3001E04FF0FF3014B010BD7F2817D934 +S315080068B079B1FF2813D8A0F180030A4A32F81300E9 +S315080068C07047084A32F81320904205D001339BB22C +S315080068D000E000237F2BF4D98033D8B2704700201C +S315080068E0704700BF6C710008F0B4B0F5805F01D244 +S315080068F0204B02E0204B00E02B461A88002A36D0AF +S31508006900904234D31D1D5B88190ADBB29C18A0423D +S3150800691028DA08292BD8DFE801F005090F1215181F +S315080069201B1E2100861A35F8160020E0821A02F08E +S315080069300103C01A80B21AE0103880B217E0203876 +S3150800694080B214E0303880B211E01A3880B20EE016 +S31508006950083080B20BE0503880B208E0A0F5E3506A +S3150800696080B204E00029C7D105EB4303C5E7F0BCB4 +S31508006970704700BF786F0008BC6E000808B5074B63 +S31508006980044613B10021AFF30080054B1868836AEB +S3150800699003B198472046FBF7F9FC00BF000000004A +S315080069A06C72000870B50E4B0E4CE41AA410002544 +S315080069B01E46A54204D056F8253098470135F8E713 +S315080069C000F054F8084C094BE41AA41000251E469A +S315080069D0A54204D056F8253098470135F8E770BD2A +S315080069E0B0020020B0020020B4020020B00200204D +S315080069F0034B1B68186A034B002808BF18467047E4 +S31508006A00400100204401002008B5034B1868FFF731 +S31508006A10EFFFD0F8EC0008BD4001002082B001B9B4 +S31508006A2001A93AB143B113780B601278101C18BF4C +S31508006A30012003E0104601E06FF0010002B0704744 +S31508006A4002440346934202D003F8011BFAE7704753 +S31508006A5049B1FF2A85BF8A2303600A704FF0FF30C9 +S31508006A6098BF01207047084670470000F8B500BF78 +S31508006A70F8BC08BC9E467047F8B500BFF8BC08BC11 +S31508006A809E4670472F64656D6F70726F675F6F6C97 +S31508006A90696D65785F73746D3332703430352E7373 +S31508006AA0726563002F626F6F746C6F672E747874EB +S31508006AB00000000057696E5553422042756C6B20E2 +S31508006AC044657669636500004F70656E424C5420D4 +S31508006AD05573657200000000303030303030303089 +S31508006AE0303530430000000044656661756C7400FB +S31508006AF057696E5553422042756C6B20496E746512 +S31508006B0072666163650000004F70656E424C540002 +S31508006B10303A00002E2E2F2E2E2F2E2E2F536F7525 +S31508006B207263652F66696C652E6300004669726D2F +S31508006B307761726520757064617465207265717518 +S31508006B406573742064657465637465640A0D000072 +S31508006B504F70656E696E67206669726D77617265DA +S31508006B602066696C6520666F722072656164696E5D +S31508006B70672E2E2E000000004552524F520A0D0075 +S31508006B804F4B0A0D000000005374617274696E67FA +S31508006B90207468652070726F6772616D6D696E67C3 +S31508006BA02073657175656E63650A0D0050617273B1 +S31508006BB0696E67206669726D776172652066696CB1 +S31508006BC06520746F206465746563742065726173EB +S31508006BD06520626C6F636B732E2E2E00457261738F +S31508006BE0696E67200000000020627974657320666C +S31508006BF0726F6D206D656D6F7279206174203078C3 +S31508006C000000000052656164696E67206C696E65F4 +S31508006C102066726F6D2066696C652E2E2E4552525F +S31508006C204F520A0D00000000496E76616C696420B7 +S31508006C30636865636B73756D20666F756E642E2E5B +S31508006C402E4552524F520A0D0000000050726F67CF +S31508006C5072616D6D696E67200000000020627974AC +S31508006C60657320746F206D656D6F7279206174206D +S31508006C703078000057726974696E672070726F67A2 +S31508006C8072616D20636865636B73756D2E2E2E00B9 +S31508006C90436C6F73696E67206669726D776172659A +S31508006CA02066696C650A0D004669726D77617265C2 +S31508006CB020757064617465207375636365737366A4 +S31508006CC0756C6C7920636F6D706C657465640A0DFC +S31508006CD0000000002E2E2F2E2E2F2E2E2F536F75CE +S31508006CE07263652F41524D434D345F53544D3332D1 +S31508006CF046342F756172742E630000002E2E2F2ED7 +S31508006D002E2F2E2E2F536F757263652F41524D43CA +S31508006D104D345F53544D333246342F7573622E63A8 +S31508006D2000000000000008001000180020002800DD +S31508006D3030003800400048005000580080008800A5 +S31508006D4090009800A000A800B000B800C000C800D5 +S31508006D50D000D800008000080040000002000000B3 +S31508006D6000C0000800400000030000000000010801 +S31508006D7000000100040000000000020800000200F4 +S31508006D8005000000000004080000020006000000DC +S31508006D9000000608000002000700000000000808BE +S31508006DA0000002000800000000000A0800000200B7 +S31508006DB00900000000000C08000002000A0000009C +S31508006DC000000E08000002000B0000002E2E2F2ED9 +S31508006DD02E2F2E2E2F536F757263652F41524D43FA +S31508006DE04D345F53544D333246342F63616E2E63F0 +S31508006DF0000000000502060206030703080309034C +S31508006E0009040A040B040C040C050D050E050F05F0 +S31508006E100F06100610071008222A3A3C3E3F7C7FD0 +S31508006E20000000002B2C3B3D5B5D0000809A45412D +S31508006E308E418F804545454949498E8F9092924F9C +S31508006E40994F555559999A9B9C9D9E9F41494F55D7 +S31508006E50A5A5A6A7A8A9AAABACADAEAFB0B1B2B36B +S31508006E60B4B5B6B7B8B9BABBBCBDBEBFC0C1C2C35C +S31508006E70C4C5C6C7C8C9CACBCCCDCECFD0D1D2D34C +S31508006E80D4D5D6D7D8D9DADBDCDDDEDFE0E1E2E33C +S31508006E90E4E5E6E7E8E9EAEBECEDEEEFF0F1F2F32C +S31508006EA0F4F5F6F7F8F9FAFBFCFDFEFF0103050712 +S31508006EB0090E10121416181C1E0000007D1D010074 +S31508006EC0632C001E9601A01E5A01001F0806101FFB +S31508006ED00606201F0806301F0806401F0606511F13 +S31508006EE00700591F521F5B1F541F5D1F561F5F1F48 +S31508006EF0601F0806701F0E00BA1FBB1FC81FC91FD8 +S31508006F00CA1FCB1FDA1FDB1FF81FF91FEA1FEB1F6B +S31508006F10FA1FFB1F801F0806901F0806A01F0806F9 +S31508006F20B01F0400B81FB91FB21FBC1FCC1F010039 +S31508006F30C31FD01F0206E01F0206E51F0100EC1F53 +S31508006F40F21F0100FC1F4E210100322170211002A0 +S31508006F50842101008321D0241A05302C2F04602CAB +S31508006F600201672C0601752C0201802C6401002D94 +S31508006F70260841FF1A03000061001A03E000170300 +S31508006F80F8000703FF000100780100013001320113 +S31508006F900601390110014A012E0179010601800115 +S31508006FA04D00430281018201820184018401860128 +S31508006FB08701870189018A018B018B018D018E0169 +S31508006FC08F0190019101910193019401F6019601B7 +S31508006FD09701980198013D029B019C019D012002A1 +S31508006FE09F01A001A001A201A201A401A401A6017A +S31508006FF0A701A701A901AA01AB01AC01AC01AE0129 +S31508007000AF01AF01B101B201B301B301B501B501D9 +S31508007010B701B801B801BA01BB01BC01BC01BE0188 +S31508007020F701C001C101C201C301C401C501C40100 +S31508007030C701C801C701CA01CB01CA01CD011001A8 +S31508007040DD0101008E01DE011201F3010300F101E9 +S31508007050F401F401F8012801220212013A0209009A +S31508007060652C3B023B023D02662C3F024002410270 +S31508007070410246020A015302400081018601550277 +S3150800708089018A0158028F015A0290015C025D0249 +S315080070905E025F0293016102620294016402650264 +S315080070A066026702970196016A02622C6C026D02FB +S315080070B06E029C01700271029D01730274029F01A7 +S315080070C076027702780279027A027B027C02642CC5 +S315080070D07E027F02A60181028202A901840285023C +S315080070E086028702AE014402B101B20145028D0251 +S315080070F08E028F0290029102B7017B030300FD0303 +S31508007100FE03FF03AC0304008603880389038A038E +S31508007110B1031103C2030200A303A303C4030803B4 +S31508007120CC0303008C038E038F03D8031801F203E4 +S315080071300A00F903F303F403F503F603F703F70369 +S31508007140F903FA03FA033004200350041007600415 +S3150800715022018A043601C1040E01CF040100C004CD +S31508007160D00444016105260400000000C700FC00A5 +S31508007170E900E200E400E000E500E700EA00EB00D1 +S31508007180E800EF00EE00EC00C400C500C900E60008 +S31508007190C600F400F600F200FB00F900FF00D60076 +S315080071A0DC00A200A300A500A7209201E100ED00E3 +S315080071B0F300FA00F100D100AA00BA00BF001023BC +S315080071C0AC00BD00BC00A100AB00BB009125922518 +S315080071D093250225242561256225562555256325EF +S315080071E0512557255D255C255B2510251425342555 +S315080071F02C251C2500253C255E255F255A2554256A +S3150800720069256625602550256C256725682564252A +S31508007210652559255825522553256B256A25182590 +S315080072200C25882584258C2590258025B103DF002B +S315080072309303C003A303C303B500C403A6039803BB +S31508007240A903B4031E22C603B50329226122B1008D +S315080072506522642220232123F7004822B000192240 +S31508007260B7001A227F20B200A025A000E000002067 +S315080072704300504F534958002E000020202020205C +S3150800728020202020282828282820202020202020C8 +S3150800729020202020202020202020208810101010B8 +S315080072A0101010101010101010101004040404040C +S315080072B00404040404101010101010104141414138 +S315080072C04141010101010101010101010101010120 +S315080072D00101010101011010101010104242424232 +S315080072E042420202020202020202020202020202F0 +S315080072F00202020202021010101020000000000014 S31508007300000000000000000000000000000000006F -S31508007310000000000000000000000000004300001C -S30D08007320E8000020000000004F -S30D08007328BC8EFF7F0100000086 -S31508007330010000000000000001020304010203042A -S31508007340060708091800002061150008AD14000892 -S315080073505D140008C51300082D140008A9130008B9 -S3150800736091130008D5130008E5130008F513000863 -S315080073700D1400083131000819310008B930000829 -S315080073800000000000000000A1300008AD30000831 -S315080073908D30000800000000000000008130000861 -S315080073A009022000010100C0320904000002FF00A2 -S315080073B00000070581024000FF070501024000FFA3 -S315080073C069310008753100089D31000881310008CF -S315080073D0B9310008D5310008F13100080403090461 -S315080073E01201100100000040501DAC6000010102AE -S315080073F0030100000D32000811320008153200089A -S3150800740019320008213200082932000835320008EE -S31508007410040000001C7200080000000000000000C4 -S31508007420000000000000000000000000000000004E -S3150800743000000000000000001D73000800000000A6 -S31508007440000000000000000000000000000000002E -S31508007450000000000000000000000000000000001E -S31508007460000000000000000000000000000000000E -S315080074700000000000000000AD01000889010008B6 +S31508007310000000000000000000000000000000005F +S31508007320000000000000000000000000000000004F +S31508007330000000000000000000000000000000003F +S31508007340000000000000000000000000000000002F +S31508007350000000000000000000000000000000001F +S31508007360000000000000000000000000000000000F +S3150800737000000000000000000000000000000000FF +S30D08007380648EFF7F0100000086 +S31508007388690300086D0300087103000875030008FF +S315080073987D03000885030008910300081D050008F9 +S315080073A805050008A5040008000000000000000004 +S315080073B88D040008990400087904000800000000F4 +S315080073C8000000006D04000809022000010100C041 +S315080073D8320904000002FF00000007058102400088 +S315080073E8FF070501024000FFA1080008AD080008CC +S315080073F8D5080008B9080008F10800080D090008AA +S3150800740829090008040309041201100100000040B4 +S31508007418501DAC60000101020301010000000000D4 +S31508007428010203040102030406070809B000002044 +S31508007438F13000083D300008ED2F0008552F0008E8 +S31508007448BD2F0008392F0008212F0008652F0008CE +S31508007458752F0008852F00089D2F000804000000D6 +S315080074680000000000000000000000000000000006 +S3150800747800000000000000000000000000000000F6 +S3150800748800000000000000000000000000000000E6 +S3150800749800000000000000000000000000000000D6 +S315080074A800000000000000000000000000000000C6 +S315080074B800000000000000000000000000000000B6 +S315080074C8E000002043000000000000000000000063 +S315080074D80000000000000000000000000000000096 +S315080074E80000000043000000000000000000000043 +S315080074F80000000000000000000000000000000076 +S315080075080000000043000000000000000000000022 +S315080075180000000000000000000000000000000055 +S315080075280000000043000000000000000000000002 +S315080075380000000000000000000000000000000035 +S3150800754800000000430000000000000000000000E2 +S315080075580000000000000000000000000000000015 +S3150800756800000000430000000000000000000000C2 +S3150800757800000000000000000000000000000000F5 +S3150800758800000000430000000000000000000000A2 +S3150800759800000000000000000000000000000000D5 +S315080075A800000000516A00081D6A00080000000073 +S315080075B87A720008787200087972000879720008E9 +S315080075C879720008797200087972000879720008D9 +S315080075D8797200087972000879720008FFFFFFFFC0 +S315080075E8FFFFFFFFFFFFFFFFFFFF000001004153FA +S315080075F843494900000000000000000000000000A0 +S3150800760800000000000000000000000000004153D0 +S31508007618434949000000000000000000000000007F +S315080076280000000000000000000000000000000044 +S30D08007638AD01000889010008F4 S70508000000F2 diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.depend b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.depend deleted file mode 100644 index 30aeceb5..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.depend +++ /dev/null @@ -1,1033 +0,0 @@ -# depslib dependency file v1.0 -1452178431 source:c:\users\voorburg\desktop\test\stm32p405\src\main.c - "stm32f4xx_conf.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\stm32f4xx_conf.h - "stm32f4xx_adc.h" - "stm32f4xx_can.h" - "stm32f4xx_crc.h" - "stm32f4xx_cryp.h" - "stm32f4xx_dac.h" - "stm32f4xx_dbgmcu.h" - "stm32f4xx_dcmi.h" - "stm32f4xx_dma.h" - "stm32f4xx_exti.h" - "stm32f4xx_flash.h" - "stm32f4xx_fsmc.h" - "stm32f4xx_hash.h" - "stm32f4xx_gpio.h" - "stm32f4xx_i2c.h" - "stm32f4xx_iwdg.h" - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - "stm32f4xx_rng.h" - "stm32f4xx_rtc.h" - "stm32f4xx_sdio.h" - "stm32f4xx_spi.h" - "stm32f4xx_syscfg.h" - "stm32f4xx_tim.h" - "stm32f4xx_usart.h" - "stm32f4xx_wwdg.h" - "misc.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\stm32f4xx.h - "core_cm4.h" - "system_stm32f4xx.h" - - "stm32f4xx_conf.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cm4.h - - - - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cminstr.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cmfunc.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cm4_simd.h - - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\system_stm32f4xx.h - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\misc.h - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\misc.c - "misc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1452177724 source:c:\users\voorburg\desktop\test\stm32p405\src\startup_stm32f4xx.s - -1452178104 source:c:\users\voorburg\desktop\test\stm32p405\src\system_stm32f4xx.c - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\misc.c - "misc.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\misc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cm4.h - - - - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cminstr.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cmfunc.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cm4_simd.h - - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1452180083 source:c:\users\voorburg\desktop\test\stm32p405\src\app\main.c - "stm32f4xx_conf.h" - -1452177724 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\startup_stm32f4xx.s - -1452178104 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\system_stm32f4xx.c - "stm32f4xx.h" - -1452181962 source:c:\users\voorburg\desktop\test\stm32p405\src\app\assert.c - "header.h" - -1452183117 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\hw.c - "header.h" - -1452182905 c:\users\voorburg\desktop\test\stm32p405\src\header.h - - - "os.h" - "hw.h" - "app.h" - -1452182352 c:\users\voorburg\desktop\test\stm32p405\src\os\os.h - -1452182938 c:\users\voorburg\desktop\test\stm32p405\src\hw\hw.h - "stm32f4xx.h" - "stm32f4xx_conf.h" - "led.h" - -1452182185 c:\users\voorburg\desktop\test\stm32p405\src\app\app.h - "assert.h" - -1452181838 c:\users\voorburg\desktop\test\stm32p405\src\app\assert.h - -1452182352 source:c:\users\voorburg\desktop\test\stm32p405\src\os\os.c - "os.h" - -1452182871 source:c:\users\voorburg\desktop\test\stm32p405\src\app\app.c - "header.h" - -1452182499 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\led.c - "header.h" - -1452182499 c:\users\voorburg\desktop\test\stm32p405\src\hw\led.h - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\hooks.c - "boot.h" - "stm32f4xx.h" - "led.h" - -1499159026 c:\work\software\openblt\target\source\boot.h - "types.h" - "assert.h" - "blt_conf.h" - "plausibility.h" - "cpu.h" - "cop.h" - "nvm.h" - "timer.h" - "backdoor.h" - "file.h" - "com.h" - -1495810634 c:\work\software\openblt\target\source\armcm4_stm32f4\types.h - -1495810634 c:\work\software\openblt\target\source\assert.h - -1500026461 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\blt_conf.h - -1495810634 c:\work\software\openblt\target\source\plausibility.h - -1495810632 c:\work\software\openblt\target\source\cpu.h - -1495810633 c:\work\software\openblt\target\source\cop.h - -1495810632 c:\work\software\openblt\target\source\nvm.h - -1495810633 c:\work\software\openblt\target\source\timer.h - -1495810633 c:\work\software\openblt\target\source\backdoor.h - -1495810634 c:\work\software\openblt\target\source\file.h - "ff.h" - -1495810633 c:\work\software\openblt\target\source\com.h - "xcp.h" - -1495810633 c:\work\software\openblt\target\source\xcp.h - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\stm32f4xx.h - "core_cm4.h" - "system_stm32f4xx.h" - - "stm32f4xx_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cm4.h - - - - - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cminstr.h - - - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cmfunc.h - - - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cm4_simd.h - - - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\system_stm32f4xx.h - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\stm32f4xx_conf.h - "stm32f4xx_adc.h" - "stm32f4xx_can.h" - "stm32f4xx_crc.h" - "stm32f4xx_cryp.h" - "stm32f4xx_dac.h" - "stm32f4xx_dbgmcu.h" - "stm32f4xx_dcmi.h" - "stm32f4xx_dma.h" - "stm32f4xx_exti.h" - "stm32f4xx_flash.h" - "stm32f4xx_fsmc.h" - "stm32f4xx_hash.h" - "stm32f4xx_gpio.h" - "stm32f4xx_i2c.h" - "stm32f4xx_iwdg.h" - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - "stm32f4xx_rng.h" - "stm32f4xx_rtc.h" - "stm32f4xx_sdio.h" - "stm32f4xx_spi.h" - "stm32f4xx_syscfg.h" - "stm32f4xx_tim.h" - "stm32f4xx_usart.h" - "stm32f4xx_wwdg.h" - "misc.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\misc.h - "stm32f4xx.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\misc.c - "misc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\system_stm32f4xx.c - "stm32f4xx.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\startup_stm32f4xx.s - -1500026133 source:c:\work\software\openblt\target\source\armcm4_stm32f4\can.c - "boot.h" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\cpu.c - "boot.h" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\flash.c - "boot.h" - "stm32f4xx.h" - "stm32f4xx_conf.h" - "flash_layout.c" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\gcc\cpu_comp.c - "boot.h" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\nvm.c - "boot.h" - "flash.h" - -1495810634 c:\work\software\openblt\target\source\armcm4_stm32f4\flash.h - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\timer.c - "boot.h" - "stm32f4xx.h" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\uart.c - "boot.h" - "stm32f4xx.h" - "stm32f4xx_conf.h" - -1495810634 source:c:\work\software\openblt\target\source\armcm4_stm32f4\usb.c - "boot.h" - "usb.h" - "usb_core.h" - "usb_conf.h" - "usb_dcd_int.h" - "usbd_core.h" - "usbd_usr.h" - "usbd_desc.h" - "usbd_bulk.h" - -1495810633 c:\work\software\openblt\target\source\usb.h - -1495810634 source:c:\work\software\openblt\target\source\assert.c - "boot.h" - -1495810633 source:c:\work\software\openblt\target\source\backdoor.c - "boot.h" - -1495810634 source:c:\work\software\openblt\target\source\boot.c - "boot.h" - -1495810633 source:c:\work\software\openblt\target\source\com.c - "boot.h" - "can.h" - "uart.h" - "usb.h" - "net.h" - -1495810632 c:\work\software\openblt\target\source\can.h - -1495810634 c:\work\software\openblt\target\source\uart.h - -1495810633 c:\work\software\openblt\target\source\net.h - -1495810633 source:c:\work\software\openblt\target\source\cop.c - "boot.h" - -1495810634 source:c:\work\software\openblt\target\source\file.c - "boot.h" - - - -1495810633 source:c:\work\software\openblt\target\source\net.c - "boot.h" - "netdev.h" - "uip.h" - "uip_arp.h" - -1495810633 source:c:\work\software\openblt\target\source\xcp.c - "boot.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\led.c - "boot.h" - "led.h" - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\led.h - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\main.c - "boot.h" - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\flash_layout.c - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\src\usbd_core.c - "usbd_core.h" - "usbd_req.h" - "usbd_ioreq.h" - "usb_dcd_int.h" - "usb_bsp.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\inc\usbd_core.h - "usb_dcd.h" - "usbd_def.h" - "usbd_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_dcd.h - "usb_core.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_core.h - "usb_conf.h" - "usb_regs.h" - "usb_defines.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usb_conf.h - "stm32f4xx.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_regs.h - "usb_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_defines.h - "usb_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\inc\usbd_def.h - "usbd_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_conf.h - "usb_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\inc\usbd_req.h - "usbd_def.h" - "usbd_core.h" - "usbd_conf.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\inc\usbd_ioreq.h - "usbd_def.h" - "usbd_core.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_dcd_int.h - "usb_dcd.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\inc\usb_bsp.h - "usb_core.h" - "usb_conf.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\src\usbd_ioreq.c - "usbd_ioreq.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\src\usbd_req.c - "usbd_req.h" - "usbd_ioreq.h" - "usbd_desc.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_desc.h - "usbd_def.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\src\usb_core.c - "usb_core.h" - "usb_bsp.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\src\usb_dcd.c - "usb_dcd.h" - "usb_bsp.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbotgdriver\src\usb_dcd_int.c - "usb_dcd_int.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usb_bsp.c - "usb_bsp.h" - "usbd_conf.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_bulk.c - "usbd_bulk.h" - "usbd_desc.h" - "usbd_req.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_bulk.h - "usbd_ioreq.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_desc.c - "usbd_core.h" - "usbd_desc.h" - "usbd_req.h" - "usbd_conf.h" - "usb_regs.h" - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\usbd_usr.c - "usbd_usr.h" - "usbd_ioreq.h" - "boot.h" - "usb.h" - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\usbdevicelib\core\inc\usbd_usr.h - "usbd_core.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\ff.h - "integer.h" - "ffconf.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\integer.h - - - -1495810648 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\fatfs\ffconf.h - -1495810648 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\fatfs\mmc.c - "diskio.h" - "stm32f4xx.h" - "boot.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\diskio.h - "integer.h" - -1495810633 source:c:\work\software\openblt\target\source\third_party\fatfs\src\ff.c - "ff.h" - "diskio.h" - - -1495810633 source:c:\work\software\openblt\target\source\third_party\fatfs\src\option\unicode.c - "../ff.h" - "cc932.c" - "cc936.c" - "cc949.c" - "cc950.c" - "ccsbcs.c" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc932.c - "../ff.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc936.c - "../ff.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc949.c - "../ff.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc950.c - "../ff.h" - -1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\ccsbcs.c - "../ff.h" - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.ebp b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.ebp deleted file mode 100644 index dcbdcfa1..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.ebp +++ /dev/null @@ -1,592 +0,0 @@ - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.elay b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.elay deleted file mode 100644 index dd494f6a..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/ide/STM32P405.elay +++ /dev/null @@ -1,114 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/lib/newlib/_exit.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/lib/newlib/_exit.c new file mode 100644 index 00000000..4ae9532c --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/lib/newlib/_exit.c @@ -0,0 +1,38 @@ +// ---------------------------------------------------------------------------- + +#include + +// ---------------------------------------------------------------------------- + +// Forward declaration + +void +_exit(int code); + +// ---------------------------------------------------------------------------- + +// We just enter an infinite loop, to be used as landmark when halting +// the debugger. +// +// It can be redefined in the application, if more functionality +// is required. + +void +__attribute__((weak)) +_exit(int code __attribute__((unused))) +{ + // TODO: write on trace + while (1) + ; +} + +// ---------------------------------------------------------------------------- + +void +__attribute__((weak,noreturn)) +abort(void) +{ + _exit(1); +} + +// ---------------------------------------------------------------------------- diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/makefile b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/makefile new file mode 100644 index 00000000..fb14d251 --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Boot/makefile @@ -0,0 +1,178 @@ +#**************************************************************************************** +#| Description: Makefile for GNU ARM Embedded toolchain. +#| File Name: makefile +#| +#|--------------------------------------------------------------------------------------- +#| C O P Y R I G H T +#|--------------------------------------------------------------------------------------- +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved +#| +#|--------------------------------------------------------------------------------------- +#| L I C E N S E +#|--------------------------------------------------------------------------------------- +#| This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or +#| modify it under the terms of the GNU General Public License as published by the Free +#| Software Foundation, either version 3 of the License, or (at your option) any later +#| version. +#| +#| OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; +#| without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR +#| PURPOSE. See the GNU General Public License for more details. +#| +#| You have received a copy of the GNU General Public License along with OpenBLT. It +#| should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. +#| +#**************************************************************************************** +SHELL = sh + +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| +PROJ_NAME=openblt_olimex_stm32p405 + + +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ + + +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories, but +# exclude flash-layout.c as this one is directly included in a source file, when used. +PROJ_FILES = $(filter-out flash_layout.c, $(call rwildcard, , *.c *.h *.S)) +# Collect bootloader core files +PROJ_FILES += $(wildcard ../../../Source/*.c) +PROJ_FILES += $(wildcard ../../../Source/*.h) +# Collect bootloader port files +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F4/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F4/*.h) +# Collect bootloader port compiler specific files +PROJ_FILES += ../../../Source/ARMCM4_STM32F4/GCC/cpu_comp.c +# Collect FatFS third party library files +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.c) +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.h) +PROJ_FILES += ../../../Source/third_party/fatfs/src/option/unicode.c + + +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) + + +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| +VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) +OBJ_PATH = obj +BIN_PATH = bin +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +LIB_PATH = -Lcfg + + +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +HEAP_SIZE = 0x0000 +STACK_SIZE = 0x0800 +STDFLAGS = -mcpu=cortex-m4 -mthumb -mfloat-abi=hard -mfpu=fpv4-sp-d16 -fno-strict-aliasing +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DSTM32F405RG -DSTM32F4XX -DUSE_STDPERIPH_DRIVER -DHSE_VALUE=8000000 +CFLAGS += -DARM_MATH_CM4 -D__FPU_USED -DUSE_USB_OTG_FS +CFLAGS += -D__HEAP_SIZE=$(HEAP_SIZE) -D__STACK_SIZE=$(STACK_SIZE) +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,--defsym=__HEAP_SIZE=$(HEAP_SIZE) -Wl,--defsym=__STACK_SIZE=$(STACK_SIZE) +LFLAGS += -Wl,-script="stm32f405rg_flash.ld" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f + + +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| +LIBS = + + +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) +COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) + + +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec + + +$(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf + @$(OC) $< $(OFLAGS) $@ + @$(OD) $(ODFLAGS) $< > $(BIN_PATH)/$(PROJ_NAME).map + @echo +++ Summary of memory consumption: + @$(SZ) $(SZFLAGS) $< + @echo +++ Build complete [$(notdir $@)] + +$(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) + @echo +++ Linking [$(notdir $@)] + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) + + +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) + @echo +++ Assembling [$(notdir $<)] + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) + +$(COBJS): %.o: %.c $(PROJ_CHDRS) + @echo +++ Compiling [$(notdir $<)] + @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) + + +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: + @echo +++ Cleaning build environment + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec + @echo +++ Clean complete + + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.elf b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.elf index f8ceab260e5148c69d9d28bd39b0ab96edda61d2..95e5face084fa6a53f55ded96b32b92140c83d2b 100644 GIT binary patch delta 107257 zcmeFacYGC9+b%q7%HA`xH%TBN2|XbZLJcJ#y$K2;5SkQG=|yQ$6cyQ&(2HP@p@fbg zy;o@>MWiE0lcGowl%gnA_^xZs-Z8%CdCzmc_dVZt{yF*m*4*o^tIV3U?sc!3onMX> z{AE|d#JKoZE|`j|sRmq=eB?Lpdyv>)jJ(jlZH zNXL-AMLLOe3h4~eIi&MQ7l$eV^9N)uBV9qdhV(PiO{8CuZX?}A`W@*Hr29w@ksc%c zh4c(b3{y-E$w2ZVSx7#lY)Iiq*^wfVaw5eb4zDk*Xq9N2-bR0#Y5Mmyqfq)kkW8)Cj3DQd6YnNG*_BA-#t5 zI?|i0b-Q8dBZd?bZPS+zNyhd3kiuekx-qnHw)#)wlp>09r^F-WPU-ZDLle>y$0sJ? ze)8_b4Mb`@0Ub63^{bcivXUS!)qJ2=o>c4cx5}M+57T>(-<{rOXvetfPei==BFsF= z-@sc?jZZ>8;gPqXCUf~8rC%Bvmt)_<@eiWfnhAQkzL)|#9;W9QmT1L2srX_X(6sdG z!(w8uJ}%eLDrm-+0_FRMR>ABqGI#1>de316^8_9id9l$QrMGhD_~V6t94$}Qw-1fa zl{>f6mkw(#zDyTsMdDH(*{gbQQ*^aP#CrY3xOh)pR<h_l2Xu9KX>m&_XamMP|n~7qJG}C5fJ?e-FzL1D(NW`I;u~vr575#y^OiuUw>*X z`D5MV)@sV0}xb|qKb2Ox*C~Z>D#YepML#&zuC86kFsSt zrwkZSvMEhrT2R{zb&hOSr+CvaL#Rq4XZ0L4D$u=K`{WKi`gZH9B=_pwFS$#H4kg<4 z=~1F*ub!PsbZXbWTZwXSrj#gCs&x5x$|nDVk=(6khwlA5c1rHiu0z*uJv;r^^lQLC z-}n0}s0LGV)6`dJSSeXDxmK@U{r}Fv;J+BISuR8hdjedb3oh-zSoi41%KSB83;1l_op7q5Cxa+gAPS@$=t%2LA5o8vl3( z*mn2P40Zg!t)A@k+W*~7SJ1^y)eUN4=itX``CM80xkIl$o!;!wBL(9hlgLJNc)D8u zKY211xE)^Rm8w;%CRc4$Up3qZ;CgVN7fSxHTD7;TwNY)*x68Yb`XA?{|D}=kzx$q~ z1SdAmOaJeB{(tjuzw?FqraP#+ZB@ssb^b+1XSp|=jW}~@)kUQ0ar?RgJU8utBBDZs z+9RFXJJf{2gUL9hh@2tDI1(;X(u8UZEUC&}Ar}?7M)d6T-hbm}{QK$Re{vJs{g+pl z;FI;g_Sj@DBFdYc26XFJvV#H-x^KUZXf}pBI;2~tPMtdUMqX2tH{12>+s!4rJ;S^+ zajP2jG9)|n>e;zl7mzTjJ9lc=uYaFTeO(OpI(F)eJRYAuojUd8k^-SO`@P>gB$Aoe zoSGZd$d)Lrd#?^}yMi5{{kJK%Y7l7G9U|=@B1eFsX7FxC3l+Nnt~qj8#0wEGC&M0c z{eSN7XN`+)-##7wTQ}*yc#mpJ7vf)e0BGC)s$(K&y$b);STGpUWa23F-)Ifbf$H{P(kQ3N9JO|y;$(cT3(bnFzr1R)WIJ9yEQ$ZOKc&N%yb=AG zLyKv{o?0YCV)fQraXUIY|O-&i+co(V9tEuCh8jIA|)$Zdq&R(Qu z7vAL_j>kg(cRae|(e1kzm#8^4b<{ZL_2p`RwdHu{#B#N@+Hd^EQY+N_LLE5XNnNS7 z^UjohNS@5T`#&)!hNi^g(i{9=+?>fdu>OB_4h-pW(hY9GoSGXkEmmKxdd0@(Yt&0Z z9XH;2ew|uVO`YI;uue^RZ6cNxxwI&{j5W1Egr+-U9RF$yn%uQlk50+&_UhHAeg8gP zl52N*w^R3Cy?bB*Om5V>Q_otjG)r#Qu1}Xv{lMzcE7>XB#YkwLoZ6>X7v-gf&Esk| zjVn{KO!<;!6ft;BIAdYhBcs?keRRd&+y}IlTNAEyM|i=XDm<$T>54Y41w`{zmK3v+ z^SU8o1Kkg+iuTbRRne<=CPO!pd_vJ~z70yv0g~dqdS6vk)3{iKz@hkpCj`M*jN~(iPJ4;MaIqX;y9o^t^y%n6_O;NTO zE8v+V4&XVA%l_G12z(;W0U0c^kMC|Mo^){&*ea3z%2=ndC2~j1&{V}U#VuUTetWF* zA*e4sq?u`g-5sH3Zxsh@x|E4hvuopk&5*oUHG6CFG9)jrnmrqNGsQr(KTgfw-1*)T zeTxh!hic4Kc~2DYgfb{^o{Z0`W|fL_U`vPn{Cmk zoNU)>Z6QpkX6+`5XN^Vy-Ft;KT`L(LvsM#u)=7@(MO&)+L~I_}mW@&(%zU9q8O5_n zNDe`YaDB6XtEdl$AL< z4E&C6WoFj~yDRf*)bl^VUU%m8sN36M?;YknQGr&Ff0uc)Y*Z5q$os6B9ksR@@*gtq zi)yq73WJ#UNA>;yMu)Hh*%WQCbHFbOW;@jr75|JQMTx5KJo1a=JO`SCv|$6P+1+b~X_oes`5n)UXk6pm!8)Z!V`_Hc>F}Q8R%E@EfM0M2pIhH(M=2 zK5W@-6c?6|g6(fu%0TLMtAyuN(KH60XNb#2gX~d>7@xMY>->)^?eM*91>^>z8>XyA4nQYHlq4;M+qa}L*5hBt+S?> zV_1S$(eAZ|(75k`L`GQUKcc}6nxhvYZ8ID|JOYWydH{GcYlVXUq%5{~De) zY*a=;DE8JDP-rf+T<;zPF@M#>3zQ_JkC$%l`YI`sSu<`1o)VSjiRk5@T zLK*QQRjW}oFONaTjZI#)vN54pyoOuT3!o>$`T1i8om!7Ur) z=15b_LeTvsD;Jee;tHlY*&;-2-nx(|1Bp0P6y>Y^XkGnCQ3|G}IW;3h&f29KVhA-B zZ6J7EwrQ1LQ4(dFnhLj3T@!1xLT@w^e74m|Z4y^v?i9@hpDuOMD~Z{h=@BAd5!wAM zWap}r>c(}2#V{1T!!4Rkk`3>d=3I&pdDTH_&Qrp9X-?kkBDT&l$g`_Tp?uj@b=Xzt z4<&8`STBQB+hwWmg0>a3S3zqTq)lq0#2rp^-p?+IHP3|#D0{%lrYME#yo!FgTmn7Y5yZIE;m*VCB2S<-q(-;~48Kxa zsi7!^m&W6kr!Xdq@-Qk&LqDk}(6Z1@>Vpi&iBhD-3Osc!JX+B^udx66fe|Ma21B73 zwK7R9i*LpVB8taW(OP*VK4Lgdo5DzV!=otqmtm$9vnNAnvNV$utOC31p+R$*HMBXX zc!7B)M)o|m(9kkSX#Fw>^IgIZBz*8Xn!14giJ@U!$HmQqNe+WMs1kb0HKZi18Ls3u zKSAS@jt2#j6olO>vAOyPr+B1@ecrbO#ZM4Ppr^`8%|lHR*B(H>OcV*28q`T0OXM95 zp-FP2(A|qm8R2{wDRQedMmSC+mj0eWt4iVUv1no)MzP(l{RY;O1_5Q4B@G?n{17Qp z0xwKM$4>Q(!<3j@EZR_*?7u|v_TN>7$$lh~cVf^mnT|-V@SaeZ%#k9w=|x3hvigbS zzfS53lQlpjUs@p)CU0Xk`T8hLVe-DJCePl9afRe!g8T7Ss5aE z{ac2@WCcX2YO{5P$@g6Hq47dt@^(;@E38x%CT}}c!Q2Dq^Ji2)=zKn!YDnjkUC6%{ zxZM-Zq4R`vK2>2>da5a^YIvRpF`#-gJx{edb%LHJT*`mzZql*Tir0}!Ug=GKfV^k^ zPTaAV81QyGelv*PF+epsmlKc)Lq;)wKurT8xjXWVh0}o+8TTnX1?VJZrX!P!TCR$yB#^=e)Rc$lGUNib*eM-vMAU3fg?Qm^=mTtDrFH2{y+ClP5vhtp(V76A-Wp z_TG!r+}`U7tNc5U$FTqT|D^Y{3Te8c7OMw2^&kEC8Yx*kc(rB^N%bS}80KFze0djLsm`fRlaI>e$=sLxe5K}fOa6zcON zqFZzd_4yJpEjWeOa|WG4{c{!0i;~-dQ&=QgG;!Ss@8533nCPGzVKm;3GQvbDh#RfE z7;awaMtG$g;gxQLH;Wr#yz%4T@|{alUb+!p=|*_7xDm!%Ilv7!je$b|Bj`pLgSc=j z5p*MrA%V59q({(=Fw$7E5kWV?7>N{~4o?txpG3xBh~y}TK_p(1U8P}RjLT7wgt{C9hV~N((GeunBVi>{%q{4zf@Wln zkxu?tENqD*ohq?#q&ddTsh(XB$`zWCJdZ@K&@5z(6uDl3Ehb~4$Q_z6ywBwlEP~`o zLaB+>R#*PSdJr7uTV}b#d@v02uM=kG_L#}kS~H=Qigs(0aSbTiLP++}-Uqp#wr0Aa z^w-`2eC}|^#}AJU}w`SfgPszfQfK@C)6VJFG0_)&xKG9 zy*nxwsh_|#N}mF5PJIEc(fSrpV)Wb4iq%t)&!zjIm0K?eYkBlDxaQSg10|o{7}xyz z4oDWzdm$gE?}L229teO`P%jK~33?|m67^0{drqGMdLjJ}&Ce{IPyjG1jrQC zUx7?9y&&kt_4jctp?`~ONqq^f$$Dk9zLZ`X@}>21pp?<8<62fvhE|F`3HfsRC~(W` zLjoW@uRj8*f_@N1R@5JWQb}Kqd}Vzl3ag^8Mop{geq5{R--1$Ie}a4sJqpFt)C=NT zOTPvB3wjsWsjW8yw~js;c3#wfME)gR1HG>P67u!*A5iei`Yhz@>$7GAFtYUeAT`i! z7;2~&1*4IE3)fV|a2FKMI8;V^Rs^HS;|4Z*VE7pBGGn;QjN#6X9yh)*o_u$9^tkbj z*^(k>N5hS87&5+r)zJ-b7;b>W;|4g|L)_y=HHI?lMl~iQYwBTYO)(pfk~Iv~R$mZb zAg@^5?5efJP9SlXjkc?G#8DuFt;En&B%WIb_~ zYQp9oSbf>m6SfB(tkxG7si@kTQ>8Hi0o(zQ7i^t)DAMQSPZH)J_sHEd*XlHxXqTG4P_kC5ed^ z125{^5=pWccu~7cq`1Yv3zi5PDrH?LgG%+3NQ$)!>$TcTA{DF%XHAn}C`Roku&Y*5 zErw#${$eqZwr(fBD^>vMXCV|5)>Nbg#w5Vr08tE$%pRe(RZX}X)}BV7R=)ujb_m@P)q-90%+RXCWKK>@Kw5y2vo0+@ zGfpEPP@+F3XOM@O_Bus7|4mS0enA#^4L)oG}hoMMS zV(C7J(zPK{Me6(sk=(3BDoC*;rCbG;C)J}AS1tq3n+s@5FyW*s>M&29GQbOBdCF4= znexaeO1|zRovqCf>ElVKTXalU)};eaIwK$l^0hIM;Z+(U zpGF)DZfU?va&79us~bJ7gAu^!>DOTLuU538jJt`ZxV4qhQ}yrYX?P(KTPuqX+ZihV z^u4${`M2(@)1aitmCN;L>AnSBuAtwR&zVu^pDx!h+|-cEbr|xQE*FtZmy3vWxgHhz z+vWNeMCo!>F8nWCu8hK>p!!iWXJ=uNGxvY)V>!1Ai|D|A>Qg1*j)ciwlF#ofWC!b)f>Ltz?$tMlHU%2ng@sD)9H3bH*{1AMNxchP$$=y?O0WoN^{#Hw zY^stw_M0iiL{vZ)>=kawdFX@+uUJT}#(Deo=&cA#24vMq1C@M3X*Na7pke%lA&HDl zu$Nz2Ns(5#BXjMf1nm^qdkA{vr5+|t%m{ngrUqnpry&K8Gb~-swA4UaswHK6Q}*@$ zP-t;zxP`thMLR&$E%fz&6#Ayrn<))l-tRmshG|IF^-X79XE5$U^G#>WD{dRk1Xc3On|ns8oR#jtmOZI1t+N-1O?Dt1=wq?|BRp&VMyzGZbZQ9z{8PJ2d}A zxW`BT|4)E{k|NsqD3{2Y=REpCv76RuhN;9?;tc%y5(3*C#g}lhg_hP$JGB3=eESVZtRMo$;5fvpk|IF6~7N8}FhI7Sa0$3)zQ+on76sKrzx7RIC3 zr^+YWuSU?N(?drz5j>*NLq{|bJfhJG*UqR~S~G}6!0X9`a1acV^KC#cgb@d0X4 z19#jxl}Ge`jt3crdyo;ygABtx$cW@YhT$G$M4oZF=M@R^*urR%f}3wf^4P*?&b$@L zV+*4t^L8YUEsWQg4<1_>uTMdFIl_$g^D)>Wd2C_0#}<)1wlLgdi%1?@81At}B#$i& z_t+wm#}TOwL;2O3!}}L&=qP4?G}!Fev?3J`t0x z=Lr%BR4!?LoY8F806G3+5nG>+fFw(O%)NATi{ z6aAVk!wtkFqbHzt1sN(74aI`dig^!Ik?}K>W8R=_L&3aAjXfA(FQm$Wz1h{}NGQnA zqgX7ajtRw!qcFF{$T}<*vJ$CE!9@1i3Dj6os>lK=Lnt~wEuN4o$7xzD_zOFYt3Q}h ztS4>BuKZQhX9KZl524ntSRUCvrQinY__!u3IGaM<=Vt2o)T}B#HK>x%=7>`xPQ+G} zhHFZphbTWfjkT<){sIH7P*&_-cH|3k2L1pzdMg}a39lb;CP6(Q)kjP9X<)~6VZ}yE zaSW3h(}0bY({p|pR0=jDdxxxOQCZQR@a5gc?Fd!0mn*~D#kMg7D{kRcA;nxMRaR!I z+)@L<#(hbhTft&uWH9@dNeuU;b_!@&%@ojrMJF&4EXqr? z?N}TF$>K|64T+Y`F0VB!f;KN;U&{)(qG-3uvMNZ$`)qeYk3Sy?dDnh2ep z*NTEosF*`%_kAD*yKyiTkp$3!p4SMl+%k(u)mc=n!7W)aDY-+cKBH>YOjUFTn)+p? zYE`J>e*BoJTV0B3JjN-w=8)4i0Zxp(OSa+O+~{xEo3_E;EDACA8L|!eKr~SjRvT9|dq0ZQ1#!8PfMQYvzt`uy<4x3^yysWs>;U_Fm zR%c4EIx*796lnz`rW?C%s>EzejY`7o!%pc$5nDnk9hXW)z;Ih}Tnc^(pE!0OD|5o& zOjssjTm+huRta}SQRX0tj6BeEi?8Gf4t3cZmE`=6rz|n(H(iGKb1$Kzn7mli z_n<+#@)4>Z^qaoG7^UA7As=EzX_%lW%l-)YP10@hDgQ_OrgE;!Tk`ouMeGBBVL=waK z8i@Wxg;x_$lEQtI`%AJ)g8L zszhpsOHWJF=rPp|Phx{~$w>`AMQescnuQ<0x}$rv04=l(moAuB+HMnmk}OlowGD5` z=2;Ty7|y7hZcE!;!&7L^Cpo>tUTBKW@=K&&cq2^NdNwIGAbbuxD@<|*hj(G?!X=WX z_`S!BfTBlhy)ldy_|wgp1b z3tcLu(zD|^dV|BJg7g8_0atQfJmb7mT134j7vI|4GmqZDqFm{k+^OfBfPpB^XDNGaX4&U6%eL8(_oYxtw^MFFD*7{YHOTDv z<}|+iZ~R?peD^VDZW+{BHpQccI^}zeRuL=b4!6B2coNYDV zQd&MyA~pIx4Y`;>Ca`b$q=hW~Kp`LNRYd2Q4)EFB z!eLM=i*;aN+Eh$AIo)?O@^ptUmnHP#8qcVh|A5!QoDf9;F?pE?GxBSLR&MJKJ z#z@PqQg12+T&gU8YNpnhOs%CH(F?O^eMYSsENY=F>UgHo!b~N;X(7()shRaVOQkC; z>a0}q$As#4HdE=MIPFX-kEeGMjT_S2crjDXFl1+=9gMDs;&?c)k&}(DOiCy*2|*wK9ywS>q(_8nM(e8nOa9rIi;RQjbwA%OSyZQa_uw4=(mV;qkCp^ zFVnbeZn{(|85?SDdZtn&$IO;2HHxL5Dcin9Ds|0N3T(;L>dD)2J&RTYYRSf5ms;~O zwXSC>t>DPx=}oA|hEhp3-jvSR_nAtjC)CZCS-(W76ma`_Bei5#BucI5T%lGaW@=sI z1Ku)AQG5x_?TVICDK%56Wu{V57Bwu3Qan9g*%ia6^olYjQ)^hJRztRGbr!8xsWpqw z(`pYF&fS?xt3yh83!QPYRm3i$Dd+W*QtTS`m zD3Ut;K$?o_AT)vJO(Z3!H#TUVlc&h&4)bmUb9&jpqIp6!i;Rzb-@SADHP;l ziZbi3f4JcPUwPn-{?nryzU96UtuR*O;t`c`MZoem0I!T>nyy=J1>N$~U|4X=2UT|6 z@|l%Ip*+%O4!$hy!^_h88tEVEenc5BR2H%2q~p6@zSnH~UT9KU(Kkp5zhC;g!I!1M zDWm9{BqzeJImN03UzXN`FH8IRvb4Tc3dH)Qj~aSe+TzR7`gW}p?shgfqGhpehh=d@ z!?HM{VObo}uz%r*K9V*(T@ zj4#@H>XUAV@kLut*7s~ZjjO?EHq+DMTUPL;@4;_PStaZd^RF|nhTUeqE%TN1FzW)4 z4n#bP7I_Xx=jl)mZ-=b-0%YY0lE|2W6(-^*No01|#(`DEd~7N&g8AntOsq$xSTbfl zSL{!UHO0gfaT!I3Kgr=dl~a1k4=`&eONqXX+6aku%?ES^m-C0@E+%>nqgp&7dJ$;! zcSIkv`|-MLFm{*oiy1+KACh8L`G|r9V@HRMX(-NqGJZq z)Q3{2pcKMO7D}va;fI2C7pb`xxiaKf2&?3lRsTr(w?!Fdi3;fK>6n5naJsaG=M)8E z1yo|C_H;pQ7RWag#Zu97e8?yGE3?3*!2(^6V5#8ScG!Q%oMUI~k#_05iVU7@yLH?n zRp622%E7{cLZBBb6;qzIJ}b4*!O-fIsde@r1)a?-$e_x-EGknoRqp*mNo3`k^CS#RpK#C40WAR9r2aag6PH zN7{GqVhOrqQtX|O{TF+(7C>S9xYWAEE*mbj+{U-f)EXYta(j8W8tUb*&pMZC!ikWk z=Y&iTW$|-lO@Eh`OS7meS?cpmrsXRkrE%=XD^e+{0O}Oup_1$7yVdzCQ|bOcs&iir zgz9sT&r7(R#@*3W5Z&Z9JX~`-R*88^!*rZOE<^K7q1r(qx1F`MklmY9;k$KsV32Ug zn5i-qD)6o`nx?YZKp{|0w%{1GmS!nvTc+01e-yMdvmpH}F9%%*R zK-#DwZTu7msXW`xJ9+ECF_V4WUWysitf-QmPK0@mqrK|fsaoH>vpGsVIgNyGX zF00qcc#1Mg6vQQ_DQmw>R?pomcgGCxR&SXxBY;Mtda|pR$x>f|mRsryiT5BbOLg&a zw3?5;6W&<<7Ww@2?3oN*%^wPD8k(A)n_nj5u26nP1$3o8-pvg9SIHRE2yqrxddp#; zDC1YJwvR=kz zjbL2X%ebr&jLUi%mo=WqkNJ|k49gn9u&kG1StA&h^)f7L$gpfcsemu{pcIVDdKs5B zf^k_d257=j`rKOUUytw`-E4p#sP@9kvo8pI_!K*{kU@$XXcBWABi zrK(Vh9LL`@|RtL08Ox9W>r&hs5aF(H{Na`J`x+- zcMwN}^GSPA(3hzAeARp!W=q}a-9qGzFmOY|D)}n=>P4zpR6L}{!b|av9x6n3Q5r!v zbIef84Y8AIITPB8I!?)!V$sH)ZE#Gy@$*)wz{X{-i>tbZY2GP48tYz!Kvjc(Z2(ne zGOq4a6Z+$+RDlcPpb?v8( zz|Zl~z(l+Y&z)@O&lMbwD6%WK0Q}luhTgdk$yGr{RT?0g=gN^zd!aWyYvWBb%7k9O z483(pIsPRoHE7_IydeXj_ZrFM8Ws9WLq=6z!&cz$f^_s8eyU^57*Rfuh~s~9LT^P; z4~v`p3u(|^=zXIgOZgrdRjK}5R=aFK=+%YLYXzbA077T_)Mvx^huiNw8!h5sWMJf zaPp25rqgenC=*qsde(|Qfi!;0V9Pjsjg8+jI14R9I@eCV@gl~_J6^QmPa1qMUK9_U zc{!vRdSXKlAq!r>OYo0_ZWWSHQVG@|>B{dSbvAQbT?PRMrOOCu_5`I565g+xO}Gw8 zD9tti1h%{RkSIs+D@Z7Wprgz-BjA|+r`h5de65jamar|7P@0BJ@jH$%j5C-bh?3c6 z1aU5vpl6ax4k_`a;wDIj(pM?}+-zo>Nr|QeZICpjub9nDTO^OtNB1O%*&%hlc1${k z&i_EeXRptkyWPcafq9TvD5XlxCgdQ^Qt_qz)ip|6rSo7o#k9;F}Z znmC*Jyf74Ghia77*fNN~N(ojQTDfP_+d;i0&fFxzk7Z zoVfmCYk-eS1zGFH1g(*HZyIMdngZpeKof$HK$A>?l0gC9JW4cig+tXQnWhm@&!g9k%{U^n$Pn z#<#m*JqqxZla5Fzi{LFJw_?oob{PckW-^!?;4%n4$Yg}-N|RlYSXV+?f_Z{|K{6rj zh)5+hWRK#~pfh{8h@CP3Q_ZEGV#?oRXXC23#B|feFTE$SIV-yeivy~dQ(&Yh;B*@) zvTc0&u1Hh^gJ63^uq?t)SeDXs3cyr1n*lJ(&0Yn#?q+WR{D$PgGhyDzJ)8MIU6z7* zQ%CY*@Y_n>+_Q<`i$&ON5&;mE$-9(0Fq>Gk%M5i3>+}GL?Iff@=$Zh2HyaDU>HF`I zs!(ZrP>FCS64(UCgJeQB0c3(3NCB3SwcHCJs!EyJgg+voFG8{;XBC|zMKK5gS0I^a zuk~wU+2>}n&|Uwa{B7f(#T8iyF&ZXZhlKK|z1PkDtyYH9L(wd^^xO#C(!3uEUr}~M z71PX5ik+O{mT-oMXW&fV*CPH8` z67Lz|bR_f_K?V|sVjm@bc7Vtnmp2b!p_`@obu!zSU;~mzc?UZ2vzgx_SxvVB>~gaN zyOB7FQ;PWmE-MP{NRiEIN>E;An-IJ>9v&Sk1!&fF$)TpQ`rjk5`h*vxttJGQf@H#9 zT=FA;r*1Z@fd?ST=K_!-LTOB3qbQOIOXip5HqNS+H^?U}B-ygI6_9nZzb$fdUMS&& zcf**x{(7$zz?ZQm0`9GR=}4!n7wHYOs=8J{Nhy}~F- znyXDP0?EDW%#Csx1Y4h`V3ul72o$1mtPp6xS&UL5)%+twcq7xmYSHzo1*kd7)NUxe{a4^xUW}@>PGQ|8W zBxmr)BGxkpxx`UU$&W>uh(xSNbd#C5d^>k0;4NI{xcG+jL*;St<&ww5)sT)bonR*X zUK=esmnI55#~X6Wa4Xps`47gD`IZwgQH(PAT0siN3Cl`~V~(>xsEiOKjZZtJ;yld3 zyA7Kp`T)8QudJ(lj5$K2oXH&T8%ydfbG)xo(&;@(nC0m3(%EIoKnfKXYAQa|JA%BI zZ`tC-T`kUUhj#0(MTtwW-Bq-2dya~erUP=xN?i4BZ)@cC#X|N9@|w_ggz7zM7zIWyA5 zogDEcpzCdQj>Zy882J_#c4kh-tMtWcfX-bHzAR0}3*gGCCJZZdJj<)I0q>S8cYh`K z^ZUFu*YFa!lJN!e%UWUcu5@clnNKfMzLl4hDV3RD`3tSRjWq=pDl^}F8S~MfG2dUK zWVKuHehg(PK1()~t{;-U_#Us#yV67qzREC^vA^&-dpYU952t*d^2~Q_Nv+$P$t{}B ze0c=74dwf<$*r}Ek|`@lIkc192Ywb=pcnIP@XK6=@*RTX08QVa!hC%FW+?Zi>0J1k zE<-truj=s8udKu?MQCV2E?zG^BmLQ6YI&AXGO(BVT_b6s{xec?-eUgxeaf#w=mOs* ze@jZuLZsxw8|sE~8J~v-!1{#Bvp%QMx%kB|d=h+->{)|ps3u>;SKi#gYu$-7)E94G zLZ%3{_9Gd}@wwFfvjg*6-(vpG4$3?%Osy)nNjcJvmbXr&)>~gP-?u;V0p-KuL=HSp zMuBLm6~D#&zCom4xy0+fa-@8NAg7@$T0)ubVWj8BSNFJ0+ex2Nn8i=uOL~>pcx~|` z=~W*we`ql!mw&Z(@f%N!ZENlr*NFj5BGJB4byBNE5jFq93-+YnRCuJ$w zw+v;{){%0(EVVxGL;AiQlux`KAShgdq)&V-p!o|FE%ZJqwR=+GuDn52Wx;dn(%i$E zyk2cfnK{#WZIMddCf7+Xnu``1&!px3$)u<8xLmo7a24)7en!nuZv4sXybp~x0N!sw zp(9ab55<8r`UBqv;+u4Nr&E$?=sj6vzs9W4)ila9>d5P2Il?=fV&0E5lg;zj2F+`_yUF?9UAKt;-*3S1%S>SZD+2%cfIw(H{#?t2Vw5 zK*2|O-JC{x*f~n}bx3I^Z%wOoT6jlJV*T1Me|an3^+f^iQs|T{xOE5SpLU|r8r^yI zEvKQ3yS$b{e9BOM$ie)Z1DTIlPnkXsczsxn)%yGy>HQE~#Ur|wl7sQS0d8v>Uajkt zOi5w!^Jd`}Ck$oVB8uMnl%ze6$nMmGqHW}@$%oHBF=NZ;yR00g(*{xUjC_u3%Wj|2 zf);XhqvV)r%p0$>8LvKIg=)5=eBoZa{_sAzUVMdy)0jS>1e9F+SlBT6fL)RWl(|Gh z-$)bp=TUSQUd1((0djc$C1=1i`RqO{K%;T8SFX#csd`rynK+d6$uYd{khh>rEn0Z7 zE-C%jZkWMJ2E7JuM3*6X~N1>-0A$>>k^uRBTqbO`hPdQ3rTZlD+o*ovP<$4@`-YcVCfFY|?C znO|Lv+}}G;^uk zlSVsYKx!Pz$E#@+9gOB54uD$QWZuK}r`|`tlj8 zVg*o!iy?H*`toM%M%=+%aMX>f>G=6o>7_f%! z&HDv+;rhX?1&|yga?}MFD;jb_87Gdnh46T>?kR{9gkB3ijQxK1K&={8=!$D<+cU7yxWhHjhY9w(RMn5+cZdv6>6anmJ$nzTW`)-vVx`}} zNdxaje7-~|CQa{&LyN)UCVOs(SO$0BGh74)b%N{&k-sR=k>bfIV57u|NW7smL$tXC zYZ>B9Hx%%jxRU}~55#Z3!q{MS21m$nwcY^`C#s2Dj3=uqXWQxO!s{R|Q9r@^D8?4G z8Sa6sNBB@q=kb9Tz)ddN9QitX1K-2;o8K)4GH48)r>UZ5T@OlO@ z6Hm3pTOnWlm7~zD@iMP91M#UStyY(PsQ(LFN`hVcQ3fb=rd>cW4XgG;zWKZ)&|l{( z9Y)t`XL0SD2hkg2;I`7J;xKWj7TDuN>^flSqMi-bEOF@@VDp4l5Ma5eb`@Zgn7$EZ zekm5?T-5WGV2uNw-9pxRkC2t#D`c(r30dv01#9d%Bv^g7`J)cPQqG@hppaa5J*Z=z z!R*iY?-3O?o;#Tb^uop1weg)pgUx$cH+3Neu`aQJ2CJcK)nuI zg1s9vmiHiEE#88JSl)pmski|~xy4;!ruzAwFjKDpC$Oe%zd#;m;Vl6si*fBh`&3Nb z3~Z@*%4cGkxV{I(<)Ttifb~Le4?`QoHm+V9#pClZ{-vl}g;UcmQ6Gou##ds57thJSyjM0EgG<-Gh#V6Dc8h9&Y#ys-x|)jxgtKG;JAf8b-(0xCv*1QSuqngwbA~#eK=nHs9ZOS$CuIfDi<{xT~ zC%_)6{qF;Ns%8{~{%EZq-RX2~Z#{si+H#JP*;?xXC}NKGDV^h`TBp8HSf&-CgSb)K zx&xA%v|>Ae?bhBa50O1uf9_;XXbad*{D{sD%qDV_oB^dTaeRSkK+em} z<3QM{&8`HnPuqzX*^CML2cux+Q+@Sc09*Bxd;nkR!0;{SArJii>hP6 zI4CyeLk$j#h(Rd&h-iiPUyN_nz~~PlenLI^JiudhX9Ac{)MuRNpQ;PG0ehx4>j2Rq z+H=LBKUCY>6vQ-bD3|=<+UORL9I3_N4Gd$H*832^Snc=UK^&(o=XyOs>%b}YW9>Kx z(Uymc_tzV>B|QN)Y2S0T-lE;>3hWEbXbfzdw&5To zw`&hfU^}(r`1v5?ORZsZV7s+(iy*m2o56)>pH_$c=9M_sx1UR9sA~>lX;O60!R%io^p4LXB0i4zHq`=xatrn+(^IDVk zz%FQqIR*Tn)hq+OAGKzuf&HYF=PkUV4ZjNFH7&OT$?ID6I_Q}jT1QMY0pq52{|uPF zYV(Gpq+8l+p99>{3UV&Gt2Js4(ciUp6M)^*e0&D})HLpR?`xMw1AC~&T?2TeeZ;~4 zL~A|<;4f_y0wl&WEq*J&V7=~CC=AtKtbu}t=`X$jk>Pqyc0yo;zI`{)QTpXiz#Og5 z=6D>ZSNRBv;o=^1tyiMtP6}&}iOwq4zhMuF}^Z`uMTjLj2 zjOqHjtx@d^{ke}poT(SB4uv^-;u2tU^&-DOWWIiHGQfg>UVuY>q5k4FFc<0PIPEUh zZ`SA7(O1*yU#9=YoxyT_d`Iwh=`oFgeWmYg3Ep1)Rd&KYJ%KCkemyt7w>Q4gZ*s*u zsL%9*cSzqp2jGbQ3U|{-^*!sMeq1ko9U|ZAf#y|F{LlKE@4(s(J*hINzvz`l0Q{<3 zdBD4^_lA#V+|h3=fZ}iZ+w_Qk*9&l;_ov=<3PkSf>wZHKkMz7G%>vwLY^n)sn+$C@%KgHa#>srEk$oOCwj0y% zE}gN%h+?$iOJj64NbWNF(SzG@zx)hsf7P3YUa$j4|yXa==(s0t$x= zjX{sY#;Y{}jv6KTP#rTmP2g_sTVvGwKu;L4Lm+y}DD@F!P8)mH0h}}5ng*la8^ySs zT{NcBo4#aJe+-4o#!32iKN&W?#H&Uv4%ur)-+ll;8}$dm*bO7kEmS7mQ?x4dCVP5w zM?A%Illv0KGrT;0-O`xm8G|>;jOm_~GBA_jnZWJGOwXVT0JA;qS3-1-rxR|1G0#)= zK1Akwnl*%(&phAZU0h?K=XdUPKKDdM04(;H<5AJ2o*QiaGEWWm^9s+oXV6>eQFFt} zYEPk?z}9%az?2d&)_GcY0lMDfVG$cWkJ|#<1p*j3jESj`UQZmJU7~-q}`s90&8bI%jw>p^K7Ggc){~RIIxSJ_8cWY zdM0swy6lO20sVZ%^X6vgUG;oM59GS1*IMv?_T(-MaMSZn9w_|cX-EI~mZw;6NZ$4Y z4#U{pfM@?zpuc%?y$aELo;rI0{_qU^1{(K0S06*;fu|vt?nj6-YWV z271!FnGet@Z%xh{r@aT~L;sxjQZewp_cpBq(Tm=#oQW@aUupyFNAGwBC@yqdh(+C0bZ9%F9em>Fk&d>+_%v(F>goM<{V7%`q?s(-;uy1BO+ zn3GL!C4e<%V|t`(&7RyatT*%ZfyM^&7Pbk-CNp6RY;QJaj{$M3`Da}ax0!_$U^~oh zT=90A*9t&nmsxK;On+sz909P`^nM4)eP*3`0Nd!V018*l26I6STr(?w1eu@BWt^dIn7^C?^%rw0PXm56 zAF(mF&G{T2cg*2jF~?Y&qJWLHKIjW!F8w&ryLZ>?4B zC+MxSEH2v{t@PZ$Hd%pfMIp1rx>Eq)3+sg^u(r+W#(Tfr8t^5soz_py0KT+(b0+`F zn#Vfrwkn20WUp0?gLI$OI1wWItr=4RzOf!~TpYA=L;)PKN^e1dN3HWap>WI^p959< z)@sU#`w8pa0E5EcS;rj6oU-PpLjR1_h+fB8tKm5mc-JzxSo~&HgS&3rv%2#B{$ah( z;L3d~l0M=C>k18uUlo#p?kZWB*(W*f{&09{|SN7aBq1V>{|AU=!^=9)M5mCcLBR z_IF`Wm}0-f@SJ1k7!Pck{RW-V>2~!KsP;_z%nX28w&xY-&9NKv_Rh6yUgGmN-!4}j z8Vl@*H&Mhwd-)lFMRpPwi^cYsPS99lZ#My!*}cv|a=BfN19_!AfP1%9_H!0^YiunY zM%UW;enz?L?LWr@+hD)Rd$7rV>p6hU_DDu}zp%qO% z`{OrZbeH`tPaqE4E60L(#NJaDibw4(eAJHFXY+%1+}@$07T?XTCX5dX466uI`_i%S(Z`&@8!A2@eJgcsd6Pe zZbq*K@mq5VhwBM*9jEV;=GzRId}n?-8^lxQAB>lsHlNUYI%BTu17qKt4d||&H)EvY z1v5VzdeMw>z`SJseh%0V=0P48{b)8|eDku|vjNQfWVWKibj7?~8{n!r`W8g4nOAsX zcikMsb>?UDkq-46=2R|BH_d8!A^D5Bl+X3AW-NW)JLbg-!0wuNPcUl#oB3uHi2iQ& ze+Y2TOr!Vqhnbx*gFnqN6uocSmjE7^2Y!YABeO6&=&`wNA|#)fW*op@X0Fdcd}=ms z1@O!qR1*q=t;QR{8)7Zk1=B;Vt1p2#%=*1KMAEFE;VlM?;a0*8Fh^L2xjPOk~Jg) zHb1fMj)OwF)i)A7GsUXN)!nfkd=AN})*4P@foaxT^g5?oOX(ubv^u;5jagPTW8*cl{MDp!4O$% zExrnDoi(K@B-dL@dH%A&D!{p7W5D`wJ*;iAZnXfq*?RaXuq{@LelYWeb!Q?Jw_5Me zq1|TX*$LiuD|$Gv9oCPd0d`t#7&HCSdh!t@cUh>wCbOG^@HKH}ZVXGML=n<>hHt>#G z+3A-avz{LX;&BT*IW8N^Ih&ra`m96oC$0GUko?XvmciyJYvPOGowh__fHT&~4R zu2_wh0J~=8DhKuJ)_Lx#f42S_1aQOpm22)zYYgYOU#uesQJG(@ceoF}WwoH|dfTdS zs3=75SnUn~y=yfu2kbX%*Hu{i-D=4e-m|(41o02+e4;aFJ>FzUqhtEW`kcPXW9w}W z-6z(o<012xrE~{)YAu%gOKZvs6g=3znE=~E>}OnphuZj69q?UT0wR@gTxfVk4GPS0+YeVPx#YWw+YP*`Jk zm;&Nj``%?puCrU009bEtUJBv{yTNDlTsGR#+-7dF!|nmwY#*-(Y>WMh4(toNqycMN z?P=cvY_mVB4E^o)5C)dNw7c_UW0&2V;s3Af0Ug2HZLd9va`)I52Exi-JBg9tefH4X z5c%4Ei3ei)?bPZZeq-PKnfuZM_A%}%4%!J^SP$9Rr-FId-nk0kh<%nWPM+88ZTA3vwv!gX=nXsY1;9=FL;8Zh*prt7{Aw@d>k7B*0$hS`+o?AJ z?$~2jLN9RFzQF+6Z}xd^gnzfc;{Cm6kEECVhh6>|Wd5`Zb9K6J-=$mez&@KDk`L|U zdq8|-f6l$e1mE>sve$*f?K49U|j>of+Aj;CpW@ zY=7*FnGbBDPhSc!$=7Waz$d;Z+`p&$I$AI`*>|i5h@bl2uLR;0-}lc!&+)YxHv`P6 zzU7>nruiB&@HXAI?mK`PzKs^ z!JFqhkO*wP@3V^l3w+Ve$D83IRc(gaFZG>x6<~$0GQ*^+eIBlj>wK63G5&pdxnJ7i zTgw3OHs7Q&C~v#(%4L+W!`HP2^mh7Mali7VZ{$yq+~teT2I5z~v2{V*?d$g$^!E79 z^R1A*zV_tp^IhR+{@V9u;25a;eKX$y_{O(_)8zr*o9vl`K4%aV5BZu80`agf*M4Xm z@zqEI@2GDqr^#c!ZFE|W`-WMndaKU$m(SVD-{_y#XOTNnVc7E`+-UQ;0zEW7ejmy3+X~2H+ zE$)q0T=7{PPgi{_d02bRr#FYfb>A??dVlssGLU-1H-c@s>8rRDykC6p&xYi$zEwV` z-|}6Hz=yoXZQnv}*Y5Ztd0+4P8oUe9-+ZOGEd1`f$C%zdUz7I0{_v%>1o+c8pF{S( z&t~-Sfvq_VDvG4IGAU^S3XbJ2uUnf3WPklq0qn*!uxxa$sQ2&xI z8F?D!A9oDQH2+HsxDEGzItMZ%{5iPO80oM38i=F(C%I6M_Twk+G2;E-Ob0gBKY_e) z{!`guZM^^N%ivA$?|cr#kNutkusPA+w-~@Ae+dRJKJn*bcr)F9Yb6vW`xlM`7WmX( zn{kdQ{=sE|I{qUJO-%LA;Osul->V6T)BP`Sy`JHJjc!hczvg;?nf_99V04!M@ic(h z{+!PN%<il)E{{i)Mfr58&Sk^|1Vw;SNMOQ2jWV9{2b`7@*k)Kh1LElJh)rqug9fot-s^* z0PFl8^U+@KFJ1*A8~jxqu}wr3_k0E37XJ+n=P&%9l?89B|A-7%_`l$6x7{Bx6<~+I zODm`5HY~e3Bbs0P{jWpufd7-3sLWx1wnorD=5JF9`p5kpIdV_>I}QN&&Y#Ln&?$ej zYQRqW@8$<~#-GZq=UM-r|A(XNj*IGA!p4MDwimYdzAVHNO?{g35;ZX~%_PQ>qUo{4 z5|fyvN(TYyf)o)%iXu(v2!d2ADpG8S2qMiwllRU0>-){jH*@aZd(SyDb1q6H(G^GL z1;lK3%%u0R!*TZ^C_5dUsFT^{m@S2L)v?A0BV2Qwv{Q9_-7)tfv^N}^X>+>iSpFxZ zZbw52{BJq(=xO&lN>2gqIPRs|qtB7o45{C7oel1H9UIPqIpDa9_K$mxhiQ)(bo`cw zU_*`@Y2z7oy!t8pMjS6vD{|EFAw9zTju&rJj`_fGIvCW4jz6Nq=|xxYZde2(_Xs$VjM0p@YV23 zWz^GSI>7jj*3LA>uqRE*bcWv_@IT0?q1+{dVg3)OhZr1cb7nH;QX@Buv7!hb*^JLD zkPb6y{{rO*W95?=K9`Y8Tl!JPIAuWjjJwqQC}8{$04QW6kV6q;@EVxKjMc%QlrTc* z6)0s)Q=h?J#+aJ~tYo;p3QiTHkoK<=j2env&B)tB%;j3u^`I~*n%(Nw`qGZw9e z(v8WZPMbS3S zAO$n;;$P!%2xST=Oc-;^uLu*)%ohXpFmt(pC}waI9HN;M?}HMYMZ0h_a~Hi(EzHf?P@ZLmRKf2YQ){Q)={z%NImW%nJW36POUy``IhUEE6ts;w z_6MSMFh8b*wUa4HgR+a+MtA5c^A~Do-DJ++3|kNLAnjbYnTA=AdYRb~@VmpTNPyJG zocA>R`kC<;;dhsL^cye-m~W2KB6g4IPN!#sOjR$WAtr~GfnlasH)4-4Pn`gaG6i(` z_nGPRDm-FNehtbPlm6LShjHecj}d!Ssj zJfgU>@>f9eV6pb#3q1!<)?!K&yjU?60B_c}F);38Eu=-;kM&9rl>V%p6^I?cdLs|E zKvoMqtsvHiR@j1Bl6x2_g!O(Ml)G6aZ$S!Wg-~V@#@bGGayaYl-{H51^&l0t2v*!b zfJl~HNxhCJmWp~bv8>{HM2lk?_JO*W#iy)2o|XCplnJb;zY%R8E8-}mMAkqtAc=L4 znvcmWKHb?ARu0{g{Vef4*iu<*qaht&$$x__ja9i2QaUTr6$2e&eMH4zCd*9CR(lrf z1L_rLv-EU+o5Qlvr>Tcojw^8$M_95+KrX9Eg)m21@=i#3EH5D(@>!4R(6E5@8Wq2V ztTDgTH(A-VgLJd@+i5kp#rpd?pof)2ckec(K*n z?yy$TGwfqEZ9~w0mi%A%-(%tbPr!^}eR&u##9F=t?!zqZTW}v?*{QoQ%39q3_xmiT z&7eGBMbeFZ$a10e;t|V*a;7oXlK+1pInG*p9MlPx4?WRImN#|0r&tT9%lDX-^G>NxQo4f5gdHjZ&6z2%f5>K zwSym9L^sc$y_=fw0qlRjrz9eft)w&bAhvK39D~{1Za9XrLphMb*h{Ia8P5KO7K1(P zwKjx_V6$gK8Oc6QbyyU;U=$F|*7M*O!`>SKN-Vqb8X%7SBW-7U+4p6Dc=l)XKoi(u zw4m-|Ka8Wlf=FclNG;YRc83O#%uc0mBvROs8vy&+%jKY^vaiwZbbwuZ7GcuZ5p?#R z&VD}+(n0oOI(5rnkJ{jHi2W0_XfoLfe>h~Z2kJq|W~-6Mj25)JCAN+0lV4-oI>_{2cRrs|4PgBG4{EwkdCtjlwlXMXKCPA!k(b#Tgon^ zT%wHqn;)Q@JxvF<73|l`;84kKp9!d9|1QK8o?x&28}8NYR4JG>?1?5YPukfLvC!7C z%V^80V^>n`bBeu`j*;rwpZq_yW+UOne!_ky2GGRLd>uiX*)G&~ZDBLs0i0%!(h7ct z{d76tEW5iI$_woL8aTAFhYA4~*?%+xF0uaz$MBcg^nV*Tw6X2p-{ab@uowKl2hQ#i zAa)0P6E)2`*#Vy+Ocy()4boM1Dy>u3*tco*y3Wq{5BzShms7rdliltQshhosa*$i> zFMI(#>{dEhzs*)WLX=+iwj5mA9rm_DKp(r2uBo5B-%csmUAANe!~ymJYJA>f$5Gp8 zkp0dNpboL0I|#}!`=jTe9AS6RN<7N`o8F6u?Dy$qd&HKjA&s#+Xq6vlo6LX-cGj~{ zPO{zSz+sBLhz>j+v%jG(!8ChX1#sh>JVD!%JLj7(K=t69{~6%P(bBK*;;jA&7vs%w zr1`gtvy#48^5J+c2l#Sw=uE_q^G_ncpR?shC<8eCl+p)sA}KQn;us`=V9txr!xq9> zPY$~|e%}H@IV1EbXc#B=B=ye1IX}G(?HVjszUwbRf62JEU=*r1RT!&J5as4ssMl2$RA2YBe~AIPMOBOip7Rlvx}nH;kLj z$#n7yJ|B!cB}TT8l9dd_rn)abSPET^Kgx8-N@)00_ zyZt**1G$%IQw-vA>Bj_fJE)2c;XX$d-)?SN4Je^p`wl=D_fM*j!@2$RdhFp&KZ&b| z;4Y#28_5mtLd+=cXOy%=bF=B4iQ)P@N%?0imqAl4j(g<+ID5I@^};cp`>+U{1nx6C zVN2rje#KQJa~r>ae+qYUA7DTC*>>1cxe4v?JHUOBO7S#qat@?)uJ|k{2e}bL2$sRE z@CO{?9--ttlWQsmWO0|4Q4yWZO`}~ghucCc>S6A`36PF(|BXlNTyE%Hz)|i6TD|hP zKII6L&kdj*x_~R8K4c+xX%wU)?xLk&9^;;+q~$o*Lgjif_glJoC0yGxV3u-6*MU;T zeU;Y0a;}7Wl=cd)^cu7$xFxResOHA~KiA_X{sYcQF6aM6H239iAk}eK(i?n=yN$}% zdhQ2H;NQRvY=zXw4Wq716Zc6mf;Dqfsa4s+wbKJV&DGIHaE9AM#mZT3DBbpp6?$d(;)~4SFxyxvxA2hYl`- zZeAx>uoILn?yrSVUgh4P&GZ^~HSO2exvi9FcXJKZkZy6`q{O0!J4yHVHrJkU2GM%C zE9ipnaNnB-wU7IQ9Grgc4JvK#a>v)eaezB#2+Vujg%U6axf^@|L)EGf0fXh;W`H)-j1>h0a769cKcQLgL$GM(%+GHlUL3CU*$vsBf z?-bWW>B?hng%6a|+(b$#+<3?7O>*b?&{F8Z6I*dHo;({9OfR0L8cH8t!aOK_c@K6# z^5gx-2Fah-N(FKNZ-fpr0(ox+z#)h?a~7mv-i*)f7$SsMM=9fO-f$2gl=li1LSej= zx8WGh3!|KR5AQA7K_Yl-9wBHXuh|h{qIg68aERuuEQCW0ZzrA1#Par2ni9uLd>PEW zypaz8@w`VJa7f_EX2Z6Rw}7U7BCniBo#iCn4~q~xnYZB%j48a6lzs2#y}uuhsk}>c ze0hMkpGug6yrY!$XYlk}AsynWmf_MedCz5cfOe2Vo_Z6EMZ7kuVvq5*^&re~-iy@s zE#|2^!7Sm?zm)7y$~&EkXl1;!v{01uat=XR!J8QmsN`+D0rx82K6=_Gcz+#7%xa$U z6EJId52^inlK1KJP}*yG@l>tU@t!fk*uc9=haru;`YuRKyjD7+Yv$#(f!f0Rw*t!3 zyvly~pW#hXMR1m>`gxoySYOuwd9O^WJzC z$~N9-w0K>y^Il$xFzq~43z$y4cj($Wc{6B^b@9?!822jgz7cSZH=FAF>%8rc05^CI zRP)^AX=uiD^UhPwb&Dsa-M@!dLS^7>UX&mFdU+RuF#H|-6+5K6ybs@j`vC7WJ%f8Z z`@-iy9pv4<1nm%SE~Qt)y!ah3j_|&4hBV6Cy8x8?yl?44k_WszdcF^N|EYt+BVI=v zV2t-0_4USiA;WN(;H54DO!8i!!;mT7?qhI&%xl;P<}@!#0&wFuQ+L6g?{BAOqX+*L zS}8pF%ics7FTTq<7`^#BsLbERAKDJ35C7v;aP;Lz(C+WYf9qdR{P_j6Wd!h}>Eoh6 z{%z{JhVa?xfZhD4t$hC@7mFc8B_^;4)XY<>sggL@*rp-8){|&Vsj`EWjxQaafmMS>p^XpGRD&T)f-|-dl z*GIswh<|7il*jlrlz$%QFQh2Ne4l4&{*~}`A&6GWZ>$Bij6d22YB@hi2B_ccPD*j5!hbp=q?@J~{iaFSn1XEC+>d+TAVU`&+!+`#<=JC{}AT_Ka~srR{n>y zE??veUWM`!KZzED%lvnrfYipH{U#_^_%E%3vYlUc4#7J3L!*FBzVEZ3bn*MlkgoDC z)5o#b_-}=RdEL(6N3G!-{EgG#+~j*Ffz!?3LkAbP_?vVXu!p}Y1Gd|I3pGG``R_Nu z;SQfm6R3~>Cr!$JeiOCX?(&~#M9=|#2_-G}__JvP8RQ4hG3^llLn{1+`DsNkbiV9#7F!&=Rh6f-ztW3obS*N{|SC*7^F%5mnOgzKVk`(kNGq4LB7K@ z|3%8A+ywR1%61pL77NKkaFc2ePr;L<^b&Ycy5TJ-`W^1O1k+C=rjH=H5KLdeCw6)h z{RID+501ZJ#{xirpnevNfdUYgy zc}6fqd-++xAD=*ZPVfblMdtK^>t z1+8K5?+~o(g0fTa#U4<)1i#fnc~#Jm2KQ@%S#LtRE=Xb_$_>Hc2>9I;{PYH(TQJlL z+bu!FPkcp#KJZrI6#HLsNj{`fcpYA9nw7zq|M&W{8M^cBsR zVCQzk92YF5cWp{w&kw*zj|DSma!m`4(Cg(UoI4H4T^K=Yf`_o(3E(MY(|+J3JTQzQ zyoK>Eg1Jkmu0&8D;V5xR(wUGlbV}0uBie(u2(uo~BJPOE{CN z*KDDLo=A?+o1V{MVgCv+j|g9(lrLAfum;Mb!ow6ZPk1*BkS}cXr2c1t(D*Y3EEIku z2en9;bsTU^IEPY-*k zzt%w6EELtjqeb|f4scpHpPtAWp}P`SaaQPC56U^=#74k*;k>y}UJx!F2DA#hpT>X} zg*m-&xFkG4?VHQOI(jeKgnwG#a7C!0n`dtqj#9UvL-;1GsGY*C(a?4Y*QP_dDhyu_ z+ihXtQ9!@&aSD`!Lem|Dc_h5O8AFT0cv>c~?0pPIHAtx|%ot}6RF^@VOraV2*X+PEV`A()NvC&wFjB44M*2hFI;ZDbKsn{K_A;Qs$&qTbMyFplVT2~9 zrx!!n;?#JJ3bE5pSs@V5IJt=7f7U6Aitlqy%jr|G3r<^o5$%#wJx$8XPM_X~vfasp zGLkN*;6y~b?lgZ7l-*9VzlQR*labP^KBrk!Gz>VsOXbu(r%kkp4?A(`FV#n!HoAiH zz{y@m``<&Sv+KbbcaqZ4=#*1n6sT^ZCkp}YA|`daLqvZ}VTj!#GZX%yA|@p^VWMy6 zK^ZQ3O#*3;Xc^t^2+;>3_(h8T{S?e7(Z{bs87*2g4oZyZc@v~q(LqYm;zYM=Y5wgM zt@{kdeWEsccM?U%sJ2WJy+Y?x`$bPt12a{$lHSe(qTL?=(nR~8gEC!|Ov}b0kvk2q96v8X2zQi&*)IxeN6f@AQn5qZ-Q)Jf3_S}1BoPoZRUs1sqy$72>b$)Kzk z{p$~@K~zM`WTR*ieJ<1_ntcIMvnb&v99l$w7K3tH6mbD?#x7#hVt-cj7hUi<(J88V z&Wj%R0xpQWXMxiy`qCSY7ey;~Bg`dHc?+b=qO=M~ZK9=gX;(y!&4|)2`i%}>J4B0+ zSvYixDrw?%i6k^Du8KBO_IyqB>`W-HiyCM@xFNFd`~sJFQbyI$oM5}gQAaV(H#*?IGYi5@|dz;Fu_g4v)q~Qyq||M3I#AJQnSF z2x(g6PaCJ3_|u;O?&41BIe3WIP|4&e{+o>`UgA1WfVVh|@&sS;TW>(}6Mw11@cv>i z>h1-I-Fx5{DCSY#8YGVVllJ>y@tbBqh}e4(M%pc2Prcbt@#_f)6DF>u+%#M~b^(ri z#HxQ0G(!B*YfwgtXY2qaO8h=Gh@-_JD2ZJ+q1 zi?Ah%zZirvNz6J$e^Zkz?yG{BB7XH#aQ2IjPeV!-@1(nTK>QQ^iZt<&ix@s#>|um- zP;7BS>qFB}eupW6v#rZ}n;QkJ;=0Hkd3iOvt1CUM7-fAj8Q6Xq;jxKJeG(!cu-U0S)4^wV*VL zho&Gki3@4bZ5AI4#&|8_R{IOU(_+`-;G7Z9??>#j;=bL8eNOx*E&AuhuL$6HLHv&| zY^~x`QutpK51xeWlK6>#V7n}?r);=Qta5}KJFP7P;vxDZ@t(MvzTFxWzf^=U zL*npSP=>|N&@CAe$G;EesQAS!*zSuxlOa72chOXRDBkfEn2*H9rvPJOzXm8L#U-?7 zOo?k4v?4ziZ==O`T0FB495+cY)q(DkTmiy(NEU5_%~O)x3GkBO-!#NKFWH$3<}OJw zWm`THPZk_}C7Wmh`AJl?ar#RblxGA;%roH^C>f(3X^^CGKPbVH59nMgM3RL?%+PnJCKHXKqUnhsFx`z33GfvJ*L zsUS+1_|lp8K?&zsNEwoBO1ch7`p?2KQ}Xl@NLdo|_ke84UCNDfB+KN0!;+Rlz!6F4 zAegxl9i>7?CEqOsZqnY|Ai3HDN~6U7mNT$PGM_&9X_nkMf}hqR*-X>z1EW{>2(AE3M^aiSpxB?l|vFeDi*24z?>M*GQ#q<#R>sKiXq=f0%mEhryIo_ihe zQ1arhu#HLTDLEaN{OfH8PDm;y0F#n$#{g53#nfqfEP4JeJfs3(bE6YiyR|; zVG!fSN<(z8#YsPFgfc-I9}2&HQvVuAiP8(S10_kjKSj)B>8>xKOp#X7ezIRG+(;)< zsnUx{&>oP^T?K8L)PD!0bm_Pg;GndRx|TQqEjy)@}G5mDbT@&Xbl=K9?_DN6m@?>E;7a+6$#B2LvsW zx-WuwOuCR3q2tn(RK^xdi|MQIGU*^y{pHe+GT~SuJ^l@(O6gI$!Yb)&l)ayjj?-tX z)zWvU_^6RCEP(Q)^b~z3Q7aw&7*d_|BYMiGr1cU|>ZLEzR}<&#QaL@f^U|0fL2Z=| z>;hbr?xYsrCF!R$W80(;>3zH+{f#!KcIo{=C_AO8ZvncboH)Q$sb(SInsn&Dfa}s4 zY8Kv*W>cs2ru5UtfNrVgWek5y8aobKkMs!-41Y&zUlszfPr8c^yYEWZz6}2Xss0mq z+>@prgES;{_zKdnw2J;JVnpin6PWjpPCb-?vRQgaL9$Ezum#KBrH39O%OAlIyJd4IjSZEJ(`iPSOmrSn zxJ*i$#2(oSYX3yY=2Pt-EnD?AWkfNu2Oq;2C(EaLVXthQ8f5XZrvky*CmUM@N}^2a z1;->=2K^f&DYCb|gTsCq{<39;L#nKUK3qE>Q|^Lan(R8I3+Xam7iCTWyDbzN~Q$qym|o-t|IR z!KZ*CStxyHe@xc$A{>fkaR;F+k$ot`NTsqhrEn;dNq&H>T()Ezpi&kY38<1a(JFmH zHfsRPYFVji2IgOl?Dtk^Yh|C%53Q4hK8K4rB|Auc-+EceH*jo_ou!}MB%4XosafVu zH>gFnffk|DvII)F&&YDz0q10k`=C588~PN|1(}{^%q7`H%DFDfrf5NJlYK{Rkt?!Z zDI|NltjGhgJ7tfPK<$#LXhFUz`;$K2xF++YUwB=nbb!ZAnZy8Pw`@HJ%3HE%Y9#i^ zvX;SiTju#Q;Ers|3E28%&Rp2~WgnkHu)DH8YK{)b7Q6!GpzMql${|@3r76R9SyLWx zL{>pvol)6OGDr_(ztHo2D66&t9?ANss2!87qQmZSnJ29`ld@pi2&QEBHE?(=JGKv! zyFAthQ9R_T0x&)0x3_}nCEw1%HF?YXPC)4+-}(%gzVg3*hM%9@UY`K1zx=CLAO^_a zq((}Re8z4-u>1^_zajEWdb@YauhAA6D$jO>6fVCs4A>+8`Y%L_kZ)^)6e*viB^du} zia(Sw^5Sn0Gge+t4a_+C-GhL=^6l>-O1wPpci8sHQ|xD&=$Cs{x1Qx4hwS zL@uIRnJaH|L(HS{*JeY?lV6}lae@4)@2O!`C?C0vXhrh3y#UAL#&{@?%j2>ECGu00 zK$gn)pnP^HlMlCoQZBFKAzFpp^%j&>@|Q-yJRyJ23(RVHA@v7ptd>XE}VyGJ@O%%&9~*VUWBbz{*?i?KDm^V z|9<&XH2ht8B<&Rg@||o@?#Y+ZxI^+&v~vv0uSb9~B5$E1#s~7$xv)Ky*HA9-NPh7Q zq%ryHbTRgE`IpNPW>S83J*ZRiIrCtAEdSO9<+OY*720l!Cuvf9D1`GMc`7~|hNG9_ zNEEND5Kxr=(*y zNAUvP*-*te-R>|&pe<@@5M|kqX>f^EG^#*~Q?RI3+^c9Cf)uaF34?8)BI`v+i3$hm zLMJI4X9AKH3Di+YQ3O#(B2~eUf!_gz?`d3qnqqPXAYF0M3gtmXJJni;6wy>HWhy2f z!68eLN(FkhqSO8xI5~S- z4B(i;g}Trsie5gVlqy1}pe$45Q>I(4SVb38p}0>KdX=Iy7Pb?L>y%JcE8d<7WsPF& zxE+os6(80E>J*|Ga6F}mIEPEDSHy1sG$;;F!`7(SOzp2`#hnF!76s#L#6GR)rsCs_ zB5e$mvx<(75&OJi@%vC-Q21N{v??TVh;mV}nO>+%iuE*Zo8tCcuw7B8?$AF9(60Ds z4LDtj%hiCZiXEFV#5Kh^YL?tkv_^t@Q}O;n4AHF^q>0d@2tH}KXwmXVHa}m2w zkxZ#>zhc3Upxjk#rQ@o5iqU_;98?t32X{k?PpD8HR+wqcA5jFgQlsp?;tP7qA1Ko1 z!}w6~MjIR-DSkQ##|g#TqfkyN#%^PXDaG%1pqy63Ed<3)sZWLEu3SMYo2Rmff_f_# z(vr4I8OsOwC{yVt`6`b!0Q{7G)NKe*E=h!6pz_)TV%me0^S=cKEAyyc2vPn(2}7vz z$xJB2luvTu7_L119AJ;K`Zyp;`7FIx(aIIgaEMX*P+k_N?4`M~S800z?(xc1vN!m7h~R zRiu1K-PmKwd@3wTl#W!ilq&6I%b+b&ZixYuE00l0QK4*D2&hyBQle0$bfpZiMp^m? zqMcMOX#=xXxraXMtW!E9LwQPhb{mGMS0*OH)}Z`>op~%2SN75gzzt>LZNN?C<4`cWm2vL^ZYlqH z2xX6Q2k`e+CD8NPrK+G(!bf$h z2})m8D^)vws%q*Y1*rb0h7_n$Yy&e$wbTM;u*ybLB}Daj7nHkIQshT=hfvkd`=ExY zzIB5(T($f(Mv71!Dgrf9Rjh;*rSh%@M5_w^03}A{L77Rcs+&@hI92m`NPAW5yaDm5 z3zRe^s=lXnIZ0)H8<4DejY{$q)s=rh*{_lqFkY%^a2AyI11gVO2%4rk{3f*Ns?~?V z$xxl3yM9RZj2om()mM|SWvSk;gECvyMCEIaD*Xm*hgD&eb{tWyJ_t&#YOEMip6dPe zh@G!GOCM_%sP5B3QK(u(jm#p|QhG4QRQtDrdEBnrNX>&{6`y*gB`QDa0hFoM&~{j^ z3P^xdp_)tSY^Cboe-N}v6%`KU303**|aC~Rc)gz{JtuHe#8US zSq4UUs4Aw~IKU8AFGb_K{>4|djU!}^=Ug{1%NW0V<{o&`M{`hk+ebol)Z274(Q~-Z<+*&9D)S3^# z3{0+!r>P(P1xQy*_8{m%^=@iUWT>a8g?C7uM_Wdwx{Ru% zEOqtMVCJaVTu6u2QS@3KQQMveGgp1I9m=EX@2T9*Q}?t&%2$6!o4CC|{mvz53)RK+ zMjTUDJ&!QQ)gw9>i`5bId`r|E+LKGwrf4wB)ZSfya>?b?Va+hpKvaK9lk1%NBYIlr)f>Kp-v#yahY++??MltfOX_*lO}(t1TmxpC znn9W874X12?Q~O} zNZs~sb*M9Jx6}poVD_k2d=1KNbuDewz3Qa9fII4Aw7}g}XPE(m>aOBy=xwnQ|fDfW8BB;PFmfk)hF$N2)%(jqlETOmbhGIy+iF-9}|Dm-E}>%KzlIL&itlsUv}DwAPL&`4#l?bC>^!Iq@y zqh&T(6G=&4ipHT2(NZ;%&mbMptfTrqP4n`6#6GAoMYT;np<(y@0_ljxH6MPt8ZSeX zzETq-N0=&&jTWdA znp3XY05xJ(xi-q5H&8sm8c2o23QMh+&qUS<+OOvpg2E48Lg+8zD z)lAX4en%6z6VduL&0I)#HJLQvfW{bsXoH$xy#Pa+&_cklCiyq`jcS%80Pbr%8sPUp z!>6qBk>)W~Ib)jGGmyqLtyDQpYP`Nd%qh(nP58%}55p+yaMQLvfoSepXG*(0wA;&Z zZC={#)X?|V?)QbXOS_~U;Hw?$1^8*d5X0YJ8&4Z%kTx&?L4&o{C2$DQzIq*$Q0*`m z1BPk$Q4=~`dyOVlgjV$ln33A568J@FUu>afaEzAsEVQxO|4u_2r~QlS{CI7CCm=z) z>Kg>zr)AK@P15F9AWX9M;8RehXg@j&N~-pkMo0&=4!=T5(`u*#c~HCl5|kO*d(_7~ zq_t2EpQSCN?I>I8%!DmR>w600*^g*nrL;X)tEX4*sP;qZq33HGXxS*dM#4pFMKZ|w%D5vb&E0lKEYhR=@jt1=yw7xcL-RT6cMf-?8Hao3-efV=9L#gt^K=u=YpP_v@<+zw`t;cCd zx3sf2!J$X{?6;uY)_O|d*Q5lZ3)%V541)qB_3-3G65cGH$MPnOe?m-aa`L&p9D{6 zeQDX5)GnfOdrJG43*fOfg!YkXZT%l$y6LuThvcEtQC;DwTT8RTOV>kbmACGPXYKIV zrF&%-s6M*47Q*POOKOAUr@Oxa9{#%7kx&Nc>b39))RjJlEl8I`;|A-j6Ocl54Yc&{ z)~zgpL#S?m>VrMH+Fx+HB6JB8utn-R=`D%UIrhLIS{M2YC^5PxC+RRIR;MciCr-EH z3^;prgY8hVGMhp**Bp^4AkHzI4dcZ90Pivvh7g&}Qo% zZiSSi-BF#07VBaiiyD|Ex;eB$lddbp*d^UXs_U=lp4|ee zT_?0p;J0+>Ufcx7PTi|iP~6hJL|53On@0`7+q!fiJbHCOF9Gi87ErsaPj~uXK)-H+ z{%-NEu95nB1G+*El=pPrRMHOWS_ctjNLQQ)7}n*}k}{&(L5c1Iox@gK+C$xkwUpC7 z(#hn|j_D$)F*mL|Pp2UhxQ7Tcsq6a?)W^DW;b2bdirBEZ={sh^=C1#Qeuamg^EM=J z{Zh*6cIjEGq4d>TX{YnkzeJ5SfBm^}Cq84n=^=@al@@8TuZD?Wl4qQC44 z>TbOc8=O%6TsK^IxL$n$QlvhIhKSNXO}&*^{XLq#@%lv$pd{#(p0MrHchDaoCF+m4 z0+RGeEZCCuFVnX*Df(&J4)*JX-vUzgPZz@BfWBz@i5c@8()0^Lp-tDHnFsAb{lNlU zOoo07z0O&BOZ8#vq=B#eE1*Jhf_XR zqCZ57NxA+_+Kwvp)wE94=|7+>xIrIEv#C-4%3Dx2=?zq&HtW|=-{y=y(gNjq{d<)D zUeIr+CBIXD#s;ZNfB9>`RsBC&#Jr}@wwD60>&DN#uochV8U; zdm5gi!p+N&JrCe*I7%&mU4|4-F#QY)TG2ucJ801jGkmTA>@n=`0wu!GPk$y5Y1r-$ zWt3r8J{+PAU;e)yiZSqaf)i&jQ2S`FVdYg&;|-ruA(dbd20*#bu$WSrL__e`7&pmK zNo7K^!IuX}F?{zdn5l;HNJs|^Z|cBIGdxQ*Wx8S8dbl4n{8|8IhGE-BU>-6QPzjM~ zcrKILl>t#bLjnHm5K+WS0!=_+Jopyse{m`ohpDPfr z8E(A<>AGPi)kEEeyPHA1WoURE&|^qRhV8b&ybMyW;W%YNeFg>y}Ez+mt1hVh|c8Ff=18OABM9W%__ zi;I~s{4^IHlZGft!lw*JMxlIc7^H=D+Tcj*q=)gRL=5j~?EVJeWjyf?+`Ww(D6#i3 zI?&7FYjoTL2S4MAAUOCN6>nnr0OQ3z#0)mJ+vyZ1#5hG2-)`gJ>u?M;9+?Cu%&4c? z7;gOY1xS&`*#96*l=0J_!HhO~?|?1V7^MO1H8#?Y7jMj^JtM)mrUA-*Mn0upNyhn9 zJSQ8!{{d2p(U5~E`;C`hg7ScI%`{~OX~r;GZqtnwQ!pMfK5+w(X{@LFoMj9M0Aw4R z9)pr&{DaD|!^V|7z!4*d?nSP#oeoTn8jETn0 z)eGh^;}KfljvH4+P(PyB_@Nub5@VM;E~?b{BW-zQ#yhV=S!t}G?YGM4NHzEgs+&ZK4Gl+7^_(Bc_tT#5%+-flHaD%eZ`0w|iG#US) zWwO~=8Aktb%^BlfYJ8qG*3uhz&ZwlO+XbVI4xd_$i>d8<(O71N)MlLCfM3{QT=xW| zP7Hx@yNu&EBDLAhbv5P@JfjSJ}C{OdMO(%O5=SWcam9-|kv zcI>x}-IN0L8XM{C`i@a^08*cE!C^S|8^8H~b!6N|hcE-iO!_nAd&X_l-WxR5Ekl?g z<0_g5!$t*dsw2im`l4dg7}E~8ZxlU1%tyx8X*(M;uA<6k+&E3?`h?N^5tNg5;{Y46 zr;M^F7#|xo)a;u!W>^4jrs%~`x|`Hg26~tlZHI@a$r}lkgO^D|56#=;6@iQKHHGJb z5@714MK{pY{1b)`GR>i17;IvF3ucIEGfm3frW2IthMMNn?Fuv5|H_0IZmOcSdynZ) zHo`=h_#5CDX{yn{7G?T;E~wF_^VDpJF)>((9cwyIZT&b?Dz!8AniIPs=ijerD` zb08>5rikAlC7Vv1L+BJ!eJzyxO@*{^rJBARMX+?!D*I)KhfIa9fRkxrYz8OG^o9$J z*`{3jp*f~Yw7efSJ+Tnd5fe{_E6g>mAArMA)6EDl^Gq+l2FN$9qIA8$wCfOlyD43^Af6fE5-n3x_Yz?OB=P_=hX&rsl(qwAv zgRR*#I}OSfQxX+?r%gLDFy0x{T=HMK1bh4Gx}&sh-9n-b_a;ex63H&8E`lIgv; zY7!m`&g9#-NfG6SsVxu6nD^%QmAfwWXiMCHa1~;j>?2d(>gj6nKH%e;PKex z|0`hHWTt%1&FnLRt8h27kHXQz95Mi#r#XpoH7~PABy8U1%|`&c%u6Zv@G%F|THtH0 z+5&e!bI(g)`kP%%vtUM=Z;U{SGB2mqGTJGf!*)(|*Og zl{yzU%u#$8Z<_yD2Iw|#UILF><^tOPddy4I82+|dcpcDdcBSO-j`{o70Da~dy3hUQ z-SlAYnvZRV-+(!ux(oNr`TqqBnrjjPL*}%Nunn6pvH&CIBvOvr%`HcP_s!=iPk&%; zpeEl#^L9#YADJK0bQm+=q$OqCyx=CJ3A5iWFelAjFC)y9*^!nFH_I|Q%y73Xt_9P> zGTjF7w1jVggO{a^{*=tyvW+^zyDS5-u=!XP&<7~KmZ(zB%xCOR(i8BvWL!Yx0LyFT za0|2?eE@!t#pO9%QLyF32cU#l&Iwyd}hDb&J=1B6*(>1x9*KHtN($KvwI(N`44rOqAXug>o(f*A4))CEYaVlssYuV@kIBL<+E1qXr z!+?};(QpvEz_REs_!nAUPlt5e^7?y#VvC*{*d>l| z7U?e-!9HjyqD^eb5=iCOu!S9hXd{*$+FC{}laylJw^*V;ePGF?=DOecI!8E zP~dItq1(30nsEi-V_ia(hp+W-$`Jjm)zn_{x8_r_9$;;70VU9S;7dS|bvg{uf~_yp zWrtX8)KJ=O4SyTTQ0sfYBTAU{qzn*lT|j5(d#r^k0TI@q0zjnIetrl`68P&xQXn>vwcYdECm%MwDVJi|$&9_4XT3mRj#o z%ec&XoEmTCR)3l?71mLz5h|@kF9WKqLl0<@oUry%`BZJ4q?ul0UAGo+(%MNks@9rK zbFk(oh8~3b8SAObP@c7xe+tSu z>uSm~&s(dg=DlF`nTg?Bt#LD`?SIkAoCooeHL?lD%eW`-Z?iu11zfSFQWw76+D>Q7 z9o8=D>~vZ$EI_m_YY*ikSFIoVgL2L4brF>7)(Sd#?Y4HO18!T5JHYIrud)8ojX$Gz9e}Zzznn-7A!`7%-kVdTi?}9RF zjq=8CdT4E@bpd53pztG3|`4U9)b$*)8%>tZb zUIiu4Ibk)VAm_uhI|Mr~a)Nt^b9OzLyPcO(cPrfaPwE`+asK9OM2T>IZw4rl&V4_? zAJ?PgWZ?Jq%Dsm=0&@-|*;kHl71?Iq#+&${y> zWT5modwmVtZRhFp6f)=LeMFPC4(OdHdKoQ;XrT;ZXn1%cj&r z>0`^Ld*N^Ery+uD8>vX%ZJXf*O1Lde30tJiN*isAO>v!y`&e6eCLqpMaTVIVwq8GQ z5^QI-!egK9I-Nlz+9p2(CCTPT2}QC^{~{>+Z6DC8m1>)t4$1*r0;RiYwqut88Mb%d zf-=jdp$aS8*7+*@a%`W?gLK$trvri`w%j!iQFA0S#rB{N5w5_-rG&N6Rxld@ifl!T zKssiNqDAGn?Fq^$if!GL0hQQ#@&KhaRwXE9w%LEeuiQ400ja`v;xcTNww2TvsFJ1di8j)fI5OVf&j> zgPS%qI`GojK5v2TmMxPGX?tuFHGtc;J+$8S+FpJQ(jD8$Szz|r(&>Kp+or5=ziUgQ z7jn=xOpj~C#?PJk6W%Y|DPK5F+QzBJFl8(I2QY2>VI{!LWh>?2?k+J4;o;%ZPaPI7 z7sE+_x67x`z_!b!lctrA%V`UgzAkN4fBCsQeit0# zUGh=^2`=_6u^%5@Jn~ONN~vI0G$kGyL@DS7kJo3Lz~SJm$)7n zb6wt~RNA02lmj!A-q08U2VidV-pz`3j%TD@aqr_znb(>0EJSd?nb9sUapbD48 z)UvB|S$+bPYL`Q_Hq^Lmq?F{Oi-H!oW|tjHVQX;-eS)6$X_xjl0B2mj*ahP`7yWra ztIKcH(z)c4^)uj#%MbMKw7b+tAa;k#_W~$8UCgTx=DN!)H^2>-g&wfobWzc_3*9a& z8UeRlPT1hrD+tRC1(hn z5tlOBUPfJhrAKk!g-bc(0~Zb5goiG98xd{NW!GPjrd*0?g?sFxmV<=IY-9 z2Y1&yw03*Ax?~`Vr|T!VPr2Ie5Z72aD7#&kj6n)@ePb@d>~Z~?-m(bSCIcYS^-W47qg+!cd5Lo!rk}mnb^8Ep z@vi6S{wBDtqqF#Zu3q;b*%Mv0J8^AEuFb0f$*v;>a7=N%s)k3p>oH1>54yhk8ay&w z-=a3+A=mCKIA*%?Lorg8>r8rAvt7^7ewO2!PTAIB*YYDf}pz43@y?0nu$JRg2%C;4;>W+LGVxnL5heK6ahP6K~Rd=D|RfPBG|BeKMRxm z?!E8(z4yJ(lly)D`8__*th4HrJ+o%bn!V8?ekw#WEjovTciduV0nD7RSVAeglNM8M zf_Tc}V=YK#S!5N1c-rFIfe^{IaG=F;)}rkP5OXbVQpO_B;({#{@-1wt0bH=~?*(wt z!uXzEKDcDj&mQPyi*(v1u2_t&jy7Gjc)tYLHH%QXVZ3g!^bD{Y7T;0)d&gp)C$PH~ z>6NJBp2Y`Bs@%6|Kw-lJi#D;S@S(-Z%@8TJ_@3JP$b!9umB$u76oo&t2%@e1xy5&* zsZTE~wowdKX3@Y3W?otZ$AMXH(eMs5DlC2(0r1MA-x}~pFsMs%n^Y6& z`a4_|L1Pi2I$@;r=VsNrF#wUOeP6*^l&X;eSe&ZiBxr0^8EAFHtBTt~ahqyZJMa=z z6PBT-?J7@tcso=Ltst^fWkC^Sk}8G;R>?N{v#12IK)jIw&E zs_>>p7)?{1`vh=E_2X=S!>Xs(0Zyp)j)CoyssSe;dPiF{GjlivThr1Gl{a9P!2C#96G zs<Z@~D^*$Sg8DO61`c9I`MIhmy)O4c zRc8vQFI6X~hZU-8Ilx}2&L4%**Qz<>?%t@v>B#q1wa^-%Qk6TPG=Y|b(|LUd8=a+AYxplPNk#JYIP$z$gNS| zrU=1D-TDl`TJIti$5w6arY;%Nq30?SYR?qz& z#4YNbB>>Ut_lIC6M!ob0V6p0>bRLXTcc+_;t?JPV=*6o)>;twS<10<@uJO$XR&MSbGeQM*KJCI3MM^#Nvbt4>bBLQY)TVns>8d0cU+y-7rc{d=QOk_TU~1n zK#saO9rDhoH~$7+u6j7#h~%k<7@LBbudZJQXo1>`8g)+nbTYts^>(^tx}esRYq_Y7 z`v$fzt2@yh<`uPbG?pQy0B&H#9#?xsM6C2BVkEmbFyM}DUMUV^2=g=CHX5cSS57yM31IZAL z=?Sn;igG zV@A93WlePjz!gpPh7i4~Y3vEbYZ@oofv#(M(&E0MnHB+1q!}^*dUrKX=p1`bvy2Xs z_cddwF%LA(k0A0;le-d)Dc1BF2k=63doE0uX%rOHzSO)5r<7i~#%DKdS7^%Ugz!q^ zOzHX8nx+)eywP}%2Jx+?$s1trG^0x)`9bqc4a`M*gpwYv+MzUAJhWfc1kqC)M{dhY z>qqy{-rC}0P+z50OapPXb_l&?xJEmkZU}v}b*{pgajka7H!!nKJ9P_yuXg`TX!vQ5 z;d#mHwb{WS`fD?%!FGW5*dZteY1`ffaii8Z4wy;Xb0n}}ZLPNu3DG{HgGs11fFjQ@ z?PM9o!nGrOfJJDB(zVNG?Q}EfMQQgwhhB_Pd*Cr-VzrwV0K{p>*Ma_4?U*#k#A^}A zVU}q-(qm50Hl+7gwrdB{hP^}kb}Yb7t@8$m?9yJJ58iHVn;?KB?L!I1_G%@HMfPc5 zZh=U$_U8~(xL@0e#wkVnvoq9FwZ{2$eofP6(=#}ry%-9OL)ry_&^WH$6AIo5?V4NQ zoz#XN19nQgFcBhI+Bs1$b6VTAJ|wfXojQYnaX0Bxh^wW$=9UeH{Wt<5rP?0X0iJ2E?}U}-+Exr$nRcW-^j>No%m7xdZAi=a zwN|+k;Ei_WByxgpwQ?hXcUsTOuvV!Z{S4T9tp%N7K4>l41ANqeIS=5I_Cg_mi>@t2 zp02vrjnEi3T~9L*-E|LWZ}!lYHUrU9XWRv2Ub?~-;CbtsPXk!3`<4!#Yjs23qlR_5 z!&U#OudgnYI^(BXkp-jcbwPB53f6sj0MGUtx}scw z2;FeGCI1=RlEil+NS=-WJ^h+WDe&b!MPVF}m!Js3BH&iz3H3-BN5e01tI$w$#w(Be^pubZ$y*c!E=`K^!VYjZ75~+K1&*@SzQP-aC z2$OW99RT*~dR&6B{kn4%z*2M_Eg_kz%cr}iG+kde5D(}Q$*&&N&6)*c#zVSL+FTFo z9#azUh%Sq6f{*HUOaXRG7uO3|x=u|;)ePNF*U*?uT~}JECv~%E{hij0c?NJsH&F+L zv$_tHL(J8EI1Jl)I#nRl^L5DqP$qJq{Bi$YfY@X^~Jpp*9Tlo`sl{#ZZDA4yhg$=+5o%|N9_^2yf3g#!> z*cJdT`bKm&dOndr%{XH{SOVN*d4Qr|T z5_%MA`V-^~4(MM#130KZ?g^12`ok@OozUN=<$F?}7y#laePeP^S^D4sFm_ts|0G1R z^)X^$$0K_*&l& zJAhGsqYtKQueW-33L5Y9{bB(s_1k*G=zIP2Y7qUPKXL*3AN8+SfcHtCcoD$WFnK+I zo8hVlfV*LFM_BPNq>&HvG`y$RFue?ukAt_`Fkm-`YYlT+1Na%96~Xj+1Dgb%af4wz z-L7mjd{3P*88WYf8ER(tO-&=tvR8K7+Xl>d8h!BXV&24Si|? zq!{Lr?@l!o9RZeR==l`jfZ;p3PC01Ubr0Z>VE}EvhYe3=K=O#;pgq7*gK-Y59Wyk( z04&|mwq)$ZaPZ&CQgLl$U+7!G~2IGZvXk;0z9s@mX=(ibF zWE(nPglLZ8H%c*`F?7rZ@2sH>?G?F(Ry4AChWgilZtMt|`vz?hs1FRU$WJ~rJgx+@ z*s#zQMjsgpZh`mMP(oYQ6T@UW!#p*3)74aoVf1%sTB%_g9h;vS!q&jpbHi_4fxR$j zqaaykXmc6hrJ;b5@8yQy=#HeqkVy%YSB9(jvkI7r1{XR2zA;>&9pJ5DT6=(ZhB(TV zRvMHv2=5JJX(oIy99j+DN5l8kQT->wY>F{mEK6w$xLUrYjo!`Dk1hh;EpvVa=3zOI zhRfU1jHdG{%ZA&azS=UIp1~T+d~3SW^0D-$YsIyeZRY^2vs^VA)_g6su)Wpt^?YFQmUmsDx6N|Y3J?=4Z&PEoTeiOrY=>p}3-ESYcBTcp%d!#e z6}v4bQ16p0Jt>qh?zJ3FJKjFa0nU&~wmf|i)Kts2zXBYzG~EU`Vwp&dNw@3*XN^$9 zOr96J19lycti*4pgxC1(d<4O?yr?(%>cv(KU~!2vMgB{BQbe~bWiYtQYq^2@n|3@X zE23y)U&)n?A!^Jun(AqhkvdNf+{6wfK_Zylr|A^J2DE`>EL*<}yg0U*yv9~mJOBpc zS(_b@+{UUOg2;ZBJQNBkY&yMYkjhSVgJc>DO96I(jSGXqK^9>TaELj`sP-^>SPu0g z>?6(aqb$@&LDDhShHeGY*-c9*X0Wg6RFlajMnmQ}n{R@~2{vUjz)ALm4&Ardo;i># zVvAov@-~}KPVx?$Kq0_gcH(=0=d9~d5MQv1G!4tx6Y^&-*}Vq9%2_iq!m1Gw|LUjlgW@L0%r^6JL`ym*l_fH%J#f%dNAjtRh4^Ws#rVh#6t56p*G z9D(h%9D6V3G>@XhlrJyM2k_&U>p*Wkw=si4JReBUX&Y}ml@4PGypYlY`*@)Y{bb&e zqMQBvA)Rqjc$pd+sXVbSKpJmPG4ui6fntV(yd~wg4srV#P(RFHjD*M$-lYPKIm)-* zfXFf4g)$cD{4TlU46dZ=GkG1_t&ejF?;_xD4Ds(TL-ZscZ41#X{(LF0)BM;B)RfI* z4+A^H!_?4!$m=^oznEWY0`Q2hrYo<K$7nCB|APN@2cA(fn z4=+eqQhzs!8!f>z37Jkc!Qy)f#1Nq)?-nYi6~IcEcuY=ilX$%klHnqj5{?mKra8c7 zv4jr0k@Z9=ZRM#V+6*#jV$gDvg#q6*sOVja)1o=OE0Zm{H3G;Hjc8{-BSvlqc2?xa z!a%NwF#zO=(MwU|O>xBl-Ywxzdqj~Kn*wlKG~Eu{cZ69ds6Q3?Y3;`9K`2h8D#}t2s>j8(3fJtQ=sLdh!(M%)ZjSG_(*AeKn#?|P~a9WwWdfuPI{RG zY_~MO7$8-uhtqPE*JzPTi(M1;5azO2;Xzb+nhlu?aF&fa3fWw?q#k&e*o3MiDH}{r z{|YmDQG|b$%`k)db!I;q*dx~TJAlV*6Qw?%uwThvm9kZ%(71Q(0iBsD*<))cxbw$! zBJtqY_P`pJ&~M;*@p`m8SMjfDD*N*1K7mdhJzQ*^Zg(h!GB!`u$g<4l}KJp>69(} z3B7ZZz%w6$x1Gly1K7b|u0zvy@*!s-vWvHQ58`gV-;VbEJ={Qvt3*DgJF3{rZIVGe z$P4LtXYyK&K+NGv8mBYdy&Q_Uyo~apm-yqJ0GIjW*#KAho(SmO<+0Szd%TMdlK1&E zNr9SG;svT7r%xc3rCo(hFDac;kbcr!H+s`# zleFgsWHw8=6kJ3~%f17Mk{VHbxJ4?V_%d2r^CO5cQoS(%Tcvfq!HbvJ0a)8E?WqN9 zhtz}~%}(je1t{#2A_76&E%l_Slq7jfG#%^=pQCyB`(EE7(isfnIZ8UauqT6nxU!C< zvyK@nfcdf$9neUB7G4Om0qhfPTtVy}y_2(%ZCHg$Ol%NE%faj>W%@$c8egb~GN)Nk z-^6-ZfVi7|pf>Jd3+Wm>(a3JT0yBvP(e|*9r7wldF~(a$CY|kD0+|dpQ41`SWziM; zake!C#5@+81igILiza#j8}Jp>&#|9gf_I&DqAjC{)r$o2Hk)z|dUseoiec}vnG4W~ z`)n5F-ybmJG6DTZZ1#^pUo-oaP<+F*6jQ!sHz`zl$GqLabLR^vy!YTscLA*9X0&nn z^6TWX{kRJr+h^Z*Di-V!`>mleYFZcQTMuVw=x8LN&Ezqgm7t9Ecna<~o0(8GPDob* z{F0$YImxyygzV=~JioxdAw(B;SqpOxHLu|J3WW-4D?iayfzcQM85i~gEjL$YN6yiW zWzqr9oh`G0gan3%Q`PX=~zJyx3dqu0M*4foj}*DB$PjQrH?7P?H>kn-F2NI)Z;{5ls)@Vl+i2T z4$9s!qfz!b+!sczX450;JC)8q{jQS3>7V`qx&va!-3~luhjP%QA)pM|=a1@#R#I+n z*rj05hr3XQZA1$r9oR=EAzS#l&BHrE#G#Y|f2WGk5LxtyP7N-sHl4@ZSo35kd$3n@ zrR&S=AA;w{${bL~dL|5LR4D7KhDaEj{TyHudre2%I5y!Bu&r!3B^2V>8(P*$EFg}? ze=oba5a>Qu&=t&N=Jzv1kFhtAz|z_Llc*_!#ZXL=$p)4J9B0?)Re^lAI0bqIES9bl z&M}G3CxvW3T|%8_3rhelu)|-Y4;R_XGVmU-)tf+k$c7a|p_m;+&cP@@W%FnSl(6P> zh%aS_DTDHi?P&_d=WN;pR9Mb_TM1$Xd*TB#uUI!qtGs64#enyQMbTV-%c2*9=*_2& z`eP+}(oimPSO`1btXU6$b?g_i>dT%{e$tOQ`_SJtThAV}gQP!8{RPYbR;wG@v4QoP zhqeZ?FKEZ#$R@x`Vi zCqDww#KYb~(ip`v$AYJzUTcSWb$q#)1F^eDF3+z09djM80@IhN3a*;D;9mF!emo}=Gd{Gx*#M15{x{9E505=gb z0l;0v)9&pd>W_z>r|3`VdM`2cDipj$9F6uW@y5;4vbtvuNBk~gf)(YQv zh^`ZZ2Lkwt))}zoCsJu=^%u42q!cJpdqaJXc;F2zQQSHL$s|#o{P14!Jyo$!Y;^~5 zzc>~L$)jQ$<#>;Yn~x!qF0x}N=FAYUHh`Haexc*)abcYVjT6G7H+UySb`aWqN;o$| z^;sg}Cy1ODbuz%q7N_$enIrV(;GGd+9K5sQWo;01#r1O7%oD2K!16`Q=MX6n%c-H~ zgdYX$g(B(@`JeNmCC-HM1+k$RG8e^9w4N`C?zHP(7NKRpu83R;nXig$%BEctR+M|W zE=p*6-4O9~;JGP2Oo#d{p@@b^k*FOC;%!k!54}5LMOX0d3R{Zw?+F!k@V-dOqV@Mc zG!KVNv52`3qff;rI=rlsUM+{rYH0$+BR-Pj8W;_dzK(&JjZ({A5DAuCjzDjVG^P)D z(bAz1NXAOFweS?Q{j`XoFAKZo(e^XIHyEO`x9>(dXR#NI%l7%K_#WjzMX6 zg(6Y=x>PRcM?v1gc60=F@Sy8;$1E*Mr>7@j!nvz0Of33}wwA>nwAn22O+>l0jJmn3 z8{O?MFQq;5x6w3dSLD&@XJuqt(2e_V;dIG+o?C*t2y7Ne9oeEztDSki*4(|#1eaYm`f*{Ok~lhiE}~u`3$@ zyxAVoUd86pQEoNsF$oGjM%FAB8o_L3FMuc(f#^Zr!o0qLXf&HdTR{w)d<*)q>^u6H zD2^G+fNf=sB7nuSI<%u~V-5B|A%PWm18iq1da65EgX$33$xP(_cCiP+0K3`S0pRUn zC3J{LWX8e;peC{2^8xm<->gvKKK79ONHTld4%QG!(fK-sEu<%q%KC2xNMn)r0S>Zk z>S!i=tp_;H9(RH5Q|z})fCAP!0N@<^HUhjt_RB4RYwVr?xXv;tD{_PNGS-Lf2W&e9 z%nw<2im8j)rLCy`IonkV@Pgf@1yROA=*a$(b$9}Wa&}1r+aK6#bAXTR9s}_ct3?me zo8O~3w~Du=$a*zjN2mEUJh&1>AMRUqXyn%$Lt!1akEB@9mq$+r>c4SbQ$gIukFE!nz!#i_ViK=gg4XQkzpRDi0seXj zup|7uFF*#@(4#!X4`O6LPxl>Ou<)8SUJunb>;}b(Z`l$pi0{}(3agE7d~hzP?z}xc zaUXt^63*-S<&j_p@gh2J2J^`@5S#d&HdW1fxe{O-kGz8xB=Ec`f$!C0v6ZD?*63R=w;JYXb{f-yWIkl31pq$Tp{*+qi zDbBZnf|rQz4b$GD4T1HdP6T-V!ua7G&;YT0II0K}y(os*ByLm87a`s`K_*@#O@i%h zV(U8a62zuBDC`w{JctK{KZSLfVroAq=q zvis6ZI($8lawbFliF5@n=kw%?rg5KIpSHniR+Jr?KKD38X8h6u70fIe2>QbKSI{*& zbfSw}r`R1}uUJS)%#|}~8!_fh2gQYbO~(T_HmN2!>)5rkAo?;l15B=G4hMmSuoyb5 zZDCK(K_r^ZxCFDYY$iE}IQHOIfZZ%13cNjRB4xxA*%AUtY&1sM2&c4>YE5RTYhd&s zi<|IAC;C#4HSe24xZf{FP}N9N0cx^2NAc3!Z${(yqC^^16Z5927|b5JR5d6&4Ol2! zKrulWOQ*L4HnH2Z5X0H|5`YNCd|-7mn`t}&nMl_2H)upLHwCaQtVbF^H2Z26Kn(Mv zxI32J_5+Ax%_!{H%C=nu*v5)z)+Ml0yHQ038}t?+leHzMah&NXt8#)ZB!_mA`QAni zr>2bv=l@VktBsUNhBu=)GsvMxvq* z>|z%EIr5KeG`$eu&dt7nj0cZR1=EvHvIWtL-=lQA5AQ%m*Ycq@AX0JyH3jfcClEJq zo32m@AU{@Tv#QdqfzPog71BEPQ!F^SLo8j^eYT-rGH@x!#?Cv!0Z-~bQW1aOeA zwgm4Oe>4){1ka$8=P91Q2D~gj$_Ch3{-zUn=lI<|AR5o}^_0Ob<4Yz3E$7?mxKP1I zppyvSZ}$4bonNAJ{KyBCP@bTrZGT4JYA9{JXfv3ZP20sRR~i-vJDO;YwGdm<_lGu!T9;K_r?n z^3O5MkV0-Wt5aY~VtG zBkU@<;w;w2=nC{Sv)u~)Y-T?d)bp(J8}#x5OD2zgkyWETtBCcYBhzhmY8kLQEZq}L zyUS+KYIw>nQWCs`dDFq5l*#T;f5uvjgt6yrDy^v(>}WTDGWM-C0B)>WLA{(sAEo(U z!B#v2`idQ*^TBIYqJz;lY~}@kx2!4U$tzitLR9gA#n22`&vRcu(x3k@2OxmY8U>LJ z`~oGs0{O)W5DDV*DPgjar_t$V6CXqVEu7b*{7wYl^b;gE^AS@hK8)mNzeP<^d_E-@ zw(z8Lz@mBl6Mz`LmHv09Snf`FlsMj-eAHIH{thJLc?E5p+xWQZklfC@Q7V2X?>-vD zJpN`Th)=j79o0YOy)B?#%HMtgqMsj~aYi7*_+swnm}hL!r41YsI2XUto_#{BO`J6WT99^p&2IO_Ma&)$I2j zLGB3aoxisSxyuoH0lurD7Zi+ED;bc!yC^>Ccl{BR``4w@=>W$$P#Cz*55EUBsR!=h zUj>vWe60cfmm0MBPAu*K`lMFt|2UF(ydm?AJy3StORMbX7snydt%A~$-3 zv1geHHTAk(!wAtnIh%ouXiiID;pr;W={g$X&nWo&TXj5MTPWM*WxLMU~`GC10+}6r^AaItDw72FSZQ(RMjro{N_(| zC(-j71-HHKZbaF8-WVwNX_NuUA)f1?Hq_%g{2mrSQ*1<|tEgaPAq9-1X4izIwO`@QUJeWfd2zaug6rXspeP4p-&5$3! znqVF2$}xa#AiuH!`!BFSc8bnJLCk{O)JE1Q6Lp!`v#R`92kU%E;**H z>_8|$JX=Or8rxW22&^SA4MjqGnH3#V_c3d_OGsu370}zy_R%RfgyQK9K{nh9yhCg)gWh4*X9Rf0Bkb04h#qAtKY@9SS*C%R&gzo4$zTo1$7Zs8 zI<_2VXRm^Ig3bO7A}3jPU0|o!##YeFVzGOGon~EV)n_wz3Tkqg*+B5lFazy3XW6b? zV7bhjG9-Ddr7djc8(Cwz*DqjoEkQlUT2Yp(km=09e8+0vLrs;;mfn{7z&Z~G&yz1) z0iqXQ^%h1~@f_L_*YihFAo}yMbpQf*r5)5aaBV~A1@h>P5DDVLTS8$Ye@ctj#9P)t zeZkyVS`*9=zHcI^q5OypER5fs0&El91+0bhPCvj}1h2jv#LfJ?9w3qz4FZVb`)Qlm z!j&sgQ#5}}!Z@ z5H$wF=thy;3cN@$#||J$n0JE67IB5r716?+S`j0reFQI71kyW5aUyp*z*f;H0V2kD z(JTWR+eBC_24RQTeHt43#e!wPQp7h$0aAtL3^dY2Oi%C*i29VrJ}COr)H@^`?f@JX z71Zq`V&nsWqrybV$YY|9H6+u8yCq5Z-P8XvOb)yni*itN>cNmX?Z6!xJ^PPsC+Qq;PuAxKm|pBX?G)au zT0RukGw(ovQ1(qf)E&*z-=MECZ1pop#MR}r$Pf+d-B+kyc<1?C|{z}qZt?nf1oA~_8T31Vshz;;r{9Ihabb!9I4NQ^AZCfNMg?paifcnr(?wB8F7vYZWeH^Nh`>6q_C!cg zz@CaD>kox$Y$B#mTMd!e*=7qzJ|`WItPzJl zvjCpSevLtVA?Mu(D3f28!f1tTR|fD={?QQ?e!|~pl*~TooW>_WXXP0>Fc|kPg@y|Y z_y(eD*xq~qAGV(oB5PT*762Pr^V1M98QFMhM=uO-v+3AGl=2;`a+t0uC0}*$#-$NmlSDS){rt#!q;2q#= zsG$eB3te0s;^sZUJIu3-fgRyy6QFm2_bLFm$Vc{~S4A)J5p)2%%^m0fc!yu7ZQ?H1 zE(R#!4Qb~q<#)OPJmb6QAn}He?hVPeyp0dq^p5YL6<^6CRsq0~{S1*0e8&y&KJtZ^ zLHxvnY2mvFo71r3DqPGV;wH@ROJ*anBiy9FCF&uP=Y#4g##I1#iI!SuAZ0xTV3jb@ zaIY2%>A1Q^q)&xWAMudy+7Q->3FLgSkz|*&ueDC8LD2qa3IJlPq&4PH=9Zu(i*n80Co7W8#L@DcAY};V5XrBDTK|Y z-8Ga2jD~U;8@mD6Ru=05ES^mx@4Jl|Z}kD(%Upw@v5)O1XPV4vpNE;F>;MhlF=j_2 zmCiP`1IS@h@?qu-J4?CGv+ThlfJ+#7H-FEjhk^HjmBxYR%`ehL z^(x+Z8?e=U)Bx~sspADnA8tWgXE2YUBvlCa`v?%qw^N7^#yR=hP27e~H{tvi-To!; zvGr+R+s>B-0^PyabON>$cj?gB#lt269N^U#gLse+7ij(=9z79ePVxif6;AQ><3Y^g zO=kg|=8iPYviV>-CFJl33B)s8wgGmQPxt}6T)wz7)bse29{~#ZhhbIw8sGI2)^6}j zO5WV$`Yd3@ycUH`k9ZCpCLibo) zfGAN(>v4;yc^o1!qB~vv#fkJ;u$Ck$eZbo*hW7xrPuRBuFIiO5#oB)HVjXxXVm@K1 z;t8D|(?laRzyZO+0S<|J^w)5WhlRf-Y-fuHp=ea0m_jlBdEtKw;DXrV1euFs0p%+% ziL-S9E(`kr*uElG_`}Rqaoq{TYr=`P-0R|}x4>=)6;#_z zMe%m`_$|=wkw)B}PV{JcdEEoI&u-cs$Bcag`q;&uppW~(8kF&FZSi|TXF3l25>IKE ziN08OA&Y1Q+lx+*HL1yNHnvhw! z(jBF7Nfs(_VLOLH!IfE(r*&r!=RtTqtJxpY{w#@V-@vM+|Ix(~cgpMUW?O?Hc7!d> zhS(WqSq^Z4b=ZdLuCsw~#@L6lKi|j}tbycnc9K#~FIWP_H)U)h`L~zMozk)8%qk2D z6|BuNNWNmNC`J69&8IzoEl>XyOU{FK&*9EW^%E$BsGmI-- zp%Kni6G7aR#X# z^WuJ};t}uU3GkA4-VKp*9@z|71wVTW*e7284w#D=y8Xl8GW_EqDh- zyF@4)61n7;4vXAZz>Wy#DF8=BBCVbr@r<_gGvXty=(D2#Ojx-jR#$_S%i_1Y09QnR z%~|yDu9zDK^q!EtVf((w?E%qe;tp+9&qejlz+Q-}@c@+~XcZLRi)_jUd=SIv#`U9E zHOw@1Ca%is90Ai;YSa;;A<~{_s6SL1M|WspQg;J*nfjQw@d1?8_nM>HFE&AN7_YqB#F}C2LOAe1)E_dQ_7kF-f^jg z@n^sjk}eF)lhT}Nz)neNJs^`M_3aGeX=(XB5VIv$TE97xZ4-bq(uErkIV*)NM)kRp z-zZDvf&T^qOi%e&+A%iEJ1Hd- zDG#SaT9ho)=CDO>PVZz!%lULLiIGRhAjZmHQj8ZT7as-KBOlz3niA!pUjdTj@9jX` zD}VBZ!ajN2P$(RetGx%7F4v@3Izyg2m$DR@^5m~k;c z=;$rQ`FQY(6v^bTZY$J3f_O)f@C79ADzXz`?4II2MRWHR&B$*&P=r%%=b<7~0q|Ne zk`k+L6h`Aq=)YCS6#(xPS*<{=RCIX=4R7Ua+EG?1JM}|<2;m<=5U-V?^lpkBd-WEeqx#q558%xl)52-q9uPA^uzW%n{*`yG2q5mzN^ zN^dy4XOF$X!%ZtK-;XSv0eoVuHUhZtjpUv^`2srRui-Y;0DL*#B%*wx#W3ha@cVQM z+|1K{hGZn~98S(Ffh%`{xt-6y0puu1%ckUVE?G{J0Ipb`4sQ>+YnFq)MjbaSzo*XLvh15) zm9^nx6=r5iyb)t2%$P8*CO4~VTI|7FH*`mfL`^O$j$rE))oRIK&N^yJ^x*12%fOLD zD66Y%Ul$dNFjh})(gzP)5}R0kr49w-au}fmq&zS%}~V|%Z76Er7MJ)_^y%M z;(@uTy(fQO|J(M^RgS+###$-lX4~4BEWCKL`Zo~O|9?&Uf|}-N_y4SE?d<=tY3-|; z_TvKnb<^=7GM>6*#%=5TZ5x%;#>rlPwo&?H_-a%QU$7TfH~wSzYX3QWb!hlZMP9s# z`QMFIy+6jPK8+Oyt+g=yV&wj&1aCgU_;;iB6E%%v{Juvc^P^}aTX&F~&B1$F5@rD! z+NR;(G*oc8+N52wnW!%0u9`&jMbwbwT1`%aR7aMpg&o6>+6twjCOx_ycgS8{rOdBH zf-d2oT+OIlOJrpeoXjQV(5mt=N>TRAvc^E z59(JnWyP`m_@S(&3?r5#n$%X_r(a6T2QajmeW4tKACfYps!XF&G^iuDbBV^97VW=4 z)3CcqqG4T`kE-g$m-UoGusKP}=~Pn3&E!V$8JO{31)%M6+ZAajH6LP78p<&Oa;KVm zP0;Scg11S4HJ%w`6?ISYG!4?()R@k@ATG5(r8)|1}rofkM^hTE} z+ffaeCtGQYW#+}2{{YOJy}tx(6+4ggts;y~q}P@?0b8OiXyOnRZQxmz~5&HlRv$k8Q zek6OTilb~db?6v7N!?CoUsD@0SmsuMOm>vOakdmw4*TmD#5>8H2|LA7sQp>&3=vPW zRwS9t-c!TQv1k}ml(I-_jt_4|B5V0{YQ;KU07)aZy*O%(AOA$m^}Ijz)SthgP6qH2 z0vmWeG8)M1P=A8B(`JB;d>0utaeKmo`B@SP;c5Uy9QQz%6xZ;HF#xW6Y0x){33vjE zO=2*xs_|$uH_He{Tdz!%Kg^_OvKsF{0IXq?V*z~FY3kcr7C}#N9UDv{zN|k1Kh~SN zv7SYdf$p+s{99vhOiYU(M&q~D z$?KTtHWw+Qw{i`g34&SA{+R8%SmPGJj3Z!BEQUgOYR*Drags*2$sG9+7 zP#x4C!HO{BtJwct$MGfsj!R~Whx{o$jsNa3;IpQxaj1G6Da?z8`2ed)5B4w{z8Bya zYmD|+@$0=l3PPhr)V%&1Y0}J4QcvbQrYDfZBP8`rIf>?(O4uTAJ9;gODB>6*2o)a>Ku^uDF9jWbef!}VMnhgGkD)f5m;F$K5f@LX z)ToPcCu-s)`8sv!vV4nVuE@?*#Z~z+b?usLMbF^6Y(q}shCG(s%}u!>4bv_88VyU4 z>`%noa$~Cgj@%q`OTH^7QY-GsmuTMJmv2%FAIPaR*v5zQ+9<#$@(SwOOIb~0Tq!3~ z4}BEPsQ12#uc&E(il*Cse-ake@k>^XCwuDJRFy81dT-gCn%hxDTAib49J`#Qws!48 z9shYS)!1VrJ*}R(RQB3sqzO4VmHIX8a0bfJ-%?vA4y7qDX$PszZbt2$<3|0n%cBbH zCsFGb%%P4u-k~|WsFFImB9V-)97|0x<`Ko6O(qj-n1b|tSw|XGKjuSIB!IoopywaN z3TQfPWbV7_!M@F9`Q3~NrUm8O`sY+uoY;b5hsJ6s5hTjJc+vSY#Lfuo=%g| zjWe2sp1cWZtl{ot<}QCs6+Yz-R8t8rq@gP3JxSpme@5yqqA5KZS5ZtoTP60=oLVgk z$k=*OnKXB#o?4-pO^rD(0?FD1(Tnu2i=out8=?(~JQVH8 z_6OlgPvMj3K*QxK`O*NpNgb#r4{08mUN2b?h>%LDhG@w^k2zinpiXQzN^`4*R4O7f ziP9JJ?9NNE^sp~WD`+BJm+DhXi=-P={XJ<4J=kJt=ShI4(j@BrbLk?@AXhnsn&u|w z(1-@g@8}r>%g<;=g~>TI&%@;gG=@>~A{wA**?53v?^gLOJ<$Yt08OABawg4>J#ugA zeUe;BW3f-}OZusD6OueAms7omhjNR z>FIZ`pt83!wJ@6HeSu+vB=7a5$<~3l|Htz}^{Rl^f5G1bytV!ecxzV$yrmAjNz;E4 z@S4ULxq~UtkuUw*SXVi?1oeK7?Eb@F2GH>@l0FQ;T8sK$_%Z<1fBrDQh|9VEg)al> z@L%;|fYJSb<;#FSKMXMb?|d2X=Z68RfA(bn-`n(WPFAC38GoF{G<5<0=0C9{YAxhX z{%$qgeSy^=&scs2t8&&)IVk6pWuu(`j(*$wo<-?!iheuQ&qKNBBS|g^rE=L7Dp#x^ z?#es4D2+NQU0FWVeqUn$^>6GLaXI|Y{>aXM`fuzQ|HU8KDZ;w>PyUS^-*}=f zvW(9gOsR|ht0(%RYI81L^f#Mx%|AEiT2-5~YVkjA&UOFDM%Amz+|5}051yzk?!+Z| zzzy;;gO5@qM}{1FUqIp=;H@_-l}V>thharGNF;AUm;n{*ONfG5+;mgJ4Ae=O2R@|N5^% z=oQ2N@y8&>zy52Gf9qoq<6r+Z$iMY5$jra~YY;Oo{rESV*hB^HY)#u%@@D^uXc`C1 zzmKLDeWE>VUgMkC6Be$Z(mC)N$|X&2{INa!)tAS#hX48P@qhO6*!VARj}g!Q2QQC} z|MK?uKYMvR;$Pk#|67;GJXvk>b>pr6ak^Hk3b{_Y{Y}VKv^l+a3QUiiLM)&1iFP?nTW@fLz4TGmr`iJ>2@a5=aaF9G1{uYt09JpE|hP&srP{b0 zwEa@KYCHXC*H~GAYiCLP@RhQEBK`QWiSkv|kDtC)mh96KseM!BOuUySi4NZ=+ojSE zi)PBi1N1}HTsaS~7D+_ zF*^WWyB^xlrnjj@b*YKzXaTR0vim4^h)wy~R{UBo#cr|4>SnTUEX$^f2xSX-bkC92 z9XA##ze@4kFNz&Z-`a|AQWm$9q$?H{zuM2YwOC|t?=a2TVU|T7+eNnX>=(?pwR5r< zw!qe|*I;W4>!}X2Y@NWGZ*O60GfQqMTMT!wpOvzV%a!9z-Z=_$)08wJr8rEK{i{no zhuVxZb*`!SE@k;F*`hdQ_b|n>d8YhZLNayUFFKf7xF}^);w{m_6yd8-q%1t7s8dHV z&EDRr!}OH69EH`aM*W74Ht(%go9jF1I;hPxDz!n?No~6Arfe_kRJu+E)6+$wS<89$ zQ=QsRoi_XDU#B|EFmFG9<^t!RXD(QzYwx(A{S39iy!|Y#NwrvXOL?ME-W+E7@sQ%1 zlrP~V8Gmp_ z{Z20!SFKUXTKj6&Jmty4YU5d*QA-`2Z0Gm3cd+d}&wl#cl-`cj{F%~;%y*b>Ds-ym zVYC@(Wi`~=#%hSw$bMEsdyj9sz{#PVdD|+`zMG9LL}%IBO<&s1%*JK_1jqL7-*cpm zwM~C>5FBSOv$b(Dvl%mNKp*o(_OoYzMitpO*v_+^>S)`R5LmP6-E)-nXDJmK`gN+K zjqMUATRTVNY)0{PYlvXt9}DUSzC>?={sQP0CP3El5l=1i4|Fq?7NBaLaYs8~RH+`P-49NUx=ChU0 z1K!Wdx=&AQD;ukkBW;HNKIpCgoKT;K{qv|}{m-0%M%vgqR6VfYTUlk^arPqH|Gr0m zvOo89rnB92C(NRMY)rqw!+P}`{CN_Xo4$>x*3kI5eM5$WIBN7@Ynws;FeNaS&hwmX z=HfB-vFbZ|Xw{5uYd3YiZ98)++u95rMuP@^uTg#eTndW_u=c_LSpc=UF*m=!}u4<1YtuL@a=IZq4i zA0F(6oc1mbl=Yw%zp3r?~A-@ZeoZ=VQT(a@n_ARqk3Bp7-IFes#aKl zqpbVb{GZaU1-h-XzF*l+a&+q2S8(?p%FE@0OxT6eKE#t3)EQ;{^OKWV3ZDQ!AIw+s6Zt~EItTvlkW?L=QlLb! z>Z5UN?$KCke011N?HP$VSQ}I)*e+8jEiU!ET)I-tqzg@Ch|V$j2>Wzo{aC{azRR6n zU+J{AM|Z}=pebI!#-q_aLdb|NV9wlhM(}w+{CbqqLjDe5dyJ2aBu7(Y?% zRwJhp0xRWA;Q77unP0?7@6#rqY2O=|X1@B;vQm+<{bb z)E$dqYeBPa2e&2S!`nFKUDQb__OYCW_L!=;)kFDAmJK#aX=Ho#d?oeLg+uANikqJ* zK(sF`(~zx-pnsG344APzlMQEQr#S}`Gx-9P1LWc~W+5z+BTlc+Q;H`%!SYVu1`B(j zlu4u0>4K2@VKDN79;-ae%Bu#69eD_*Bl!L((n80-FY=75xLz!x+ zIN^&jN+233j;}P?Ta4dLBq7}>FHrx$I4rfDv2do4u2dp&tQ3a%3|Sfj%p7pu>GW)t z;#%-J1RlCZ;jg-F%=98tF&axGM>r3op41XT8wf42c5M#@FL$#;|4n7>JtVEn*XXoal^~DjkqgWd(+Ir5S zxyW*fMc=1NQ&TgA0#rv6J3?m)S42n&L#lY^b`KV_vV4SnuQ8T!RF|f_O94t zNT59{d%wWyZN|iqKNT2B#jIlElvE;qCDMRS4apfm4}9)~jSoXJxs@9rG1#AQV>?`Y z5h@edx8f2ILre#o3`YACv=b>Epn20CHJhuf9oIzzu+qB;r)sX6CrUF@lkoM? zI~ruZN&v2}vX;R+qoedP1-H*kiK3#Uas??==nKl7Cu|v|;*|%a_XM*r5ANu|SR4jR z(izj3N-@ z9CpBC5PM-yVBvu=a0D@UvkcWxls1-h-xC{6#s)?9W{2L|%v3p>#?Z0Uf~B?1-H;Cb z>Ia&Hz``D?+r*wQia9jKpHv0p?;a#cH(SmvNMv`Ynzuz2LpEb+cVD_#OpDkJ?zm&8 ztM0xT#17%XPs~XnNJG*^K*p01nIHv9$<}raz;nMKV;7FQVeN`7$BA)*@d38X4B3PS zS81q!k>Eh1Jzbn=2n`6^279Q9bz{gV<)4XtD}9wl(cl@rN(1}RbW`Sl5Dl{MIRyjU zN+IdfRpE^0i_?Y3!E8A{sl>hTB|(HV$of^xcf5`W?~&?s+=3fPk2RZ_S|mIt(v`SV z20sN%on>7&xpnJUEG0xUmJTk3I~3h57b0EAx>zPSQ*JRm8}5Yvp`v&Z2iCeVZkD%_bhzSd?b}*)`kMl*Twb|h*+rke}OQEBK=+w+r_mC7p?tb)(a#vXP z)c(@-bf*y_UpQ{2s(rec^eI(6#)BKOIl-}Av}<4)CkLX!yIouoLzD}N4BxR*oyiI zUJLfWP=$FMc?Cj~eq@rdVjjDQfQjjUauLrbGPv~E(y|p1iiHrU$FjU;!7Q=c9Ty6M zECd%ol%Lw?O0&{s90<`aZDdN8rAtJEa~pze{)r9rK`O2gLer9;x*@&EPK~%Xfct<^qyuUVU-j^}HUrs9Gs{~*a#%L1(pk}A zhR2)!FGJ_OAVDx)iE+tUXxqdfX~2CbDN1EzqpaUFKpV|z<6uEd6v*p-gosSW2Qd5Ev5*l&?4qJ{cBxUN!1l~jLzOGE z(+JA)v=EK09OY{*%Qgi0nomHR(h11#Fa7Vt!vS{|hE%vo71@v#BzKFC;Hl^rsp9|$3j z4-d!ymvovP3zDc>n#|FcK|sY)GnCk{E?Pf&3RvvwthcaXU0MgyxNn7Y>Y06ePF|Kc zQW_f{7>JFH3D@9IHhsW_)i_ZqI14QfW{OpmYlLMSke%bUFfKhU&suYJbey_%KfF7`TW(~Gc5fY~14#oc zve*O&dr4YP!d!C42I%QF@o+^5s|WVCvbQ-*dJro5eBmyH=|MEO5WX9vop|`mDvave z^%G$(8O%y^?cngpRCGz%V%t?HxADOYu|iJYdeDJKab|~u3wbo{3j-%yE4{evN}RKZ z9L*Gx&jL?)pqiJ!_oQ1%=dw5t)s$FIx!Z>jg&7ty z&^T)L78qGY_@Gn%>5MKq+N5lgqGhuzpC}+dU>4;!$HC)rc|wkb9Zq`sKpc)82UKZ( zm?1M4i+W;%RLju(kfQ3?Q|uDNHWBf`nWn?%SIRs-mQiAG2UD5vkpLNW2E`)7#T8{4 z>=uWjkb^?fY>mbf@b+jch-XLeq>l(%@k1C83|6RTV^pvLvLQNzlVP0u6-C(F@o#xJ z<<%ce;6`7tHHTtD10(Xp7{?LdNy(CA(K?5spnq0&0ViZ?=ZJG>Z?Wfoz!Q)-GKT63 zsoG?6O$VMdblJtsH5?P;z{<~Xe6FON*_ZVY*w1Hp3R{j;BXikGWD4@r-Ig`do$4ls zIgSzmn;g)fG#&)n$HU@e|Ai^n%an4xbSYSE|GxpV=~C!F)x z8V?8v!zC@mK37AB+RS7jqVa^xa8fW^gP`^(mLz-9E)oQmKYR3LBiMrdu~D;fo$rJN zfukr4Rpp;p`_CqDeoQ-IS9Ek3A$yN(vvsF@Py;Tz)Q9mTla-Plg1@Wm4@OCS`-8TY z*!Gmcs9mg}dYJ9JD+q-pJbcf&InXhEMkn|g(H&`YLCK8|H_Tjp;!pwHAfBS1FS;Nf z9a5(=!jtfP@vP4h!Z(Q#23%hZE{*3F{PPGcm&e2dM0h}H!4pJ{u5)psBAy_^5tDj^ z2ohvu&!`vQwmsEE3yauAlhaf&0&PS2PuReckv56{hz%p>2(BSkf$c3H`dA>_c1EKK z53VR@dRKff<|aquur;zo9^-t&V{y!%6nD(^pL+5S3Yse=KU$56WlxOU!9|M?g1P=|GJdqg0GeWy}?-ny9EHB?&mByDc#K1Ne`)3DWqS{C9u)li)Pjkmc z5^E~u%$iEIxVA61Zg$q)H=Qrxco&bTPS+l~)82dfUw7MgwOnixpU&N8cekAW`JMKi zOKR_(w14*29pZ#|VP)k^raN=`Jz4vn*3j_j7x&x0X*vDc0sEp*Lw&U$AF!`Ey|idQ z9lDC`RKydqm;==(JQn-8Df?h;L&;vX39nhQ-oO>IT8^x^_!8?az`P3gS@v#xM^{`i zzvTY52U_3Xg17ovmV-ZDXYQ*#I%9XV+&*9XGkkl4_gnUAq(@iS^Q6Q(pTxBv>1+e( z_c5LKysAid@U7_{1AYux)2+lkukZtwJ%aD(infPC(G||biZ;K@Eb>&{wOt48D{o|F zZRq8EJwr6A(;q?l6}24)?dxwE1ldWzK~eX`^R4%zchMCqZ(nh-sGs>~AEFy3kC_g~Z9t>`p92Hak|XV$*F_PIH`?ag>&wsit&Ehx*n zhU&dMZBu`GYjH9Tw*-Te-;fr35InjKGR@9lH5+g+pEGOHmjjryt!E#%mYh{GwJo_Cv+=%J`x>#W zy)a(U!AsAj#&|cMXhmb)s>bXA|1=HB;IY~_ zj@Z|Dyyr!JW;+^p;2y$izUBTnYjxA7A$58BysMBuQh4N%#rjI;q*$J8MTQf}Ob&^{B>gC4( zPk<~e6!>yB^bC^D)PC;SZ(IH&+_rxRCcuY3v9dOPw|!}7vX;Hu{*Cu$(EY+Uua<}z z6bh16Td%(HHu0@LUVVe~*4-Azf-7Y8;`8=<>zMmGXJFDFF)-;Lt7FgFhJ+{T3D!>F z69#4l6EF(#g)3wcPZ~HY(&a}RIy{Zry%;G|LaKwu?x~l*59tpnj92!{3QrmYkAvWd zB@`|0+C+o1K5n(1LaN4iVXT;e&l%d>I59 zvjH)Metw&^6n2KbIATueS)&2cZwbucq?!@SYO(NMU;o0t=a&@}j47Q5K@oU2$XYBp zf-f8SZ19YM@tS1odEny)eQLfwtTAHKmzI&m<5ROg-dS2Ck%|AC$@;A z$YpuFMc(=`uvwlM*|=o+js6}8S$jcG>~TuTCTx&;M_%pLdqJQty+`QY$h464E(nzS zfhmGH0~|5k9G%blu3&G()o$I@q(1mTUPFPGj0#xcSp&1e2)qtiq3rNW#t09g0pd0g za7I1^d=}W85n@?h&PWRH=~h>}b*f1Z>1{~@+2Ab(W(VngnfcQOWEa6u6Bz*g`zLLWOVUlnj8Rr$46c57Ji*W?tiA}0(9&o zg!$-{(0kS+MgpgVo|GmarYEH_8+Z&3jmFGB z9>C8AFr6Ar&+@yK)5PumGyG(Xf9W35I5rEchWV&58>Bs}F)K_MnEA9{&HViaWf=mg+XO$w|w@=4!g;NJ%5+2Jd&XYhrq)mjFDLA)18@1fgOdF;QC6*Qq( zfi|I-k2m=Hn7YEexan>{{;XARun$-pFzmqA0LCv(=zQ+~RtrBzV_gOVf=k83==M0S3$y!ev`0Kz0wWjT0JiPDAiW>Eu1^%`{|7%6h_Az2` zD&jP6HVRx1{Hjr4Bk)o1HJuucAOU=}L7xTQVc=QdZyM!4030&%PXPb(z0m)9O`k!+ z%SM5(1E-AwF9Kuwg!a1(OY}1EE+hZ9z%%F|`H&rKUuIc2wO4K^#=X5z~pQ0<;#%Y4g5R=8y!c^$SuIH zC_jOC1lYR+Y_U-h+=hf9qrxKa4Qf-dKm~XPRuK@myQQvA{eL1*U zAHXl*oze|!JOqOOF)G*#{9^;p0@wFIt93u{Q58&M2Wr4C8uXt9ew#6XF9Ux7OZoyj zL;7!eNT_eJHtR)T>@9IoFuer)Yoo$n0{@MHTcK#4Qz2efcq#CeMuVNcBC^Cz-UR$n z%otr3WYUq(WgQQ!sOcN+L7z^e@W8t|IHt@z%k&>K0W;ZJxEyQ zCxom#u)ZAJ%mI%Z`Huo$2CjfG5;O8R@Mnz%o@RMONO(F!HhmWO!`QS?!Giuvz~9mI z)c@Z>!cTPq@LAw582FdK`f_ly3_e$V&n&Sn1x{lVGIY-+>Hz*nqx@RncR;Y=OXP3C zO&)Aa?6JUh-0d&~#Tamx!S{PesV)cKdx5VvW`sZ82y2g77;&3*82BAV{s)1_415y! zFJ%Wkq5YmgLeY?P-voXIQ#OJM*}?As|CceMp8{WQRQNjZYexPxmp~L7`4QlH2VZ?- z9q``?dhkEhZ;S-5ha9pV*=`j0BBO$rfIp5I3WJOtngnh&@(;1Rx*U8z2;6JrKLI?4 z0imCw{9ge78#|!&|34t%uZ1o-1vGp!w80e-ttVF-G7y)k1efj@7Q?*v|M&~FBg z8F&czMqvDkm7IY)knnw@f*Ig94E!MQJ;o;bFtENH+?)cAA%6?*IYXZfyBNl&9Un}4si@}zxrd;d&jEB_h3 zyB+_?0{?dQboWWSH`KvOAXHNi#ebRjJ)|3TLw)Ib~m4`{F5kQ|&)b*;gS* zyZlM}`X$u*leMlV?blj%Zm4y93TJ=S%^~Y+I<4yT#w?@r0e-)$olV9R;}_W`?4!P9>~1;Ya#2A+E+ehUw-w|fvhin zBV-j?L$%AFvTs;=)3;izAN;Vk=_$KoY1?Pe)J3%k+%K8?zHPlw`}UKVh!aoQ?U%GY eXIpn)30_BK&()rL%D#5x{I_iDSH|AbefwVwvtUgC delta 93296 zcmc${cbpVO)Hd2R-96LY6PAUAU6!;93z9R4AV~p1(vlPrl?);XA_@XB-PIiRrkscVE9h=O2pkGtw_e zU66i5>W(A@Q(b-s%^#%q1{^$GF$NMM4Mq9`X#~5DGVtbDIHRJq)4QUNSTnbAZ0^}MaqGc6X^pQ$um4*K<`|lMZ%)q zg>b#l`vcK8LGSZ{x7xcHC6A&cw|}c77fSf3PmY9keOtu5el22+DoXP0*I8@2vO8jP zBj4e*E4wOlZC@uO_l-%L?)4upBAOUEv;=J)1>CO_viFNK&%getN(|5n3AOu0MooWR zqL!K6h%F3C?$>7a@G8vZc%9I*U-nGeuR7kT@s}d9Itg0j*do6P7M^)tZzD{V={|m?cHwnx7$2)es zNzeuq^gVg`*HSTGiSrmmQD+F{8JN!wZ7A-L9J=#EMNv!j(-bXVeKH)bn8OZ5 zE%L~rXysdxqUo7DLQy-n1EpeDP+}dLYr+eM;xP0rc?n!IF&$}|{{4@gA?|AH3QYT0 zWc;caZ0PyFhKBkpl=Z^xr8(EBovNa5Kwh7Si*uOTRQ!Wk%JuMf6w_Sh6<7Y9aJYpy z1PRU6{1t4pl!)Of{dpi=Sy8lh>CbdUZ7Yd!uBI2^^bZor?dq`-hT2IazpK?%7-}z( z!miQ9R7L$!BE?xDAlUAP zBYbu&T7H~efFpbndE+H7jU(I}3v7bqML5D&lQ&WFq8#Bq@)9L4vm<;fd6PsBv`LI3 zeEu8wG+DT@@>FmrR^UVh(K1h!k|0iVupbpyhhp$(xkroog6z4iA5#f?`ST8vlT)DnP@f##3%Jl;ZbZ(TKXvNd1 zXkgMOB5TesdJDx>mLt~@@$v^%aaCYmjW|6*S6r2u*CH+jE`K6&_|Kl!6j$AyaMg&Y zeBPnB8Zd8048YvrYRJ455nB;zUo-Dk)VyzD`v#HCy1qtZ=z6_$^pAlt1o9hwvLcKI$aiPn9Z`H26nZl6 ziKx>ZMtjo%uc9suto&4D_a=6Lz|53nMQsA(yCQ($(_DR&1#BkE!ER0 zYQ2+zf|W#U*s-NTarg#&i?&eIZqb2nD~aqyIyMlBQRiDsml;GE$QxhHLEhIS8O8a! z7S|QwOOLzW;cHw5x2&SB4=k-DGWhS-#7OpaY3TreVjZ-!q9$Q7G1@MJb~?l85o^M; zts`K(r|sqXPP$_Bt_QXj;rR{uek@3j_^`c(prs4)&WJ3nRmB)`6nU4TzG?)aVdsIQ zOJkP&o%Y&ls$!OcJwK53!-Qg%v)i}WE95KM?fX%CT`?=k_Km2#M^nt|%v%vBegVA} z^BzUr*dCVZ2FVWjeLAsFOgw4N9Tl=fT$rE)l$zlqN0>tWOifb20 zS4HG0R{bRk|78UXjf09v{Lrl^*?x^h5nb4tj!{jrebEezu51g(sQ8#|eF7b-h^Xj& zQ1M}@84G){B3=}U6?H@rCFWG0z_cnNv*U7~z-B^6-@paPXHMH!F>*oPi2@++_yyNG zFt5av>Kh3EOhoxBK%yKZ$n7k-UEorV{WCWP-jXgRc@!m1x>!%xKDLQ_@)fFD zU*y9;bc|{ocNsIFXdrm2I7ZcolMV5OY#7I=&*NlEd?{PdF{)aeZ1XQgcDA}>RMnV< zD2X-OY*xEkKN^0B$!ZKDCYO9;K2}f$eO>DA_;nH?|s@xgli0Mqd|! zh1}l)Ee8GE&5&X5D|wzQ#+~)0Q_Z^)H=JnD0*n}`&oqM(=diQCAa5s97C^ z88+bx3BA5SWoI(h&{d4qn3&P9$8kVm5xa&HUrb0;HHnzen>?+2Tw zSTvjy#k+SSQ$fkGV?G=jE~5G?Mm#7YaXOfB;|?G@Qslsl;271Sz>&ca8YPF$NC?Hm zL3$F3`W3SDz=M8ZgNwpSOyT~4-k)Q&{}wVVT=^huC|aU&8{l>a?1NVBAAz!Ab9d?= z*#EgG?msXd%|FgL43lA@UKwN^UlUt_ZUTKKUbISR!s z77CM@CVUlcAkMN^pEISVZwE)*tLb4Q_jOB@Q5tEofTp<=pKPQv|Q0Q%{8 zT?U|RR{q^YC@}mS8q^Lz|6fsOpj1ua{XQk!bd>)ZDyhRwN5%G%^1nozST{-|fK}tl zL0V2v+N^ew3+virI8>2)@t3?Ie_M)FrK zG?Ys&eJ;85x#ZI43N3vvu(SX6>c8@>icnrIg)X@ix>7BLdfT+%h8cQ~-hgSi6zV;> z`Y36*6zaYG%V9}N!?jTF$CC9lTnqJqr$Kk7;aaE!9`e*V^o`Ty}(4=r|bqEf%Twxgj^5O%?5oO=vO4&_8$M1@gelb`x z!Gd^@`U|Xw=f`jnmDhmD?h=W;Ad)r{iJ!?9Q_)v3X$=ypawO>Lbr3QXMz8RcDPjB{>-ZpP4^=6oeGhVCwX zpvYJfWisg_MRe#!aOKQ+2_-Y>ql8izce19G#cUWH{6{C+gTD<7^Y0Mm+U^%p2EW{W zIkJ?&N+q{nfrs5h)F-J%e=9OlZMGb8v-Q94wR-&s-|bP)8z?yHgLlrUK+mQkU+M0X zaVJ{!D%Z!@cjud@D_Q}8##P)KC#2*JIoWB2L~)D|bI2sr%*1}?T2p&JQ@mMlKcfZr zGd}KTwBUZm$Nh{J+RwPLpXu4m-p?#<7TnKh!TpTyZQyw`QOq%7SRj9Mk+C#4FIs|V zgkDP$X~tt{X=6lNU`IrFm6~-G?N7PgP#kHvq0vGcnlv+p1^mrL^k>|>XrawZ8g5>+ z(B>r#!+tHac}c^~ix%3vq+#H%O%lh^3^9&0^8=Tgi=HyN*X`&&9iw~Qj_%Vjy4UUK zKHa52-xk5>Ua!l>GSV@+*Bi)&OULM5Z^*orj?umTHS@vfUjJq+YL?cgH=BX>O~>e7 zx1;-XjP7+ix=+XGUbmzBbd2tGJGxKD=w7#@`?~2E-RpLApN`SJZb$d&7~Si3bf1pV zz22J+FuLE437~I56r46fAM~CMFw)nD$QU}^`#_hLqEJ~z`g$U{ZfyL}I$;<)F0P#j zn?J*N(I+pqP0Z*5j%~u;EWpGynD9#ztE7ntZWi=^Hw$oWLp0RVuYn~o$mxS?{Il1| z{orKy0XGsjbm|&Xc(<*||)MS|Wa+Vmtv=B;JO00k;sgs+K2|cb08UOB*0X_62zpnRCbJKi5O~yDMEGTs-WRNr zh>y(5lJCpOLex>R$I~r0z3P?BBc2O*Vj zsq!GG5-DTM2M)@Kx^SBJPxg}($;M)p4ARW46f^#8YOv{~oop)GicQC8Oq7(UXRu;K zOT8ip(CwxxDb-Ajgh;7qOQNDvSXL>i*BD$S$0F9ejU)h7rb|OZLWatMMF-~5(qZIbmAK@iFZl zOK~B;bRi8EPrEM1q-rIIM%|$ICuA8tiCfe>DK)1^Qy)+ujTRbFXh$WlNUWY>D~-n5 zY@+T%il&vKm!z&UF(7^rQ8}c)d8D9<;TCmfDFpLL!8qVbj>N>k#}KqDR#pmrmLgbQ z3bvtO_Szh^78`?yyBOOVHeL4kuyng$Gqhl{S@JY=1eEN%%F^X6Qdh}QgI*TZ9r7ks zlupu2ZJ5dMp`Vp6D(&orW`+coR#aNrkBUb%Cth5t#-l%#?6=wQW2HOxL^(Reov}f8 zBBhbB(g^z4iKs!0lUN6u$ni1VIi|}wy9@~Sv~&w|cd)Odo#7llvSP=iZZ4D))q?Jv zkg5UC>Ob0vFyT*TTR4E#85nVjRCTo-a)K?^Xs zREKgIO`s`1!d5UEy9M8Q7LJn=`L{N$qKv%spJ>djARGge+mioV(b$Bq{<{b13->~M z5Ke$8dk`Widk`XW5AyP>fA=5^T;v|4bff=a4>GZl$nNOaAh5ZSNFV)w-gE>WGzy)! z{ZE^W+?XqUf{mni`T==Y7Y359k2x?DbryjBOI^^p5m^ry+=y@%2yH~T!iDxBSs)VH zhujA}xDP2l=&41*NSdiOjz6u3r7M*dqLl+Qr7)m<0Pl z7WWwZiqPY%3G@%2}!_dOZW z+%t*6Vj;Q%jSurfVBT(q!BR}b+Z7rdw5>#QKP4uKq!g8nDJqlxqcSN)VzOeh?e znA=gzyA+*e|It|%)XB8OR^b@N${YRp1?VYJ7xHEkWS3SXhFAJ4NK3M2d$MfqU9}B7UV*7@t$&po-Ja$6<|WPN-lh6~dO%Ifq0lg~=VQ zs?v7Vu&k_$COPq8CuvTXNd2(AbAdP|(lAW!bY0SP@(KRRQ)WdMVQ?6 zTGDo#uxd2tmU10^pVq@(+arwN4A<%BF6q{B0PW$MaREUsuBGv zBJVyCpW!|&*;pZzZ}(s^$&Hu(tf9b{sf=u*5tD9z88R{pw-zuz$6B`(D_=?WL}}$C z_Q1AOR-VF2hMlysO^WSIQQIN$zp0k7AIHGOl-;I1Jkw9&czK@6*e6(992$Ax9doltUylDwmD%EG3m$HH#+^=(Pm zO6~OHDdEB}4UN43J(i=&rG>^`t7(DWzhGgv2U`kx7#o-OWJvc4bz|sB9*vE{dm@R$ z;FDBBPVS>tvK2l_5#oulXsaa-QH;#gOO(e8k15nv3O$3f!NK0vF29bFz9?_XA=0$1 z)e)vM{9;pCeqn6=?Yay}QJTiVJv)^WU;oQcjz!IuMYT;)nw_G=XGr3#Ut5#n;8|*& zV^L?N*31;Gvng5^*giT&Jx<4Dt-`p68^WS=xre)$qNMAxtx+ik9U^}!rAkziP2tZk zwW1t7{* zVohqvwzws=N~dVuO3_-#RyT0JhFZN3m1I*Ga>v^_Magi6TKx?Di%X@nno6=M;-u1I zD5bR>j7!nFF()vsEA9(f`G!*NR*Lb4DPl1+-Zz!;Se`Y=%J-E@*)xVJ-#1062CK0& zmC_efTF#qmsXRb#oTB7e8q&%fH!o1(H-u$%IOCs`a$_MEE%!yIWnrsvezRdg&+-dZGX}R>`XwBYMo zZoIywEtAos=E3V*;XOoD2^kg#U*B@`^(}3cl<;_DB)nR_g5?9JsG_ZroHU-*fp2@@ zVV(?UgKuwn`1Y2zK?+29WCR;}d&}%p6p{BPwJ>_oi#Qd}c@Mro!};ZLI#>an?`%X_#TT&%`EQta_)O-TFrQiT>5G9hC*o98&jKK= zCO|o?(SpFJ-$gq21(3x0iC9K5tzPoGm?8XpZ9qIr#;kY*S|YI!=B+eR>>0)GW&(N% zR>d=NBC#N(m!b0u*78%L{o$C9sFDTf9InhS$*oBA8r&1Fh;{**;TX}^Y;n8|cut>sOHr3GFzLRnF=*w%vOVnvSZN>x0;!e4eNgd19klCE|a^i{-XoMFq5 zGY!Q>OILrFG3++rN`%I?jLgLHCP-7f7psfJeY7RDCkSe@K)yvG7KklFL#zASlmZt7 z3$$m}1tJc%u*gK7m>)>$g_%`)wKL?Eo5cY`QLe1GM8?z2v$A}&AnM!F8LGnCa7?zRF9q#%}+#y?ZY#BJ;^}JdP#g6R zirEd>-w|ratsF&DQQ-R#HRt zyiOIqC=ohO9Fn3kE~t`m8S4oQG6$u{`>3=)RSKjOv@u0%!G8)`kW!GcB+#*M$mJdX z5!#U=)S8XsmO-Z7p*ayF*%feW!B#IqZ`>LO%meAoa1ydeCA<9^rYL0zD%rKpqNR+? zZPckQbr5*q2AG(lQ$1AB8(W42g=K>qGK#Mb8<4N7L{?`b9F`_ZOA}eKP}*bkuryH( zN*RB#rD$XVjo5pE!$B+2^H|1F840fkJNf_{z{bxK&p~w?e+fMEDvp(7QXVrzCcY{q z!t}?mejWFUPx{e1#g8zZZI&YjV>k@>$D) zQK0Y^QtvqU5ZgIOPj*~D=in`SMSmcIn97Y}6h;DIc@1;E=nZ{(K)xm`Sv)q@AJE*{A0!2?+r z4`lVwfvk&>CqDStfbsaTiwClL@IcnZ16e(IAd9aEAg0RC0;;-rAgc!tWL-Rv)q@AJ zE*{A0!2?+r4`lV=fvk%MvU>19*2M!^J#-)|zdz6|X}gV!2eNwbK-S=atR6g&l^-MM zUMbeq#RFMAcp&TIfvo0t^`8J(j&0kq++21R5 zL*6-PD_Ze04POK@h7^fwadlZhRru=iAtN7+8_(H=B`LULxe_!1?Pmc!y($4t%~ z${)~mDA}+)X`*=%Jd}j>;`s2eV8O;ecW&CL^DiC0`?+)bVntgO@7lFsJ>}EVjlb;B z>4(NYcWBkQOVds*8`tibw&jnX)TrOMe$!5^TXt?-sb%{PjRS|v;JvrT@tr!fZv1_V zmda_E5h9rKdX248%RPs z0k%Wyhq6?y4Dy;b8F^jX0IpLDhdGy)9~48Y1&XO9K-bb!#`P0zH0YmdZU|M;Crp*Djs&)?aYFalG z{JB;X^y=D&px4l@qp+IVSjgAXHbJJg)(6~pOvv)vMQ2X%)%SV$9L;He$JidjN4LLY z)a~yWb^GH*r~UEbALQHjywm=8af+nKx5;(;+eO{}cF|>jyQuTqMQrDXe+kW~W{`H; z-!S%J*8YZZj30SJ^EoPtDVSr;%&3XuQ@qf`JD1N69hJluATj1-`0uDJjsWRpc0k1( zRU~JsRRQ&Le1UgYR5lv@f@aa`ECCY7;)p(Nt8y z{bceBOhS4@6^>1ZJ>X(qqGbhMO+Vd4wSYz=~6U^?1LVw}k@FdaWgB)7>gFdgkA zlHcSPn0VQqt`s);1*YRii4-^a1*W5eL`s<_15+CWLl{SAF#`U?n|W?P;1_}APHAGd z?ymwT6G~?jUtqpzAo}^s#lc=zyr6}8q;WKH@Ho{>k0Iq~=8%nGPGGAwmxz}3i;6W+ zDTx^747PPk2dnN;(zIo-v~uhN=EIj)N<=c7=)JC%hspGuv4HSdQ~E2k_+I}DdB2h& z2d(sU5>vmS=qrla1RPSsMWA4s&g*3*N{0~y5L zZNTRXQd#l7C?33I<9qGmOP1LK9NU>lfvSn-gFvvO{+NR#zBShZ7i-1VG`plnL)B~W-Sv`0R%3f`a z6DPDljuB!`;NxK;&+5-ciNnI*7@wIWX4Q0mVHSZrr)Is2QckMV^N${?sOv-JrYHpb<@W;5e+g3pm?mM|VkDD^_N zHUYgTN$~{NWwt&+vP~s;VN(O)vqV!rUs2A0gwhFeA=xD|m)~X(R7hcj$|9u_UIT_E zK@}uhlewxkgW&TN26HuR20<+(?Ah3yscn+Q>V-sLKQ+5PiY1${4H9Y}2aVi8Ey9nH zQZ-6lppAlT!rXW_HpC7LSSa!b(##d^Ku>vD^*`rUgZqW>^S#zINbrdu1Cm_<<}%w1 zg6t^_=HhGyL9P@=s7^E)fy6oy(h@rZASOsAq#cn#i4WPMc*tPj$}Ew8>|!xFPf0CYM*D|!k|mPRt9Nm7VaK{6%fC&d{ZxUyDMXFc);Vm63E zfx-(#Yzi6`n7UX*`uQHy79^q6A-IafUZ|5Yj3}^43e+VC3DivySQQjtD^lXDEgY%^ z*(8xoNCQHt8)OF-%@)}M$qVqdP{%D8F1=O>GZ02Ulhz6=khB6hG{1Xw%M~Kd;r|=T z4}!)BUrA&2-T^2$?nX~&08Tqw3n1RkegV)3$%*zhlB1_E|EI( zln#;!Sp{ej)I~yQQaGjEX`%{<4yEW6!q1V=03lhDQ@dzL(ZW**GawnLuX#VNSk5Ud zH1B^X|JwL(ad~_YqhZ3#NGOlm1?}v=YDGEp@}}z7ZfitDezw6(DH=~O7s;+`sAhB~LlzR0XG>XJA+ewL?;wNI*JPW{QXK6mO%+?{uisV#&f==ud=Ceyy zy*Plpc9tMN5@!-hF<;DP^#Dk;vjmf6wl2Zqq4-%J^fsW8WRpWxrTdjYqWgqZY&JpF zAepefO>PFz%Fd=X&;%qJAfyPUBZ5vrGGYD!Ha)dkzaXD*xMWLjrw$EFn=K+aePjzH zuMimn-M5HV>CQvqqLhj!xQ@h*|A=xG0wcF$t_fW-297L-TKo!3LR%JKPI|Ic?5;(P zd$s|B2S|3eGnZ^L2p*>}Le5f?9M&-;5%_6`C>}VnMiiBUZZ*oD;P{atU@asaol`RB z6lUX*(DnokkyPbpF@>4NNa#h)nM0)9>I@P|cPQomKQ#Pi!TS`V^sI5TZwMsx z!vUeM^NS;1iUU3Lk$DT?F~Iu)jsc89wXi$|}5&jEEh7ox!gJxF#qs ziMX6cawt9V9+HL=-rye%g+BCROvKZc(C1N=1_h2y7A4b$KF6U7pJ;dn2coBlR?+>C zcPPtZLInfG*$5ti4Gt8AUIi}IbSWta$;W}b{Igv}*}%Bq6%5h|dccN58JjyWXo?u; zxIQ?LeX1xJorqDNIVhDHt{D<&J5}V;=Tsp%`s`5Rs)povev}3^4gGyA`73R{KS*Wwgf=#z-Aib15!zCO3^3g1 zm}26XV&a%$;+SIMm}26XV&a%$;+SIM*rC0Oyb$3aV&WJfjem5Si8PKGCWgZtHk zXEwW&1LFG7vHKvvDde#f2z7_T!N7sQ#EuRX5v+#t+K{>g*R8Gp#rRl4}bd<*Nri%%Ep2&=cy} zBlT<(Vgvp6i)4R9L1??aI!Dd80(zDqxpAbd<}9dZIWmp3Ty8x}TRfG+4^*|zS`o7N zr{D~%4!(?4TGZnMfGp>Dt-cx`-72RZkUQ}Oudh^m3aj+qz?qVl@(1LjX%xsFdxb>*jp%s-#S{63YU2@hzZcpaK()1BPmPkA-Jr-cpp zK`xw}-RE`vBGUiqPx(wGnSa!fT66Jcv#u;pVE#@R^IQFU$^K*;Mei>rsrOd0YkFDa z+z!mAIn3*j7rfT{iSljmdth)*n)cuYC|y~KpYqa`Bhm>aFYPpp=5-9ZR9D{jqSnoY zlx(q^`E3JfA-{s4Q&-x`noWB_Q9TKE6iAvscXbo=?$5qv_DY+RQ)dKtokz!?rm~%ACEt z-i;x>>{ed0tRp4EJ=%%KK?CHSw2-?ZugB#Gn1?q9&^FCTdB;}q^Cv@qEN@Sb)+}Xy zT5Ga>V`(m|t#s=+uVbo`n+=~~VfZwqp{X4y`TJ(l?@uD#F`tsfhm(G?17(taq2=H2 zQf9uiGY`|hj*x)z{`Qv%l8RHbRWS;cT1iUT;#6z=GwBPrP{F*->p9s4UwT-;#ZM`D z_BYCW{v+jQN$w+A@R(|}cJC8jCrEd{nn=mab*NkHHtBAEG)>f*MAO3ylTmgTg}P24 zJ;ycXXFTV1Zg&fZ-t>fvNE4Z_H^+=w9{4=xwj7GC*Zf0aP*8HmC%)D za)4+4i+K;8hwDnC19ITZkavG|yu*YVmnLIZcNQ}g(-!6i3=>_sjfo2se5|M|?HuIx zKgk;O8cMBJt0>uOH|Z72Q8G_$UIW8<-7}EaGAGC_j_)LNrM*r&&a^lI#Emh4hO%C! ziPP(ucj1{2hRjD)So1e2Wv(-y9v>WH{3D{%mBD35Nlql?PB%JHzZ@x#^YXg19t-&8 zA|;Q>nV?=K=BIti;=TB895d#5oPc7ix}{OPmWUJnP%-Xx8`plE5Fb7Lgqi6oxx z$T57YC<`k;my|j9Vm?Pr(${{-{DpL+m!CnK+hgcT&fzS@dz9C;{Yc-m?;Om8V-15mBHrI4Q>X&%T19#Wh~Lf5Yp>TNE8E$3K2&2Kh5{ zDEj127XRC8QZoKX$+EI*-W4b16M4sP!11cCOzBCO}5e_r_`d6*kUQD!)Q8$>ae;8k<1PWD=d@t`ZMu{VJ4mB~&hLdx0Y zq(ow$02k%NQmiH^4?2GdIEVpV7i6)w&w!$hDdr2i0Y-h((?-1r2+DecC@Ah^^^ReT2WM|UG}E&XR*cwV;q zm+-1~xi+}QXFLlNbt>Hgy{}*&NL6iYNtkpF_#7gx;ZLDzG!B}% zC;W&fg}uZhHeGMA5FxU&zxb&YWCw_>d4UZS7ybe^NDPX=lg5c64a1d0F}W=Ycr22O z!^Ib3!2{Up<>-OW&h-9{f(Jkx=@`J}d5q(!1>yw9P_Cu(9Y3G*16}7Rfj*Fagf*W8 zLahH&unT4R0{P0%&%^e2tLG!%^LK_*9!xC*wi+B-0-F|7lnun5(pW5-64TT|_ap9(6{(!Smdpf3p%VW$JAnpT+g( znXs()NGb{!`-<$Jf;~*UTmdXW33E{AsNTI;A5sHo zgDlV31v%X8fjlNUKrb<`4Xl)(&R^uIHn%eL>or-Ae1h1;kY$WW-wd=r#kjS=7KnGe zCl-oC|1MA$iA?zbR*E%@dsm5{xO}Y^=P$zgR`CgErfs5}1K4)a>>a>K1gkF2w>H&Pdj~2fe3CU^dO0FO?)XSv-W~$xugE(7t;CotqjygUbrsu1z z>%-Ur72zEwF4eslyd~3A<4z>MU=~ zTIP=00+WwlzpI`<3+8<_-vE^KKnO^k;^AFWCS6x3I9SAs}??a%=b z{R6azJAe+-u5o`qSj)}vI84jJQTm70fDz*e?dCQRM{4D{Wg4yJVK*gcJ4b*xRy(*3 zdI9aO8(_S4?N<;dXg#>0O4M?W0CAFbu{;!}Y8ALaou*y52ay@t?|%Z!^lNE34l04*1wJnU2|Iu=C^Y=`v7J$fet<66u;+2+m7O>Y^ zy?&5^v_B75}oTqpMFLz+Tl zzpfXA!a-f-*~lUNi;4h8^ws^Ka8%DYf<1a%5AO!_gr2oGL{IC5e}~Lp`jr&`=k&JY zVf4J7k89i|{XRqN%lbdu3SH9&GvK?fZ)fEAxBiSn_NM-(Gr(=VP7fHnqrZ6oXA+zb z%R+CAbJ}izvCaeBtOT4NeaKC~c;^p~Av3{wi<|mHXNPgXCON;m1Te*!Aqk>Woe$6n z`gG^B=Mb6U%vc*{W;s_sg~)8@-`oJrbvnZV<~a?#L8&ir-eK(*I&-p}7dv}lGS`wRyciafUb0oU=gdGOPT^(<6Q6suyxMU4S=n8{<;I$M(0hA zolVY_TqL$QOV2}rTb*&00Jb~tG($-{oMC?e+vS|>fXHs=OA`wFoL74T+wUCn9=t=& z?d{;qVQ0>|0RCf6Ja#}IIKRyVnN!ZU_yIWmw6n!nSUc-%`V7Q#&gqO9FFNDW0K4RD z#!+(BIg0DkHRmr3ux>b`)-$dw9WO!x6t3|YEm1- zU9J-x342`2xbp0Eyl$3U=c-*E;DPIB#wHJ4ue?xr0dbho@glH4jC`+QbEMI=B7@*j#;Uh4lVGeZ z59S!-6&LemMjeK!%Z=@6V05K%v=cN|8OuKaagAZDhwZgSy`dm(FxFQCaieio0k+wg z%oT5oaWfl4wi$0%!t{3I3fHz>MyXSf+-+2z4zSPo?xu$OZ@;nmM`#>0KKltWhl~Kv z){Yn*xsy6-{Pq*T3FGEjXq+^<@-*hOv867Ee;EzAo}4ufaj=~;RPISG817$C@AV2r6KfzXXNx<|3YzPw<;H7?~uRStbHZGH>9$Jbj9phb!Jx^8+8ibn_=Z zRG48-I|Gr~=0803pJPT`fSLK`$?w2hU~a7k-Xe1wcfpIz9~loPndN%{TWYp$1>SNq z+YRWgFh_IQUTqHG+P22@|CA3h>&%%k0PD??Z((ht`91r7lljdyU|Y=V^#Qh;bsj=v zyZHru+F`!q4ZF*H{s`D^vrZgD_L>vN0qipua$FoRcVVNfA2e^QLxD%k3tOOY)V!J& zt{pdB*ox{W%pQK89iK8Ajf2c-b4EP$&zKQh%+H#I&ZEFb=HHx3ADholLgR_qhW-20 z{B1Hso|{iNbY7S@cpUP|EWy*m*XG5+Aigz^%mjF6Hek#2vZmby*4z4R2+Heg{do#l zKdV(^ZdC_ZN&5f>TJBrWA8f6x25g9R`x9WptS_zt{9zr9hsFr&C8w*ARwukfsgJfI z*`o>8>M$sbwYu=gE?`Z>`^)-xYx!01CRi^{!0k!a=tO|Yma_)*rdk%ecbfI|GVi|` zR)z}Dm}#j^P{eF&I6gMj=U65ei+R?Nme81QZNhdV_$u})q-bE8>~jyU)9k!Sxq+q z-E4Je4%=I;Kfi;~ZPqwGs5oSm!f%}GhpnB(pm@Y;&s*)NH6i@tjqK4?xaag2i938uPU8qE#j{^sZQQIUcWCM_ZzVzpc6) zhc~UHX%HFjO~lBP`>!O&6&`_3Hv)VLG{ewk)M!lM>ORY8S_Hh=M%pc~G{>mP$!)H2 zu9u>?^?641nJ~4$SQprGOXTsFYYgTJV?LkPtTs9`Dp_M>dkHgZjV_#z))|F)*0s^- z=7G^ohM$|*&BizL0Ja$KzXxxt(U7BPn=zCRR<;`(3PEp&QKksMPUGBd@OBxqPC zw?>J%Aigu|d(=JW%f>l&CzBz-t!6Oo^)uLv1WcBBm?Hsd5|1uCU6?_k2iDN13JN+%n)gkdG9l5 zOg2BDi&M-PE~rz@B0P1UX8ywZ&NNRwgTf-S#A6T_n|d!0mzet)XeF7QDgi7tn>B%z zW#-ym5Ls@1!=2y?b8tCGt~3=cbF0j>oGVuQ&Ekw**O*rt0$pn^8w+fm`DJIAS#SO} z3W^)dx(sMHnkTk^x5<3Yz3ygn`w)OFW-Jd8F+u0D?b1@ zW1j2=k+Wt;?hVeFt^Ho07tH2wVCJHEpZDk`b3!bbm(9E!$XCop^?+S9mwXKDnptfS zuXx~QyXxEKML)nDbM`qHyK9!?9Cy#`dl1gtH(zld{J<>5 z(Dk92@lalfCY$LG0DWXuEeY(gIp-#<{bM#{4WF1*e+TiYc_e3G$bI}DP(v7)zB1pk z6JMKKICS5b9}b7iTk}dgfOlpyxxX}PFG0b*tOIed-P?N4CAg2}D-4moR%Wi!{j7P@ zf%Ug0@}zr!Rh*&5Kx_YPC`_^19tCl#wLw5+n)N-7RQ2grZvS|oGpul);?J}^g@Dbm z=5T77Yvt|&;ykNWdSLUdq_1FYfwg}Ou!Yt{1`vy^b;$sWtqUK4xWwASomG;xmN&vu z>kYeNnRRb0h|8@r*CDyWYE%GVrPZEYxyo|R=Du{bwU67(HP&w4v1_g6rGc%p@IfaR zu~;XpZLqqX0N7~FDg*sZ)+z3zw_02IxMQ1@htbe>t7{AJc39U>qTHQU>)&BzmvxX2 z=yzLXk|DCk`pg57y;k}PAnvnf-r>Gq&#B1 zT?mn*R=4kg9kb?TgXD3m5PSQCb(u#5C#^+%N^#1{90SSIR*{b2{blvyD=X)$cJqOq zw*u{9^MZ9;fOygRwgt`w^h?(Bbzok$W^t>3#rkwFWUg8(uA_)+RzwSc>sCZ7*uG(P z88 z`b%r*E)ZW?b3Xv_wY7gLB;QzV7Xy21eZ}+tcb0)ATJP)gwE*1OSNxflxE zTSkK8~Km(pZ zJcStNsm+Oeyk|#U5GQz^a}zYt^ED%yL{G()0Fyl7(_nP6=lleKDIOyiz*NrxZcV0n zYFvZ*bPo>vG2%TLH^Qfxo^m+U)@OO{{sfV^p3`l?o9Fjv7l6+9>?nbf7I=0a0d=9L z_i7Ze$Wze(aj~bt3=o%iVy8ks$+M{p6qb5Mk+;nAb_7aV?ujY|u)?!|xAsa;wz3de z<=M$~WVPpHR}j~D3jPM0YdxE{Lvo$x4hQ#oPu6k8A-cgchPUcQPY2F(n>^#W=iKbc z-zbppDHhx{Yk=9?A`c;-cz*o@ZPKj$&uc1i@_V^?R1Y1V#av)KZ4Ak z-d4*XGuC^T5n#YO;Vp>cyz_WJjQ3{U3T%RRHaDaby>IqFKhfK30eDlqGs98tRPWiB zP@m=<^eH5#dyDLZ$PBOh4X~Nsgm0lY%e#QxG~2tHQ|TP<@qWBV=Xyu{4E=fDHH=#3 zd-Ifo=mPIfp8YKJZsZZ}B5$KL&{*s(!H2d<-re0GvecVKL-EVJ30%yVdoMBeS?Qg& z9wMu}4~GJ*_WG%?#yjsSB-eYt9S)HV-ufH@8@<l&j7aF z`x|ZV@UHs}uI=`&>ILE+uPJwx-sA)j_jxyR!r1TK%~5v1JEIzi2fata01kPZaUdP` zuHvcZ5$~8TP(SMJz+K@n?-#kCaNJwHBZw#b-tF^1J?*W@moWbFz6*!q8SfR2t8?B% zMIn0L`}j3PFL;Y`>vYlEpIed3-q<<-SG;d@NM83&Z3l3}+l8m4e|sNt1l{!h#Bk%5 zcTykNyzL$O3gEu?lgiM0;4Qce*h8a*WRXl<>ig{H||~Dd%fR**vq$@Pf&XMK5Y!iKE4&Bf%W&D;94`l z*RvCd1AUF=qP#&qbPq|QHxQ&y@aHZ9l6*}hJADM_E_tJet3`944A$pyaZe8{uV*PBNWOME-{E=!Vc z4Ws_0z9+dMxy*-OZ^fANo$d{6m2W~E>a^N-XCa7de1bF6dSCl+@HY5*&j)X#ZzONS zExt{k0BrRYsLT6rn{Ufzh;H|tVrad~*JwHPcl*30AhX9eh0l8S`Wka4Kj3q7#Xab& z$|d@c?;MBMVPCE#ARhBo<>Y(ZmxC+U318hW{~wO7J1)!Wiv$8c?5g^`nEuk)8kE;OHp-ZppvPSUkxE@~#&puc6 zJ-GC{zC;_}UDro%LmF^>jMjuf*B@^p^pI;>DPY)j4PCwSp6eGhF-BeczktsJSNhL7 zT*h4QO(OO~*A6O_$6e=dgv*5M^YrFSx^^<*Ipw;6wgnHyV^1TDC*wz&EM5#AeUG)3 zv6qqvZ$@+pz=t8;4cj(`>Ku4}j28o;^k;lSM^ph$#{Dc9cQ8)T;|gSO++Yl1T%gX& zPKFz;`N51gUxyUJSWjt3DC1A6m%|t%Yr)&a_%sQ&aE6x3n+QfbExM764b-aH&FDP` zDTdJ+2hTl>CmsPkma%9WlzSO}{R=6M;SA4&xR2474~S>fP!lqNaqn?N+s{a#TXKN$ zOFwLhj5nhpB{7nIhAo+q^%|rUMvomMr84I8ART7(eF)DZ3@f#a(-;;y#y!dyd&@Tp)-ehr_q44-lMoMZTN5av9ioAQ(kjQ55C z)r@gZc-Any)Ueeu#;9PdV>GsbaFKB%5(CyVo~GCE5@Xgp5E>ZW7vXuCaegs88yP|0 z0Io1*)>FaR#ISCFYcnH&GO??Sou?qRFq)nR;~K-U713H5ug?UuG1k5b<#omgP4ad| zG#zx`U`(e6)4>R%{iKueqX}1WlTp(SxW)LGZeACoiUrSZM$ZUbZZmGuBkW;Z+(-py zFJp2G`2CFEsM^2FcrgPoz>vHH{ve}#KKMh7mDFVzX1rbn{yoMh?dkU!J#=SB7`JF8 zA7!*t2K9ikijE-17;onT9x?*yGtZ^X<36*v_0!Nt!S7`B8u$v+557^=JM{&G-Q3 zuiwFC2lHYJq(CPBE%1VvL)3B(VTQ0Eg)$$d=4Kf4O<_{bW_2Z8(wMpLKzWpT@d#YfnJFt_%VfSz-MTDhBPB%H%(-;ea+sw~8+>w^3zMMD zV`^z(KF++j0n!QPp9%2EXKFPd7BJiBi54=WHb5$3F7^i$GbQv4OPF)$fZ!z4b2{J@ z^AsOfc$#_VAJ9vgQBru8G21S{vz#f9f$|LVH`=i(m>ZT+<#(33m=2K6F&|$6sgj9= z7dr^^jcCAm=C5-R^a8Ve1jK4)#2bJb<`G)7YnjExfI4P$HI$c_yURgnU^e6dE;B!^ z1~f81+J)h-FzNqsb7^7*e2YtKX1@Ag^P72qPnBN_^TW09xyIyvj4-WC?`BAC%x$zZ zU1v7)0PW1FS3tbMTt@kJ2eaKDQYX_yImk`s3x0rG%t5*rUCcUa4RG0Uy-b|?V*_G}sguyh3_!=z>C(@Pp@z|2=IAQ84loN3!e@{5 z!qb!0{Ruq1SYJ}<<=o18jDCeTYsC+^C?8fWP4aClCZ*roS+hR@__BiOG{lefCDnTV zEF*mm5x@$elzs=xk8*)PmPi5!Vs+5x{ySOk6Cs%O?>B%D)?2jnhq8X6gPJhb@;9K| z#d^^RdbpESasy%n>$e0Lqgk(0Wxt!XgqFk@)~yd<+ryfd0@qm99NHZBvb6M#Qyi;n z4y1joH;|gU#It_YfS15}37s*Q{jAzEa5=!*e-)6(`XmyN#A>13HktJ)9o(j{9;Yqm zAj^4~9?&6H`wIA^vc941>@dscER;uB0uPLv#yUnx(^1yX+c8o)OZF3N8LZ!xkdCp| zP%k=@Ws5+xEY>hJjIvn^t^jgaPtjkcMB4!@TI|{sf*5-d;D_}_}r6^>zIXhu2 zVyTHy%!;DFJt|@S_FpTVm3s%uQ>+0Fh^JX^P)1YAntC2k#%g;W%5v5QI>$J}y8AVv zRIm&U_=RU#Us7Z394lW0&q@|cgD6$3In;JO&)UR??E)+9MJTIT)9%wls9{;3!nn1p zuwF3gSo5n9^difPK2)h^mHrRJORQx-g4n>S*$u*F)?YhdYh>x@aP|u8!VE}Ftk-C( zY++sY2K^fA4@y&7S(`qEvW@lb6r}5{?bKdxXH`81xWW43zhj>cmTwq*I$1V4;k(I- zFT{YiSS#q0v@X_Os@%F+tEfA2n{}FYo*q_Y82G)cuW8QRVO2+f-pBfqCPY7r(GAbL ztn5@M2Uv&K;R**?CA5SNvDRIH%P`Ar4s7>WiJ#Hmc-?2+qQq!~HG>woQC29m#vZUl zblqdDjW1z{hpco5h~umvTGyvo<#f#N!CtivHc$4UJSe@`YrjI2t?V57xXGKXrX<3L zt)p48jlFz52;14Se+T%oKYSYC$399auG60_TmlSWulW|PJJ{D~9}HxdzYL!scFX?| zW+%IlD!yR0Z#i5-*ef>yLfLMMpbTU0qvCxR`@mzkig5N^y1^0bg>8r#$^MAalqh!m zED)mESEnQNZgx4fmSWgDX+hoNWaoB+7|U)v4xhd3{LL`Nvqe9_HGy6A3HbZj<8gok z?31)NC$c}J9W#l|qEbAWy(}G43VX5+E(h7S2N3KKyTl)m%KknDw!`eJ#egGh8`TbJ z>}1*okFvFtc&4+RTlPZCV9(x**vHt5s9&7P&Y{&Si@m)VVY1mj--MLI7E@a?mu-uJ zl*b;Y9ppH>jPjHd?57Vvna^HLH?M$Q_XIo(*(tQ37O{V#HL#fd(1@!jVb@=W@-+LX z1B6ocN+%T$W$b0VrC zm(YIQ&W>3MTPM4z6w*!hYm`{rVrSF+?P3Q|qqm#wO4oavjsFT3`(F=xl?*<;>=Yh| zci3B3g4oCA4#KscJuHUnUAD##Fu=Y?1=t|FmA+ISVo#%%+AuqHE#Mxz^cT?YvrjAG zIl}(qQ@|+O8UW=3_Fn2Bj3!T%9v$yc6s+(Y6eFv_S?6-ZPonjXpgO3M? zF$kh3=UrL~y*SUf;bOLOuDin1o3p$W%I%zx*--j&?o&t4kK=BGQ@;(S4E$!N~}wSe861C*x3aKc`M=N`_@#ei5&#x)T3a-=h1i{lJY zma~uJO|64?PXAj7oxu612e$oAPBJCj2RLqtASQAe>EJSn<3~lyLC)%PupQ#)Hb6?{ zY*>OzJIopT2%bkcJ@j2q8t1DSP#)!&sPU4{`GmS{860nFG#}&qMOUB6`RW9uEY2|o zT(UX!Con<|XU!~l=5m~eeuXiQ6GV0EanABC_?+PQP!~9#^WinP7I5glE^sO2WF#S4 z5hs%tiegSBweU+g(_#T9Ii?QKPjTYtX`klUsIyhdVK0Se8HbYrmvYW?PeXZzGw6=c z6`V06Y?Yiu=OQqwIH_$A&vPvF3@&hfXohPw=PT;u)o_yTfM3gLp(3D;qoszzMNY&G z3|P;p+yS`6xxWf74V?7v5bQGNhuLsxztWX(YJHfJOJF_1iynY9h^U>0G%9PIv2ai87l?;H$d;>c+)fJ=lt&}c;4llzXIg|r)wEib%PxJ zCK!h}Pg@amn6q;peC}~p(;u3! zr{+Ce+63p<6QECWDyeBV#fcOHJh(@xq2S5o?7%=?+!$IYwsI3+qpHrEt6Bx45BF~> z?6+}8{zUBU+z-A4(U-fCc7H#v#t9dH?i$)N0=ReRv!Wf`v($Ip$sI@m1atq|2ngX` ziH1uk_k&IZ3*(Ma17#O?EnR3h*SrVH2=4r|aEatTPR%-J6t|ORa5UFwfw-GH`6?iW zn@Ue~4_C7Wj9Bi$Oz`(|Pt$%7$301Rb|3e#8o0!B|MmqWaMK=zazFQb%DxY93uvK8 zDgQjd zJxhg9K6m?*uoZ9{X<8O?Gb$hzah58qP1}A?g6fGf22yJm3z$$sf}x+M(=g*{1AAybK|Hp ze1off1fe^)s}g8lb#k}U!NpCkP6zERE-MxMF76Fl%euJ^DjaWf%c;BA!~Kh1%3f{> zHP-HMXI3F-A9wv0c=mJix5MQwcL^oo16=zqxD0ZIv=80m)*J=zKDU@+j&L*gKpN$K zhtK=*I&tF)pdI5Lr(>Uo+(luK#<|Z>SvA28Uj)}l?vwZw-(`xcp-jqyr+6HaCr=s! z$&1%PwZ~T8r_|r}=4Dg5;lum+7tpuy+MYnn?YzJ9;OWbIoVFuB-t-sX;?H|!9_@bt zyuz6;4 z5Rts^1%N1C0IiSFyqQ#o?dGj2La-R#`sGmW;dRlD70dIcUj1I)21+rValApgwtc)0 zz5>Ma)>G>tfw%rHU_Z}|cGCmA=wO6N*gr(qZ0W+RcveHtvH<8gEY^Y)5$&FF;D?#diQQoV>Q%h<%L5_!zF4JaZ&` zvUt(YAX+wW>qd-}!%LzP<~VO~Av{m;YG{4T=ebjkS-?x02bV&gs~XB8-V{~V#k@7G zu$Az*g@BX1>69Lw;%$h6=V{)?Y(Ob*#dlDa@tiBSKrH8-qfO=v?|W(uSMcg7J3Pzt z#{+UX#~Y%GqLSCL4^YKBwhYSiyoIjtyuf>w=5sY~zYAUsC5Ot{2*NV{+Y??qZKF7u+CUGQn-Esun;na95i{#9OX82Bx`6Z9*t@!EF5 zrIok10?Ibttz_`8^R)9Jwe#*X5atH&M>-hp;7MNrbn@yh!*-MB`y=2MZxIX7#ml6{ zubY?i2V&mlRntDy!^{8J39*;wMdxvMcwJOo_3{3>j{*C62dE;y%M)e;26$XL;~V6S z?uKoMmqdlZFi+kMxW{9rAvG2Wvz*(P}T^u^00 zFUtsNikIue-}t+D@UKik^yI%yi-H%wPze53{&d<8y!in`7{Z4?=m2pWUv&~exAQks z@6DI*Ly58rs}{rU9&RJjE37tw;cgCG4Kq(HuKDPjikueHKuCqI~S>0o})_fUrL z3y>K&T|)WR%Mio(Hw7^6;ycR#;rwW7OGfbjnGahezrc*)qxj=_kfQlw4q!Kb6BP|H z{P00s%pQJuD3o#hcfSXHA3up6XgvSD`LHGMqbS|j&kueE$^-o6N$^bMU#5xVOyd6~ zL+oUJ1EusS{Mr<_9^@~f?EMfwoZ17a{4eRj9_H83rg(&Z=4E)M@n>a0I?8{Ao=-Y| z!*Y0L@Wqt!9pgVJgEEt!PBF9icR~T#{3FyV$>Ce+m?)P&Uk)kH$q&j09_PPEDa8r? zEPB=Q`PZooEZ}ESKfjPa_jAN9;-94w<|IGkHl$Ph*FrJ;X@2BMC`+6BzI^KlnBXjr^~ueRG9> zkmf}b|1%2+&3rZ8ysP}-2oPHMV^juS<8N?ALEFlg9fa7%|8yOUUHsfkKrcV{0F-z6 zGkOqal;8Ioh8W|kmLl3iemd>R^MD9J4&94L!Jm%+q6BjXF<`Vn z=8LP?Ex7m^V2^<1NAF*(U?HWkaRSR%h_+8qy%vxlsH4Bo+b{V2V@QdDr(ys}f}3O@a1T7SNM2St2;JXxrDHg;EKs+hEo}!P$E(!keMYKkNf-2lAf@khSc~vlrGLlw7&^|WR5j1z{@)Y&I=9;ezeUKrE{p98|PlshE~ zU!&=nBn*BRkSu)rDJWBfDYR~+3Oy8>3S~;&*szqS1(+88*oYJ;|`w&;fvcqye#abY0@a%R|Dya zaQR6{O~S==Y0bj23kY*nc#oF%7GW)=>(_({^y0M&#q?IR3H6jcUl%@{24%ajlJmGOnG|-9K$;T1LK~-t=!rD|Pf_j1uz87uR5NWA{li2U zZ&AfofRE@M$`gD=^XEeH6TPU!@cyDJbY2o5`lbuK9iktotO*qDp*J;16k!7F6fL46 z$r&vAgL<B}UXv$-`dJ3rAp!6Ro-o+dk3L15n0`PE*~PAc~{!b@q$;=m#EfihiN2 zBT=-O?p~7U2l^GsqR>VRpCa-yKsqRLcp&y6(FeETnJSvG8Op<=B}I^qh(?njrHM52 zKsYKI^F!=((Y_l{W{8St8_N>?PCG!hXn-D5j;M-uvs{s+3WPk7bBY7waZ$s5zzLDt zw}5=nO&ekth&KFyaSKHgO<)v>mdAr%EUGI3l!(^93jRsaV>cn45*5)-d0MnC2)t6! zlk^ssiB?kIwOq8!4>8Y(f+irH6Itm~{7TW*@8MDIw$z8XYlC7@px9XtbDqsX7C<|`rv zWynpUdKW00MKLcS*j3TCC4d%@Zz*^;Me6q`U$`autryxZQ9bohxxuDik9brI3>#Of#e~c ze+NN5#ff}`@e;qa5w@-3?X3WB@dmnGKH^!K@Z2WeLmAk1@pnuRe8u05-<2~#*0&PVT%y&It*o`Sa=GAC^7z( zM$C9IpB9MS;;YnHjuCrQ!E=u|ik6~S@wcA?_KN4x(L|isJp`fmiR-W6_r;6XIB7jk z5NE#*#(wd1YO)^?zqu2TDDL|f$`tWp8(a^H%btXENc;_@T&d#Pi{Kp=?_31wi1^sI zfHZMDWyVLvkI4b)VqeOPGsGJQ;CW1}r9>!G{LMT-mUu=wY}sPxXVeDG5kH;^$Q7Ft z0eRwTDvOSbgXv4e6XG?G0rJJA)SW02_fmpgEZ#`1s1os9`h%&H;?JH1@07TQjvr2o z^C*ig6@OI@C=*xH=1?v^NTtUaar9w$R)`amVLL09=hG)l=fr1eYpxVO-vys4@e@|S zdGQW9j=LbFX#p%C8c}bi?iA94rh|<8z;#ss3Hj2k- z4Z0#OJ%$0B#4f8LHH$w9g3DF$@fV?N5l6g5$<8(L1!_RHisM%S+Qf^(!M`q^O}j(8 z_-o2fZitr+LFy2@(<0v~wk(0?P4U+m@Vq6iSp{Xk_$sxx?~1=U1;T*%QUP2B#n(#U zG9*szhcqm1c@4IE;)3~5-WSi916Va8X8jD~192+lreor-wgDcBFBY8*%quV4~sZYCIQj-pXuOxq6T zIzX93l?!FL#A`pIosr!75K@K2L67jPWTyl!=Oi5$aD^8oFVYjKmn{APo(+SQ#jF+^e5(IB))IKPEq^Z{+ZIkkF)a7*XmA*@p z)K6;AKPX`sD(g*dB!ldK$ zMbR$l=hWH>myT0iA0<6TF40maHGyKJ5%e!u?UCMj0T3&FYzJJNanh+T;j>RF@dhzo zI`tN${nE;Bz&IeSk-;ZX8c~ellcbN(#|O#MOSJ2!NZ)9M?V$AXYw$cIO)G;-s?>iq zq8yesEJ2i`(q%bNrb`WLpv;h}10fxg&ZJ|sOz8^Bdb6F<;^!ddNZaiYbEW@$1jv)# zq%Z1^OSig%kuQxo2xWoPF2hKL(s@N76iF|vf~{COxe;(u`hFzflr)W&;M3C1K6sW& z3ym1JO#0qsD9=bgrQca04VeMuS!ob;bk9kh>%RuEQfi>g?Y#5`y}uWvf6yJOmM)QBS}{sks-*dg;JYNSCCK(;L$$-J`-4UXgC2)v`(Y4Rt)4rRSuOu1bsO zUoyNVZB2k@t5ivgZJTrpeU@=uI@?LVuw7c}0!D{)ogUgwshSPtP3gPTB)ld4?E~1l zq;IVO+?HBPVe64T%Ym&|I_n&w-I30l240_3`4W_OrL`6)2c&6~Z464&vH?RIW&8jrqh)Dd zBj|3~(r`#IviL)QJ+i8Wh!QIc{{^-<8U0V!F8gGgl%U7U9+m(SWaXD&+bP)kPgcRPGa06G6z-SX|m_lfOOfqZ6IXG;^|%< zljVCNXr@g1KS)`!OVk6-kv&GKbgt~J?#S!%#$MvWh?`%VoiopH#@crWNt5OgRnobFwSc{;ZU} zLQk?v_UHx#yC4gH2`<&LzaIzG$ikh}1imQys2Ik2Sws!Amt-ZsLTZq0IRV>cSs)$0 zT#6h7P z+yU8H+AIcT?cs14l4w%^va&YN&b1&&`6gRsJG1c)jHr)CTd9UoeBQO}^kQfUi7}a$7(7 zAv%usm(QZJkO2A9D%f_&-Ns=HlH*@;$2^uFpu{3rURn)hh`gBYcBp(Fl~KFo^Raq3 zUBczubZ8^wtv+y#mP=9)X1Bab2`NT?nySG)@?vU}#mZj@gDp;e!~toa{BvqE$ICP5 zX(!0{<^%T2U!y7`QGPxeyd?RLwfITN@|I126nTIfln3R7R5+!|zkCS<=VAHL2VfkL zCsGZbCJ$W;pQCc|Ll`sU0XLyMCTG*|new}_AZE!|QvV}cewD_}mCvMNBTr8M3%1K~ zxr*A%1@c%Pq7=%#C!s8oZ=z(jSpEuKOo@CEePMG-Ubq{!(@uFiWm2W`C|a+|&odsW`}6?|Id zfn|U;`76I;i0ksGR{=NVxsmYfkWbO8)+zs+-t=4Yw^|`}%M+Kvc3Zyd7^3vZx3)m) zl~>TBc}KpC&ZGL}DO7CSl{1YPc0m5Plgi#fxt-SjA^B^UA>EUILNouq-1h}YBXW5& zh@*1VArK$RM~0ysmtX6~5EF7erEXL5px5Byp}0nwg{Q)m7Pzg7B8uswP|?b^O%ccg zY*&0nKgn0ImWnz*#hOMZv;m6g@gVL{)Q=-*pknsdfFMOORRlW~mQQdoAqvG|C_@z; zv?7KnGM)nLQv8(!Sf@x*j6k`=p8LrPH`+<_quDk`X-en`P+fRw6`&`x_q zu}29hP4O?axsED!(4v{H=%n{AQ&A8Gmn_9gG`X`Cv$x@12qOgRaD1;*QPia3aMR@Kx@Jc#rkeQhhlpuJUg8V?Ly#9#q*=k-cr0z zRb7|j)+#`^VlMSDZY$WIAWW~~43(yL6fZvu=u=eF2L}C$_Ce6^DwYL-FrbLK4$ncw zU;m}eiZj&d99Ar$X*r_!jE>4i6)Dd^`9Kl#GU#Ip=X822A1XeeWNTcpN)7FVVj(s6 zClv+v08} zEB~agF>{n@N$|{7j(<-3U!F37p3HHjbt7C)C?5m@@|8c*EGKm`3(C_<&qoovROwO;WtlRS7PxZdNh@q;l?P@3&M8}|e_yG* zN!4JL@~czy*Nf+s?R3g?LAi@^kZR@PUoldRGPxE|tK7RABVAO!`3In0xt}uKOUj1t z01e8#8Q@)3M!5kRm0we3d_^hGf@hQRJ1WeZm2bPivqkysZy5KQGM!%ER^~3!c7~N3X_DPjzWP0s_g5&( zTrtFmGM{RYQRNGT2>L)dhpuT%c_#=y z5xO&8s%Tn5x2l4DF_O0`#6R*CceFg#sX+8s#e4_X09C`g@Y$jI>{~#fD&;FEgH+cG z!3b8pUkoWkCGf#Dg{tZ(qX|>3p#5N%>QFGEgsW;Kutlgg(E(bdY9@VN5v8(G8zn|H z)d9~vD)Hm+j8*NUKE__v|C}cfCQfyS4y*R5RQ}M$t5%$VYl13d1*H9|cb`L;L{%go zkfe&GQa)KVx(Se?67PcNLDk`8z#-N2)o@8wt)<=Ju>ce%3RetdiKXvt7)$~p-R@lmalq` z9%X@QunAXKs4_;uvq-h34N$Bar46@4r5=EEQWcd6da24zM}uXmpS}W=t6mv`@{DR} zJe{RfsC3s5?W}6^`_P_K`3!Xhz$(?}6$o=db@gcwt5uF2fEv{q`XI4ZRVIdK zooWHSM;BG^)6=R~J@+kmmsF=y5wk&Mr&eX7>NfR8uc-Q0!m~;BEG3@JszpEGU)XoK zs=Dohaa&Z$zrppIDutH2R@L*A7PhI@d;wy+>JUAj8>$2ZmJ?H z;dx7SV>w*9R7+@u=vIB)7kT7=m|iz%#~f3M=~aEG+BORVPpGc{gCQnWkxk%FsgeW1 z_f)@-0h^b4dp}^S`f=)K_^8kS1K6hC{y(^ES6}}I1V8m8-93MGFYQ$U>SMuxK=rFF zh#91gErPUD{XsSeA?m}<_rVWUKSD2On0kWx3*qV)-+?kh{VlZuBGrfghAmp%`7#*0 z)qS5Kc8q!jx?nD`>XQku?Nz(VV2e{%U571RT}6v$f?Dwdr2XpKJqVVl-v1G#B=t{T z;3cbjXCw4M^_^ED%buI2V#fRgF+hZ-GzeFvhJoKb`u^eGesaKPm1S*6aWiE&zxJA)~MI4z__*QN9eeyPVGunK)rei`CL-3 zOoK~eXw(8&)sc3%I9VT?O8K^?Aw_N7bjPczK{+S_f%N-9QD=xY~au zVos=!(YrmVelL{1qVv$?PD3Bv`u4a0r+Z~ZUg)@ zPm96#*XXHF9;gWoK+qt~-9;em)JSf?B}6mG#(<%kI6fdu6HYHsxMl-AQW11^H5HmIJ#lx7bQND#RIhw8Xr^&gR7kywmuKA9t?-QEUp-|>){-&u@s7X46 z*hQM9;ZPQ9s_0Phq~?#O5apCc69SjhnucG%E7KhL7*WbKuf723j3zD)E@z#Z?`Ul} zr*Y&$tkf)|Qs#o@8#-mH)+}ZsXpN?RGhAvlMSmc6oyL0%o)7*R@ zwnk1_PmhK}ry;!>X9;X~H0Arj>(k^r;WD7v(+K6D=0oSd5Qj7ZdI5$tGyjCoJKk;XMIQx!d-*<=GuYCbZ;Hl^9} z2RuErfBXr_OY1`A!d9(`-U@H+ddil3w5y(Ug0W3|hZ>68wNJbTqp$Y!W=MY8hV@|h zYiCA48K6zog0VyU%@k~b+FdkmkhbF?q@7wPbxwn|bB}`%qE%2YdYAV68r-gM?T6#A zMQF!pmPBgHZh;V`UG@`PqO~34RNd{?HquHFqg_!4pFP?)sj(2N&7m{Kz1m1xOXIYA z=^SI9c6Byf;NHtoI{{d>XMoJm$w9cns zyQs}(L0PZ;zM(K^piw|-PRA%pgTw&yiO8`Cy@3wWq~nofVlwLR~_ zby9mV44zZkXIZd$=xV0J=BaC@U*V;D>=6dnG&j!C(|vdeQiLvnhKSVl zbirk}uAg4tSlu{P277gnc*7Q_o2kI1?bEqZAr!CsmI2_k)TL~!8J$nG5i*;(+qDpk7 zw01cwbS{(zSL)s@hOtUFIUm~dx+Xi67j*NeM^md?Zh^91=SJ!8C7p#*kZZbHE2LK4 zmF0jo-8wCTUf1oUQa`p>vjl*QvulmWpYm+qDYPE!`JrnYi56-FOSU9;Z%D z?ZIB%xt(C#(fOyqr%(4BZ8iP6JCw2B)zwg8GN5}%>(r2LGkuFbtb5@x*zW0sk&y1| zvL7JIsIGboln-<>h%lx*L^bV%PWv9fLmz5HOi%qGT93W-Vbn9E z*59LdGe)ncPSGBHMjJe1^-KQ+?A7xFpp4Vcq4Z{-ewTAO#*No+qB&^Occtk%z4gpn@lKc;N7UVoj2zoZYR zQ_Rcy270L*^%jbKMgK$t;Hv&}9-_7Ae+`0kP5;!JAhhZ8nju}+pP2=zUH>H2L!J8g z-{E;v@0<&`rFX%8>vZYTw=99!t&jN`1NP{jrAoC|znTjCJ9_h5Q1(%RR0L&S`YNo_TXY3>ZQ+vFs}bA z7A_O|$L~SuoYWtn{a{L8OUsUz;fH+~eygE#CBWMtd=q>h!#c|Lw;P_O$>M7$+XaH3 z;qyQc{0&pDVfX+;9-SWs8QkgR*lD;+6<@I7?i}z!4Bt<{CDgEt-o`M)XU{;2FueCF zqC`3k&#i%Ll);C}o85*lG=Mz@8*PKJhD6#(_8NjKp^P(dDIbeB%w2^c5)4NA5@f%j z^(ev|FxX~6nPm9z5fG9MlCMBdF?>&VE!A-12H>z^%LjlXhF5n0(hQ!I%N{j24a2~6 z!(1*P!%#*$&oRSQIxxvJ{8|Ai%P`|MM#?t$l^}MG;iKOHxrPz}2zdsV+weSYIIt4R z69#?+2>Av(otYIFIz4esg@)C%4Hg+%=0bVWkV#weDZ@Z3l&1|(MgBQ|+Oy6e5tQWy zn;FV8hT`iOufpK@1n6fC#YZvFIYSz~RFwuN)jw5+*XghE&Ktg@llFNoP(ChWDwnd)bg-hSX%pT#xH)F?>1=(lvv@NvUP4p_q2MHbXG2 zXx9yS?U33HJ!9~>VOSTAXdQ+(>EH3|G>p@ld(*IwS}eB=-qgP7GDK6^*KP3V$2HwH zG*f%7$M9-8c)f;fHh6ao%jsA58IIAP689VIAgZ^8hd7dw+tk)wl}Dm5aA=`hN|4ALCqlcD}}ineYiPM$=-u!x;7>h7UCU zkA7j0aoRUv>@*4}!wfd&P}Un_oJ+SW)VTZzq%h+@l$q`_e#SzSa3gmecoD`t4Q!Fd zL)0LOGS-`*j5gL$LbBV~gvPzoCC0dI6MXgxIXZG49c z%yMJe0>BxkahRrcg)!hBT+bTkQkHbiIQlt^mB!Mi5VXp8f<8VvZ&dZdcER`_b<(Ph z_o>lSW1LA%q*^2IaS-Z^O(C#dG=4S{QoYeh2M3por+%(D=P>M(Wds$c!~;%aig3XOcTa$bRbL` z-~SmfWo!{a>0$a|7+2wGDy3QNW$NgIZL2AsvN>Aacotm!O~Xn+fT<=Ku*3AlPw)&hy+obAAk*Jpg0R!%`51->Hp$+AEyVN| z9ioJqvT0g{nHJQ+Gs09sr~i?r*|c;@%pp?+rP+r~zLYB+G1;R)NHe`ZpWeT8Qy3L88K%u|Lz`vlq--VIlt^1fj;Uh@ zY`La1KiKk2muT%hZdy+n&V*ErJr88vvL%=?lsM6@~JYY=yvGpI_4F?W0k)dR$0 zvw(Jq5_1CG;FD$%?I)+q&(ZRJ+RS_kE~Vz&CMe6y|IoJ+G38oq<``NLubXoo1GJk}^k8n7myg4x!|X%( zY9~I8hUZPQY7wMc=HW`%oLy#@L_?|lV@~rmTI?U1O&ws2n+IqOoiGdOz4x%Z=@0OaAWWzwKn4i2y!r{0yDYh10KzQ?shb~R@f|?yNJ~pT zqD5Jfk3$)4$)X{4TMWM;Sd1l(3W7bBG@6#Nma8(@_F7uv!HcuhABVKhQtI>qG2WsW zgEqnP#WG0yE#G|%>42qjA*4i0;vaBLvOFV1m}JYs6}YBDmWWrOJYsRKf->E5m`cM8 zOO79;EXzADLCUsde+9^~Y^HyhD%X-ppHAgj);MKzYJak>*60d<%naTY+UR z{dG;D^fHTxjzr5X z*XTZ-v1HM^Sz+1z7eb%4I9`Nw&SIrBveMFShE!$wU^~5k=PgHn1>=Hc(IN0_En`=} zsIzb>>A7fGLHTFBC7WvCOO_v|WB3Nkyhq`3+0s7?QlsV13$R_WM0kMSWJ&b{G+Uy$ zP+qmfJK))338Q1FYnDr|B3P?sA7vzM7JC4-_^(^CFT z7W~`zcq=UOpP=lqgn5J5Ysq^DwmX)Mh6bQng<(-+3 zhAgcM;WBKA^}$aXu@wFTY1Gn6?QG`*%Mc|fW0q=~R}U>UhXCW2X)gjMEG#`3la`Ho zFs3XQ=oxspEuhKb>6ZH`lwNM(Rgkv2W&8;6cI$J&Rrt8|tOjqJTbDmvw!8HgAegUP zF`caixUF~@E<4;l{0dT_)9uGWV33

wpDFzUDmyDg;_SeV;i)L!1@w)6{x33oF- z3YQ4CxYZy;x~-zED$4CsYDCAlt^NV9*DZ85Z1HaL$3Q>ewnznKvfFdifj;E+XBr4c z+)}9!N_TrB1q}cw?cYa7u+`Qg|gP|8G1+R-TEnoX>pVM1Hv`8XX*j1ZV|MBw7b3b2?#gb(yKt| za65Av!*;q=JEics<@W3sFm}29H4f-@8>AAX$IXk{8NF_gwL$84E4T$1bbEsf!mwL5 zrC0ad*8KpN`)-RrgmTnv4{ewa+%!YDm@zlwFMx+`jOPI3Zigttop7_#dpqg&s}{q1 zTC;kb(0W@h(vkIc>+f_g{H?t-M4_Z^PxdHIkN;6V@ckV)L!PPzqFFjiIf%(0cbIT#BqO`~_aIwLTS6 ziS^GW*iKrR)F(P+eWM%0p0?_Lg;Z*7qGkDvHDV*6!pf&tbkVx51X6?bcQ3eH zwu=5hutw{X)cCt%eO3vn$+{&412$XTOAzy_wQfJ4#kyb>)ehIJ1ym`vT6cX3ZJV`> ze%f_w(uW|nTMwQD@rHFCWdx>%MZdzB;le}fkDhG5~yO-i(x~;u0L%MA( zcZX+>)sOCWul1B0=y$9RnvQp^N%XLWtQE)T|L}Tft@Z_R+?qzMhY9P#&44LuKTT&3 z+XhO)J#C9$1H;SK>x-b?wx`bkd~BmsP;9dm(@VA8R%3?J*Y+*dUw*bcTIT$10hBBS z*jDBOcG$d5fe>ihxE&B|OC6xKGsHH33dT@d>$A{?*)&_g-(?&A3R1XjMn7y(w!_1S z9c>fPBEQ>~{VoQKv7M(}e2?v58wjyBKBbs@Z7Vmx7H3PL37lZdoChh<=5`yr6k7p7 zs_pY`*wSo`R6IM=Z4HYNCd1~@1>-T>SSF+_n}AwMIkuTw3>fNh+X=Rw;p%GHK!rL@-!+Z-$5a?e&i7cTd0)9EIR*usBBv~e4Q%Et-Y9@;)9 zZLH@3Q?|o<+Jx~VPKcU$YWPd&%u+ttWhfAj4q=dz4g0+Px{0 ziLvL>&)#GIq93+c`$f9Hd+iHuAWEG5NTM^*>1+ zn>>5ehk)buq6{!j*uSE0=kx7PdVpA9kEc|o&>r?O;FNvsH}E`d-xCX4sr`R-h*oAl zPiM^Kc5W+_XY2!1kW|=Z-B6yjs}{lKoc()x9nRY~Pe6IWUQ8{EYWoW3Dlls7^JV}p z*~PB|8tfm?5^>pHvkp?D{UmJ?SL`#M#x*tB$Nz%VY=7@(NLTGE&O>Ukr&7|=ZvWW_ z(hWO*97A;2r_;{fX+Kj5+fDnENf_{!eM=l%y6l_idb{l>{`-gJZrfj_B)s45OI!P0 z`xEq=2J8nZg&MT~@Fv0x+5b+4ZP>j@RkNdODgsFs_%Q)_~Ak9a}r;Xu{jEMhyOT$99@6z79Q&>*sKz zgL;3*+e-mKj@SVZcRFflwGDPm(M$+&)X_``b#zu^q%g-adVhC00=+>GcW`N2*zK52 zF=HHphj7{Bc#Td*VjTyl-L%(Hi598TCC>5wClL2J!bOM{?^yFVq$I}^E5Jy0^jCwQ z;^;Dhc+gQ`g6)vw^Gz5j)zL(c^01@gO}HF!+@Lh)nBxK6u1v@LwNPd`yn+xV+wl)I zV{;s<_CT5IczZcK^Bl1f#B?5alsy8U0*B>Ch=q>Y7C@QfuTK!W+%ZuG*E5dIKSQc; zOxp$iSw}5p-<1v@x(QW|jhA3M?=aCfE!7Tw5a_jzqJ7}iIi92!;G(0OZhnJfA$>4% z+0pS7pwZEI1j;6-W7fXK=-o6k+lf?R)?Hczc$BdTBff%KA^9J+8u-R z0^M-D-3VKUd zk;V;okEDkd;U3zGn33-NJ3xqXZ&?eMX!kd%)Y|R-41I|Z zWgE0{?hZZp3GUPS0Q=or3c)|%{t6unC%PY_qADzn{_|C^TXJSSB-dG1;H zFrILKZyKb0_uc0J1?~g1q87PF&~fzt*?a4_D7P(ceAeF20Csm_$2kU5*nRA7kC=en z-Giixf}k=8CL$^Z1_(A_x7e+y*nx_L_4_VhbdJ|^y!ZaD@8|vFo%1=*n(wN;_w(#n zdp&z_uU$ochH-YAIS!1s%g*4xj|P0cSY8I%9XUH^@1=IsEGByxqh05MHqJDhA(|?BY35 zblI*;C}dacD)O{*)$Z0DxVdJxlw-Y{cEhs*-Ljj)JAy>J7MxwUWA|(kn0M{!^Va{K zUDnm`a^J3{C&&Z4j@&&S+D+QWsoBSNFRf7EQ#(gieP&ma=jG>in@528!mbEEgO_$e zyiHHBJHT7<*LH{V0ll$n!a4J|b~Wz9;Jsb3Ga#Sre3!uPvt1NtXusHvaDw>^&2>K2 z?5bJGVTzk3Gq1Gnn#wpzfPXqtQ&tTNFU>f9zcf>mi#xB`{0d~DrXnXb12l%SsFO+axd+SxHRm~6 zS)^&l9U@4xWGqyS!J1Lduvn})<^%2$O~YE3ATKoWL*e?R#+QTISDK4Ufl@Ty#t8U+tqJ4p z!W+%e22g#g$<7hYJ5A0mV7}L+z5`0tg!9z!Npo8R7n)J z2ji)|%ki9-c5xicXKJnbfjLXtk>8Zh)^_K&h~C=zoLZfuEm+J5H*>XxdAH-Etv3J` zzFLpb5YE%OEdtX|yUh{4{k5xh!*HQC@eY^)+VC(SllC>o0)g6R@1e3t`t;$S-IPY0GYb%3*EG5V$#_t(_a1N414(fq6`e90IzY7NIF7IBo6IP)X3* zavXO?+j}XPXSIR6ik{Op;0@GyZBB2f7%ylghi(_OSCT+3YYSRK=ZZETpT4=OeZ}e5 z>)LP*`EF^aREF%Kwww!OkF+&8B!8;i$KmTU?Wk)Y&$aJ1z)Q0B2GB3)K4H%O;*1Pay}c;GJ9RpHfP ziEa@`XG?YYcz#@_iz)@OT=#)*_X=Ih8IXnOg1UgL)a7$RokDfHzo3Lwy7@c_h3Sfq z1#`9TzVRx!YjiILp%!a(aU8RS>+W#auuk{jHS9O&Vz^Bkbu~Ey5ur=rr0OPJGQVQn ztgE~lWQ(rw7?7>HvX|g&o31>+ABxt^wTEVmt}N%{x9gg?g1JNI&-3a|T{4ffUAiE9 zBP@37e61kdqdUoOiTCP8^#zL6eZZSLb(}8$eyGIjlCGjM`*g2EKo08Mc>EpF)k+3A zt}Ds;-V?eioK8Hc+q?(9Pw5N`V18ORd_D{kba6aH&*;|kPX4Sec?As4=_Wnq-#why z-Qjv)(rw`R>Z&duuYcEcy?Oy%*KK4sH*{T7VR2Kpn-3`5(iLH+i8`k!klVT=Eg-w2 zYpa6YUELne2PWwPkAOVT)#IS&p>7<9H&1jepMa$5MpcIFgYI<@{~?8sx?{b;{iJ*M z9<}(ai{yg>Uvw>OL0t4p=fSC)K34${cm3z>V0!3x^B&Jrzms=BUi!(rLeJLMat89& zFXP3{M_-0p=%+u+xw8fO;he5osE>#T3D7rs3uh*M{XEb#2I@VFfiBWN<#!W7`i*m7 z7_86N3_6STb3Q}1L|=~IJuTN$Z=jWWU#@7VzVtb$tkT!ug&<7t$oFuy{sP~GHTo@6 zLDuSv->5>jYJ6%`k|cX+G5nJ_keEI`+I;Jsn0SNC`#|jYx*|* zMMAmJdh2)a7NdW~k7B!i5>Ey@^y`yBcIsDoLS>JBR2iTH`h`4v59j#UtmUe%tSm zUDEfT19DmajsxXu`WPN~*Y%TsM}ar=HXMW}>dSN1_^v*XC*Aw{4!j;b(ns=={#5^w z*O6rX(*Q8v>Q%e~ywguI@*A-C`g@$OO4T=A1@b|!X$+?y^)WV3{iL680ji(%Z>K@_ zMIUexWQL*FJP=pI6?YIf!{8e5;%*4yIn2Y*{&(1U8X6sdY?h(YCNSq1dX@+AHLOg8 z>v;z13E6x@@wC5Y5ny=4nOc)!!gUCP3^tXZy2Q|h&)qCH5r*@XP~T04rks)9Y_P5i<`%;w?vGmyPdK88G*BSS zqYPJu@T-w+hD_NYj5f^VxjV*iYY)(NLjaGJ9fnf;YGtQECV}iSh`X@gZFuYi%{_*l zBS7{V`V4`$Si?u2QR583)lqJ|p&e%~_8BJn!C=3kMNicJfI;U4*+D~MQOFJ%b|5@5 zst+5=JqA2tXu1qV95p<<0M%oLzAxeJxS`N7$W9nGaSHpSVG<%%^(n)_t3am>=Q!Dy zV3@{lYtI?%dCtCQxH1~dD~9I099=i;sRHILLtBn(5)Bo5Lw4KHp#_+C46|xL#h7F$ zmJHp>q|GR6|P}SbQ)%c>&o+!+P!spA1X*MayS{RTdQg#Zc@Zh>Lv*4iIM8yYkB8 zYQK`(JEm~FqXGwi(WjkWj{;~e|VgF)un zx9$S2kNp#lSbgmatp{_S{eWhug`fSNra=Do%Xn;n5%7l0Ppcg_J6U|%e4 zX0z8ffq9_4e1-xS+52{dU66f+>QD)`?^_;dvHgWMP+4N%*2t65Qu{M=p}NApOD3QY z`>LGJUuj?T2uP^?R$lm4+3VY&-eLB-(J)+X|B1J@YwY*C!fvg-^>i@9?GJKg*4f|V z*R<>H&%cChgMA4eup8}9MuHh(--lbj#eUmaxZY~t#f2lHNc-mFpc7@EdI8)R`#pm| zcG^El1leQXg)0+h|2(%;A=FT*hk9;+-!Xfp7=7UsQKjZ){st#<0Qw_UERT%_9wq`n%=blG>ygx4nSOJz#p(!NJ&djS^#b5+1y0K z`K?_bC2`+cM4!sTY!%I$3|Sa0<0)h{UFCh=8oJ7lYAx;C1(j_yxh)K$sX#NJ7&=rJ zn%k*U4A2g$u^0yUdtxI&c9HRr8nxI>!LMPzhwgBH-%Gt6VINCVIT;v7{?%a^PuX~j zvyU8BLS;X_F~Q&f#V-RnNXK~}ev_PrK=T%L;}^GyG>m7=+mxN-fjbmd4kVdM#)A2R zdh-Y+s{GW}iekL=4Hto&Du@)3ypMX2CZ6(!Ct8GQU=Smmn}Tc?+onNfhbWl} zm7Ss@=el+YoiogLi&Y(=vPTqsgUaj`b8bK-Ry5!wMx0nvm*?Mju~P+|eIoe_boPrR z&Ojd!nJ+{2py=TY)x#or63`LR+!-Yu6|;HGJ}&xbP{e(4a16{J2=Brm4@DIP%tylO zJv1K+Ki*9|5l?uWJQe2~LiS9o=0wADF(-=SpD$vVJGd?~`8>EYXj z%ph6h47>!(Q#|7>mY1TSX~9OQOxEGZf4Mxx>w1g~-~eR1{GIa-JER|X zy`6G2FYmkLr}7|&rGXD#9FfH<109uh^MM?bMR@5yE{CrJIw4Q5g3pt3kUhvLnQ1a= zaYJ4K8ve3IaaT*%YmQ#tc9D)UTUc@LH6@>4r7ljUU2 z8@!OiLxEn&&QF0-z6#FEgB}$fSKw-)`PH99aZ61QeC`Ls? z>GMj7(gjhbCiy=69VV|`DDnth;OzPd(s6G;Np*5Vc99~|vZ&OGyToPkfrDwj&@ssMeUdYxh5)=-QY2_1Lwb`!jLh|E(V z^b`kngE>>2Cl$_(DYeKj1W6tl_t6>%`nRkoDr#Tok`S&`GFl z6oo&586oQN+O|p5<{Z{$(Y!uvehkAT)2t5u2fMQ@W^8wOcZvD#$Zg zk=Oa>G9?}c$+EylXugugo&lxEF5N(0%b*iH)x41tI3Ri}J8&@jPKLDu`5@gnMExiy z@`IV7lv)FCu1ZI5Fx?cH9R}{oQr;$eDu;Mx_El2&UFKpX@j6tNDX!c}mn&6DgRD^A z9EEv^GM)p@l}cUSI)o|*cqeXLt++IXaE)?r2fVFQR%QoUuN30vv_WyeJkE%lj}J+@~4-)q%EYtL8w*$6`h4bOw`f|%s}#w09r&td|@9%H3q_dF_o?c zW(3{d36)J$mZSL1qL;tiMM+ z6qr9Ghu?wTQfbcQy`xN#&0N58m?@6+B_gOzdmU4E}%H2Ooyg|ci0Zw8g(Dbkffc^~LT zlX-{WP8%8ldC=OmK%NxEL4p?z;l#pB+Q3g_7Hy~q_p_<}V5oSLhS$eAbdobvb7|{% zARp?_+aq7a zW2nOxFyrY;GcfnjpQpjxPa%up@K#S(6FT(|Qw~2GPT(puGKO{rX`H($ zoc=M0pHY*-oK0$ag=e8=A)jH~JXDA8Ee;LGwPm|D;IxkPL-B25hvC}xVj%eK`f?(! z{iQhAcBti>(R+&Ls|k(KA)~<^JG~1u#&6`kg$o^e0+uV)G)BSLog$I|eP}3;1XCN{b}gn>PoWY<^>_kaO{+NXu!b)4xZXnkVL)4H?`WV%3aA4V zMZR^Q8B6b$1I1CfLntVoLOC$mM^&HmE!|Iv(@@fB>J|gT1WLUFRgAqEKxb(LuRG_c z(le0rw6q8sae>agg6tkOTmt5O3Vi^B2b7Z!Uq7KZYmlc@hPV39$d&Uf&&jVS?2@V9 zpC~VdrcMX*H65SB^Zy$v!I_!2R4Nq0chsNz@_TZh2&R{Kg!kR)Be4bF%kdvLE$&61 z>x0at+U(YcZhwKLFOB16Wge}o1Vuk;-~gFF^{b0|%%?X)QPl+-;24TW(oDx6-Zpc6&qIaRrb)I4?Wr8RXSi=zZb7#^eIJRck`lKyw7 zTq6GlK$mG&0gx+nxdIHXk;ehZ?oXpEQ_P4$xidu)FQW^@(B-gODC#`|(Nhg3qbqD zv{mqZKqw(#9uyIu!8|O+L;{@??{}cU^WyiFP`M!HaX+~zthgs%5<|J9%iE z-9a9R0UVS+6axc*9*KS&Ea9KadeRmaPek1juy`t>IScem2tGynTs$rgS+e-e3nje} z7dfJNDZ+T6dL_En21*exb+CIab{7VEBdTzc;jI{T3|`)eTHRsyUS#L_ELHe$p6G*k zb{Z-lMNNL4^~os0_-){45jzAHU&I)mCtT#8+`VSV=J6n|vQ!TcH|fA@y1Tr{PCew3 zVkp;BHsK(^OM3C`o+*F31lcS(n4idO8OX^AZ|S}cWR4791I@XzRSOUwd6N@>zS209 zS5`l1aspW(r!|KCCOKjz&}O-RFI2b4$DG02Dy_JPNV(b#%xyB3$NFBW;TOTNa_?iP z#L3@R0maKV^C8LxxDMSAvZh0 z{-(Uo-RqXj9t38hJg0}@ZE05rvOCh5!~MIm6}K=+_CEqI_hfO-Ry>g1?g2fKop=K? zQ+YE5DzlV3lfd*=I(ftALgj2IpSKB6Ch|*#K*ePb3`3OWe?YiW`GfN$tCV;xrV6Y; z>JslcZ(aL5FF(zF0--u+9ls+R{F@i*GQ=SgIzx|fkU#8f7hH$$;plY4YfiY1Jk4{_ zsEXt9ee~r<@GzzrciXYWjECT2T(UDOGZ}6u)<27<> zVeYll+<1#WeSSsojU#U2`wS|%0K|=oTAO!nHXN2VADkQ47IRxc*s>fSI%su-SLfEP zeZXmR^B@OEZFd!h>vs1!k=UW-cofiYIhVt8fZ?<8efpU- zeE*H4h1i9>_+-=!vdIaND|s{l(~aCpL*1Qv=)v@$6+9q3>GFIKFB-HK%$bzZA7#%X zZ7&#jQ=5}82&6`hKvs}reUK2USqz#hX$vm}q15OW%vX`*-ya#n$ccl9)%1q*Wosyz z7r3=_d=o6f$zvwSI?Bh7bv;>SfyxGI$ajGB;=86iuy!nU#USxem-P|BSqDFl%gT+h^SQm?2c)z7yA{tBwbE!B} z=_s6riBH^TR*MDg;d_m+>j&mqabO-$xM+V8ZnlWmCKn@4UVHH~JS0ZomZlF&y%&_< z(z|)kdPmnepm|T-bzr8_O%A7Bh21GI-9&DF(B9$zr=#bIV;vz|C>HVFGf?#6_AeIp z71Ap3emcln@%lDu6)wsof<%f@zrny5C7PFn?`@(o&o9v;zA?D*Vmaq__KEPVaI;_B z`Us1Y;u)_7r$h_h(wr9A{h@hTl;k5cS48{TkX;oiMPYDP#E*q}lISx5=$>d=7@E(; zZ{uK>ES~y;`9k<|jylySa&ZU$AmZDDd=w2hR`ZaDD!{^1Iy8oFFL|{Q$UK>2DP(?f z+XoMfP2W1+G}*6Ib{YvqQykcG?6t6{KJdUINMr@Y7E+&p(ur_$U~7Dw_>JUdq&uKr@x8L!jxW9ODhRztTDpWWLgJ3YZI&({q3pDtC0x!3fKf3|lQGWM;`CX+>4X7k3uDt2Grx<(leEC>8j=3hi_XY5c z?>m6kzkcO7Z{k?y092f8ZBasheM|611;2&y=o;Md$M)I)>9h_PU^TrzFCRuXUglir z<_G{+YL^X?xwPOUm_Foa50CTcH%_20qEKwovSKznaTYo&sre<-3Rj%i^$r2tM4LG; zzL`!i*+QN9&Tgd%Tu>A>@P?nAw5W%<+D*8Tn)dw1W18mO3-28cC!qY^o(WJKlGqvF z$NTerG?5o~zd#C}kyeL@9Y8@;@dZ#Yjl;PCBQ_=Reo$RPQO`h@lC?M7E~63$p|hMC zOohb?GFStJ(0fjUucYGxKtky*?{HSpeP56;8l8e-SJM@K;jxzDxTA&Bluf8nJbmHJ z@jj};Q^J0FSPJF`r~}Vk#)CBGHi|ezb2x%LOaVN-AE6mM2#(TOj$RP3KLa^V!Slg9 zK@prrI!R@C;W=pMWDG= zl;A}oQiSk<(2Myl3xFD+_f0EXXUoQxh9pojvEE zX8k+zVlY78A3CGkaz7iBxE#{4)$@Qq{oGMr@r)(>pz1K(DD^GSC}Z62YPMTiU__^E)z~2YF9L20-`$GYE?KMBVtA&l3?Z zq3I{G3<2>M13E%wzPQTSEF{!>KxLsAHU`W9v7PrWi$xBe#FmI4-g_(+FZg7^GSRp% z%$E!QQYdJJXyeLT`w(&G4B$%f=`l#C*ua0eYn73I5o*9CePf;UdRh=966$`s{+!iRG(8a~f@d)Rivq zD^E}Afwd^Dy2bq~b5(pfaBaBQgsZVpTo)KOe#7rCT6@feS(^!^@x84-cd_pBd9SKK$4^_vt-$|ZW9X+1o`>^pGnGWB^iHi~0 zx&Mv)z;8B3jq!ud=;3@)e2j55&rD;3dBlzD$D8)?_4~jWJ(KsqZnUuhc<$7(0TevQ znu8Ee%ET|gy=XfxcYait-!%Hu{77idrzZn}7SI&l>@1|1z90egW*-VOQDaViEu!jr zlo>=CH%_fAr4-%=tfof6AZw@!dL?F*Q;Xm&oZb!w*-D>7QBovb=fp)6dGpq48%6RS zHky1JKo&!$`S87+8gk=yQ14@q?IfiMWV>jXfZc9t%ro^KN}2-Ay;K^HL~V?v$J-%{ zBgwN(JmuwCbsv>&0-gP|@hX@H=;c(X9Hia3fDTcMvT%BsJU0U!p;|oZkCGclF2^Xc z1!Tvmz$M5|(124wCu!(asGOpTygNKir=!74pq%z#;uQNsM;M-^S+)?SQv6+%^nnV^ zfzBuT!f7=Rkva`bPcbMJPG^dFyavt_EknTc6V-Eq_zU7?eZIJ#7j_H8$^fV=6phNj zAV8ep!D|wwv!K90u{9fHi^S@lUeT2$7 z@s6j(D6xqTh;74Gg>Ox?aO2q^Ml`($vR!!O0NEk>KZUn=;p2iD?iZT^V1HbgT!BuA z4!nq+6mOlOc}l!|4su$|;z%$-SaaBZMqGag&9g$A1DfYVFz@uw3u6qJ7sP{#Ko>=u zYaE(i5(OP$aaoi<0CGh<=iz@w;rQ~1?}<}DG=`@2NZ zvKeHz#iH&oxFg2!Ht((&X#-i3c*zHUp9+8OCC@}rd&r)Ph*Y3t@vakZ?O%vQTj;zL zjh@5el{k|hC`AkohU#l^$qwj^xRDjIx1wryl=Mzi;~n>VQJDYcRH``1iTn>Dn&*>` z!f!q7K8gE#LB5E~17YqW-&BG5Y?-?qRJ`Q`J`^=aD((3c^ISRM5x72b3dcsivK~MC zd2;MpAU|1Ek6AqO%vRFI}*2?HrxCzGfa^O)|Y?C7=14c`V1&NXOj>BTR?8Ym` z4jIh3#htPlcfDP*>m88Ya$_W9dt{dTAbaH#jv!*?iB8arlXZFl#mm)xAp2$B;xITa zi*!aJ4bdxIAIrnklXFkD-n;k;z)!4)BG({-@S za9}zM>_P9izk5<5uM}PscNzxssQyBbAR5IZV_RXPl7DX)9-==h10ALVr=W6#e2)ShCD)HY$LQcZ zs2r!aJX4>bYLDUjBt^b~ms50v^NXkHL{pFiO7eor8Jb-R=oSRPd#ELu44eHD@ z_D#H|0=Y#mu7M;{XO66H)4hFAxkGO*f%%diY=WCt@r!>D=L8J4iUaSU5+&Stm`01;oMhdObO4w;1?2+TEoyN-7xY8;Cl5i=hH9uw<(!~TSD%?h0)@%{*y&&11}U_KWs z?t_^ux^czP!VGg>z1r9MWk-2t*)KI)Lx`@`-+Wxq6qf*h2o8ZZyb>eg_5R{FL@K^J7Ck*CZ{ zvd2W|+?HNB;q9?hRscPbd3Zj0D&t;2C0Sh{2(Pi=8uxN2Y!+v z99(~v4ckHUi*(uznYXfq=jOReSKgcYD(~t6`6>EOK=YN)=Xm~IswjVeyIdJM1Smwo zqsNd@dh@IprrhAX>l$TLDp0th?h3MAsdWJ*ZB$B?0@|b8=ikM~D)Ftk*-Fn2D0jbd z_6F<@DT&3Qaz-hzA1Y^+qnw((rfi6T`E_MqF*W|c!3|~GMd;jDc0@zxfzpH{xQ9wR z4aiHS5C_n&6jzQ-QWDu(yiQzFkE~!s5tr4SIMTkN-v0pPsycoO+}u`I) zcL641sZ&UO1Xh~lKxl@bc%*>fPR`*E`gf_YIy+Y42AK;e3tG_ zgwu1h;1cR|o>E5wT_8+SxZiY(Hwc%g{6*MZrd=kWE988f=kBZI#cx`!(Wy}&*Qv1; z$PKdP?95FHj|I6!HTX5mLt3#F_AhA??^0gThq@>!g-U0G!D~wH2&Rju)(6ZPV)z#j zS25NDRdW;X-h<2)4VQuGBWCdU^%Yz8z?m^n?2LusBH_V#54qtr zh^NfYf0D#Y7Us}^6~;2C_Fe< zwMdNo0um&gI8X={t26QVUo0B)CTEGzRe?pg=$ad7op4zIv|h}v0klDsuLX;ZqHQmb z9U}7tFn0<&eo?eb4D5;G4~pSDQydb7cn&`-LI;2x5uLd29ToL>vv5op)nFbM>fS&n zMC;#p{GSxHYr+1MSo%9ig80-H!fRqc3cOtx1v!OtLpK)K!$|C z!X$l`fEy?a@ax@0a-kELL2?Ioy2bMM8X(JM=WZY?WCV}V5LstGR6^yexhN@2Mh=9x zEz*4sWLssU20)RrbS225brA zmR+jD_fdH-2$eZ2J94OhPL4hda$c?(3zZ8}&soch(mxl-CHc-DzAsCIKfGL#*T#Z* zRZix0_?n!-;rn&D^8{3G$SuWyZp!*R=iidXXPHq%qI|?l&u!_{9^{VP91C(+A}&KO zmASEpO&@a8@4~3&d`@TA`mg}P+Oa%a*J*tP#n!#c;b6U4D`8uIJ4+iJ;YZW3UJ|5@ zm1NjJiJk9kE;U&3G zGY+HrzU>NWzc2#|bF9YmtW)Q5uyvk&4LbctW`)l5>2A0h*B(ZZE+pE*UDP@8 z4}N;0oZsEmAT?VRwqEjH4i||VUcncEE8$uQ=tY*MsiMZtItjux4+u{6@bq*|oX7{+b+NuH(0!5F6S4YRjNo}`w~XMu=pH$c*QUMF zpGVIzd778`qGOo zwC5X?EHl>xdLiGe0r?=Cb4>hE9_6IKC)s%}3_i=+?ZBL+6t;tkw{nK3m$}N}Jz)AM z`KkjgQv8yQs7;X4i(jM#E3bHgU#z5Zu4;*ror9LO%AiG14Of=%M7mCCxBx}0R~ml? z+MqN!1ldOAT?85vp%fbpv`O(j3fX3*@_mr4O2y^yvQIhe1lfM2L>-U=NUh>MopITcLi( zb8U!PzJSh3brkPiLe-6}z+9!y&nFwg)DQN8Y*LS1kCHa4n-2onqJG3-(N^^r9~eZc zTegKktop-8pg47I4!7gg)rLZ4pSoTVl(%19hqL7ejOs25xChm_OyC|;FUbyXht-!4 zLU>wzH3!Hw^(jw~>*`07;q8XnW(UZ9^^tSXe4q~L4&M*eV?TiTN?pA(yrii6GzWRD zE>Q^Ni+Vgq%`R3h+%0EVEwBUfwi+No=2#i?ZwH-gRfJboAFCrzK!U6q^oDA%)$x9i zEw;)z49q1~Bl*1HQmY1>-(O~BH6Ff~TMaz|*$S)et-uVide#?cy;Y6?pa?6s6;Rn^ zwSOnb4l8d*Fn3zL;~8<6RmY}qebCC@$W!SdE9>9j`>@pt-rbzFs@4U}b5^#lusCm3 zn)Bv2tnUp?+iI;Hu2e;Dv5tNMZj^Q7YVfwrx-73+(bh==;Vs5`d{cPaZhhbi zm^-YWn-+%PpY^%N`J??|JQNh_zn@cNrKjTBsZa~qO z!l&V$%%iou9rL5uKrok3?k+G`N~T3X%V=L1$a1Py5zKW|6RR_hWqpnY*+8~NZg&*b z;MbYkXz);wXtJ&aZ!xrk=cMiA&dbUHy3`8g9;AAo;pPxss{xWg!MPwiL*sbDI7?l5 za9*eL&7paN4)z1{Cgphp@{qDTMuCqgPdmsS)6SPLe@(QH7pXTiya#mN(xF>G?`U8G z(0e+t557}rl|NKJP)R=T@R1&QLH3C}co=`CNCEPNurtB!5)*iu_7D|0A23_w$pqpf zy1BsT0#TJi;iY0Y-{fUteO+iS7e$ux3)gT_dIN;(L`lA->qX_4AltR-Mtc4g^D^%Mwnzbe+P@>UN7$k^STi ze&OIa=@VE^ee%MAbLQswK62)ITt{~q2BookHoIdO+Te{2uSdDOia6#b}6W|>}vv-TtHAwO?lgr}a1_CgJE+5Wesm7#alzHSke zaozqmZtP9_CUI#A9&sP_w|&WYIyNgdWxLt3V*3rE_1zdr`4;)PVv}iQ7>y1K8o5YUodXY zfP<)*dhi^+4qFg}>xkbL<2t%8e;*sM1lRF_tT|D~*U4k}I;{XpryE&nOkn*PGz=uI zh1;=5{o2A#Xd%uynR?<~+^=h5;Ui+SX>zof^p|$FL&wJg&XeK)=9JaX4_Tr2|H4yN zKR;w;M8f0OowEA*AuHoAJ!SRtLsrILddiB|%YQy(RqdZnS&7NW6MY>SwbW`H*Dy_u z{nxRdDQ)bpj}>+;dtho(WDsSwuADa7gDIQ3ka;4={@p~7Ir^@|)!BwM`wwEyV5294Ap_RnI#lp=WEB>STE>n>cUy() zxJPU@zBykf3BFE!$rUnA;sRW$9GB)nb%4@Gx8;GCpPY9w{^|oSKRNGW{M83uesbQ$ z_^S`R{N%ig@hTSPUwPo=C+A&wm-tH$y!_<63l@l9d*B6;$3LBSG5+cUF9^T>>AZ{a zS08x621z8^q82|s?_&Jb2VQ=1-o^N<54`;3yi5OIaNtF_*jlV>4p!KDnuZ+~#eQkL z_t%hi@l#&OhUVFbm0{E*zK)x_4%dnL4dzASf4vjtk^IkF;a|HGHvV)gj6v`Vcf!V> zZiRpCPPqL~x5EF?PFTz+Z|ZPdlqvMxX3&OXF>LaRW-|G06M0Q5kBfrs%u!jEuTfdn zZ&6vc@1nBo-$i9P%u!iRj>_P=TrN}PIN?VnO`DI1x_v5GyIewVmNhF{uSBL)k+mvW zU*s?9?iRZ^oPF&bfgT=A1}2MX6O(0BfJq2-1xeeDP5<3uY}(rJp0{V%f3oMb{mb6+ zuX|pud}h;^HDZ{_W3`yxz@mP#j1}*G1?pc`Q5QSCf?HBftu8h=81+iqmI=MavToK~ zH@k3AF5?j>=KXjU^CQTb{s`Q|EgnJkua6+dw?~lkyGLO4-6Qzx4w+jaO(l*9Khv~z z;!n#xq%8CM*H&ORpV5jc-&%18DFvaz+hmoX581P+2p?>(@jq3m;xKejKL^KQV+M^; zIo5O-IeNr^(S3*6_8&CVd5mp^iPa4i9h`^t8#H#ZgI$$s)f~nQ>^s^S9AodkqlXP} zbaVizJbc7(=gQ7~`wem!G~99MI45U^VSOD34jS(KpLqOdhhrv4of!K$bZpm( z%VS)nO4WuVMvVP$isRP*YdiE+bXDxkt@)ALntyj={;?SvK^ZQ`JiJyU8aTS(_H;rvlM^Z0HP?fNnoD@2l!iXQ%Y<2&069tEpvXsvsg= zP2)0C8PUqk6r7nf6?E<@8@zy}YJ*zYl@v%OA*L1Gn5m;uc4mf?yXkdiDknu{@ut*>neEurp;NYys2j{GH~y(=t+(vMmzU*9Hv7D!KjBU z8vSa%F#cm78p}_+Drs8PsZ*zFo$f7#I=#EZWb}e^Rj1UgJGicc$PE5{zn`K0&A#zp^zI+_hjtD>ol^d>L;OpBh*nBbZdt&_ z6Hjh6QJH#w4*65qq(8R$o~FuWsk3P3WpXb|2GMw?X;)dgAe?5J7L+5M$T}c8!YtF?60|zc`dN6S zvm_P3?{(59?M<^xlWeK6F_Hyq6q1>2TW+XRHBF31{+|!V|LzGI|Bo&1Kc1(Jmh;KK z+l2q&6D-Ve&yT06=w%hDgCg$EGQFxy?qcz5)1)e7FJfk!wp5{>;@s@$TvaI-89!O^ z(;EN(#@lz%r1`G?51-bL9+r8$b+)4lQY6nd8Fe&H#Cn_F=;%*z%{#h-o{E#W>unls zpuR?&dc)Jg+qS=3=>D4y`R}i9#-D`X=9~6okKfj}|2())_iS`b+Zy~A!ST+V7C9SYW0_> z^t+(+r_09=+v)HxPsSqt#8-@gf3sg1({^I!Zt#o8&R_O->CY;=#lu3yO&hY(Z^gI; z=Isf-TSTU;@+2!2l=u>@WTO+JxiS|k+94H{s%GAg*!* zehZtnr>d!@BBIP(Q&%+w7>lKaH8@H5ugYA#ulwC}@NeGjzufE}KiQ03?|-#W{n%qh zU-{4WxBs{Gi=FxKFF)`@FPxu3MNxeF@op9ZQ$j&1kw@o)PH;>`d4*L*K9h(BCWLv33H$5pPuQIhRp)MqBHPNwS>-H*;XE)wXNK?nyGaI>$=euJ>=oKrkqEuH0sU`I&`XT%GOOO z7_HeaAB@gqZmnaq-d<5(j`q)~PVFAOb+FnebD5eBT}O-_;xJ}J|FIJ|tcgSck^W!$vrmPSv8)nH<_-?r|98g_F8~WBL)l@>a0rB>X@N}=JN1&RJHn}aqmuTn>KCrNAK1hZ7b-jRjU~N zIM8Oa$iXTYf*G4chv-4UHdTZvz+`j2%vk4PBQ4hfY$6@Tj2))c^w&+C*n7apK_jX- zn&t%B^f9$tW}`$0FR}SkUHm(L-}Fa2Izl}q#OAS88&$hjt=dO_Txl~yM!T-IdHXNb zFwI+MQ`i)`L!Hy)7jE+^I%J*ARx%CUU^6a9$4O&Qu}0WfHyS#^afs>G2AeY08Ks(5 zB-rFB2r#Vgpy6mX6ICZp?C`CM_8(x=S!9MdeI9g-zBkC`Pl|3g#AY6u9t^c{E27GZ zIqeHRq!LrJXU(Q63d|qmb&7AaDb9JFx*Kgu3FLM9ffnYxP8rA>WVdk_KBUT}=b+}LPSSk0N9tfo21Lb44f%WHnducb>q13^bCGF&S*_`}ZTjT={B$ z(>k;K`^taA=>s6`Rx*R6G%w6&RZvX?E~E zHcxCUzXpevnC;Co?cdqw$FEENQsB=FEL-rS3jU`J$X^j&{;9=$YtwJ9=|(gjE4Sn> zT56vA%q=rdRrRe+g=1_AXEO71rp?eb95P`RhuMC(!&4SrVb24Y`7v`;Hm%b6Uzbwm z^CvXTyySX7-b!&z&7FkJna>-lyrxeZZHkzdN7yLspJbStZxv&Xw;8hy2 zX`rd%cAF1I?mEfoYdOBDRV8puoAH^dUVN#PM$MNOUszw|qT>6C52<*3l|fU}Ah12Y zRI$LU-`E1PzQZ?cRJ8zO;5UY2Y_!UbPeqg6EW1I|6y91jn?9V{H z`D+2`{?A#+Z$R#1_Gio}V62$|hmW9O##{j30m}+yLO5amaEtktKCmc&^=rF+Ycb=2 z8Fz#?^L`fgn77j%I9M12LZK&cHy9!no>qZP7IH2y(gNex%c>~gKnwlGZr>`v`mHQ5 ze$19_}#5a4_pQevwkNFITyfhSk3y3oh)$L9kjqK zcTdA<>n5o#qW}*u7C>Mwzy<-grNe?2_yhC{Wd!7AeJ2Y!7r^no*`6zayJMS<(WgW@ z#;>@!y^0Ed0Zp|S3hH3itm|M(S6ifNX@9g_1WHmOgrLj3LDvZ1q&Ht4!zB~j5+kS#Qc`YjM*1{0+aE{sXF_E z#&R%esXqr%Nd`8iO-dX9S}H_ZV6FfMe3tUl7MSH6zgfzke1{>kO_xj6o%9OYau>qi z21uW@@aIS}J~>q>KWG8RQRxclk1GZ%u^Dp(I2N+R9LiW?UhvJB?^wHxm<_W^P8I&L z%GZy%1M^aEHYf!7YvBE0n1}EhEcs@6+8wgMT)?~UFj{MyuAkoEp&4sDMs-eA*&kGZ zg9UQ|W3e8qfq7x)3UGlOG??XF;I0gZ?9aUWV!63N96(s=*G|XjvkE)ph{94~s0C&@ zM;4ZHcMHsNjx;Rg3%vr9*=m<8K3`4{2_}M~fD8|G^yz{LW#_ci0JcDgBdE z)$9lMvES)`WX$d7DsTnnSUA9+o%;GQ-$D+P(wP~Z&_ci45A->Nvedt1q2DJ%KdpRo z0k#$fd`o|~z(;>jKp6`;>sPbHUq@7WAK(V&{rU)`3V#}gab4R!5SU|!J{ArJf^YtC z1=)c)T4D?QmQv+ps6_fBx(UXeZwwXwiq+RpH77#VPrybCd3L;gh{B{;1qI|r0VROV zpPXnbeyPkKTfXE(gK7frwymct1aY9foH)1 zPl7DRNn_P43pt0mkl#GPpbT6Rk`qtih)tWLO}<79W~@3$Q5h?JjKFcci=1*`on-f zSjatqE259MXW07!C!;_>6s%SS15ZuE7{fU+&#ndIgoT6cz;UPm_inDhKHygt@{7RH z7C0HWv_*w@^02nR{1;!hV;wa6`wciPSm!w;Ckm(m#wQDh?SXkj;}+o#k;(};4h~yo zRA@Z#!;IYkSI`qU6XKrg8FD}1d=?d030yLxPqF@5U}uEFM%xU7ZD5?YsK8<1vK9_5 z0h>Rz`0|M5&^H(O4tTSLeO9apFD!5|;I|f74?NC8WlYP4bB*eNu>sGFTg|vp=!6UKkBeNj?1OC~T^#g&87cC4nfU(X3?*dM;z~_L? zA6tC654_ppk-Y(aZJ}?K1+kAsA1Va=*g{@03+{g&3xx(yC}4p*0Y_OF3;>>Mfu{gV z3w>YUQx@`Nz~+xFzC-{Qv(P`B1>-LphDA;Y`Iel5!UPKkiR=J*kU6-;JWQVf=Ykxo zZ<_uq;3XFNSrF!Ju)xKEr&-`iz~+xFzSJ>-@vYOSReu0y!!6~vB3z+vz`+&{1_A5Q z0+2NMXng68acsuZ@nw5PN{j7h0avyd_r9!{@v#Lp27qzjqJXe81;H}3SW#^TCW~7Z z3tYqkUjg>Auzv)6-eRVsY^V@+i0$ByE0hIz?>Bv;st6cYzcExA;GGt@9dJpD0{a0Y zl(yw7539kz3oZ1gu>2$0^3Y@XOyIK?^1@j57QtTysO9F&P!JT3Stx8^2N@q*&^^HK zE#wz~2cbo1Xj+R>fVW!6d0q9jz=eQ|;Sq2I#CMs+AT6@H^%a{RTUjW}UQICiU9trr%E4)Ruak)-?E(jjhJ9X4N135Ta`O z4<^7YR0HqEDT&DM6;!r)_kmUI}=e+%>@_muX^xO*57Y*4WZ0R|mR3}NOB(-1d@IF#5TN;w!_a7}*%WV8NW!ohH1 udLYn4(`6oV2=F>Ia4<{|WnciFa>lT0y5&O-X^9I{IT*Bo$qfcz76Jf3*4|+N diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.map b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.map index 6580c1bc..7ce5ee2d 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.map +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.map @@ -1,5089 +1,284 @@ -Archive member included to satisfy reference by file (symbol) - -c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o (exit) -c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) (_global_impure_ptr) -c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o (__libc_init_array) -c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o (memset) -c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) (_exit) - -Discarded input sections - - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - .data 0x00000000 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - .ARM.extab 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\boot.o - .text 0x00000000 0x0 ..\obj\boot.o - .data 0x00000000 0x0 ..\obj\boot.o - .bss 0x00000000 0x0 ..\obj\boot.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\led.o - .text 0x00000000 0x0 ..\obj\led.o - .data 0x00000000 0x0 ..\obj\led.o - .bss 0x00000000 0x0 ..\obj\led.o - .debug_macro 0x00000000 0x8b0 ..\obj\led.o - .debug_macro 0x00000000 0xd7 ..\obj\led.o - .debug_macro 0x00000000 0x57 ..\obj\led.o - .debug_macro 0x00000000 0x3b ..\obj\led.o - .debug_macro 0x00000000 0x22 ..\obj\led.o - .debug_macro 0x00000000 0x87 ..\obj\led.o - .debug_macro 0x00000000 0x44 ..\obj\led.o - .debug_macro 0x00000000 0xfd ..\obj\led.o - .debug_macro 0x00000000 0x5e ..\obj\led.o - .debug_macro 0x00000000 0x1df ..\obj\led.o - .debug_macro 0x00000000 0x18 ..\obj\led.o - .debug_macro 0x00000000 0x42 ..\obj\led.o - .debug_macro 0x00000000 0xd39 ..\obj\led.o - .debug_macro 0x00000000 0x822c ..\obj\led.o - .debug_macro 0x00000000 0x44f ..\obj\led.o - .debug_macro 0x00000000 0x3cf ..\obj\led.o - .debug_macro 0x00000000 0x144 ..\obj\led.o - .debug_macro 0x00000000 0x188 ..\obj\led.o - .debug_macro 0x00000000 0xb2 ..\obj\led.o - .debug_macro 0x00000000 0x149 ..\obj\led.o - .debug_macro 0x00000000 0x477 ..\obj\led.o - .debug_macro 0x00000000 0xae ..\obj\led.o - .debug_macro 0x00000000 0x2f7 ..\obj\led.o - .debug_macro 0x00000000 0x279 ..\obj\led.o - .debug_macro 0x00000000 0xc3 ..\obj\led.o - .debug_macro 0x00000000 0x288 ..\obj\led.o - .debug_macro 0x00000000 0x2bb ..\obj\led.o - .debug_macro 0x00000000 0x64 ..\obj\led.o - .debug_macro 0x00000000 0xae ..\obj\led.o - .debug_macro 0x00000000 0x57c ..\obj\led.o - .debug_macro 0x00000000 0x40 ..\obj\led.o - .debug_macro 0x00000000 0x57b ..\obj\led.o - .debug_macro 0x00000000 0x342 ..\obj\led.o - .debug_macro 0x00000000 0x36c ..\obj\led.o - .debug_macro 0x00000000 0xe2 ..\obj\led.o - .debug_macro 0x00000000 0x73b ..\obj\led.o - .debug_macro 0x00000000 0x223 ..\obj\led.o - .debug_macro 0x00000000 0x34 ..\obj\led.o - .debug_macro 0x00000000 0x82 ..\obj\led.o - .debug_macro 0x00000000 0x35 ..\obj\led.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\misc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\misc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\misc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\misc.o - .text.NVIC_PriorityGroupConfig - 0x00000000 0x14 ..\obj\lib\spl\src\misc.o - .text.NVIC_Init - 0x00000000 0x70 ..\obj\lib\spl\src\misc.o - .text.NVIC_SetVectorTable - 0x00000000 0x14 ..\obj\lib\spl\src\misc.o - .text.NVIC_SystemLPConfig - 0x00000000 0x1c ..\obj\lib\spl\src\misc.o - .text.SysTick_CLKSourceConfig - 0x00000000 0x20 ..\obj\lib\spl\src\misc.o - .debug_info 0x00000000 0x564 ..\obj\lib\spl\src\misc.o - .debug_abbrev 0x00000000 0x15b ..\obj\lib\spl\src\misc.o - .debug_loc 0x00000000 0x191 ..\obj\lib\spl\src\misc.o - .debug_aranges - 0x00000000 0x40 ..\obj\lib\spl\src\misc.o - .debug_ranges 0x00000000 0x30 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\misc.o - .debug_macro 0x00000000 0x7c ..\obj\lib\spl\src\misc.o - .debug_line 0x00000000 0x4e1 ..\obj\lib\spl\src\misc.o - .debug_str 0x00000000 0x59168 ..\obj\lib\spl\src\misc.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\misc.o - .debug_frame 0x00000000 0x74 ..\obj\lib\spl\src\misc.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\misc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_adc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_adc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_Init - 0x00000000 0x50 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_StructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_CommonInit - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_CommonStructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_Cmd 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_AnalogWatchdogCmd - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_AnalogWatchdogThresholdsConfig - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_AnalogWatchdogSingleChannelConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_TempSensorVrefintCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_VBATCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_RegularChannelConfig - 0x00000000 0x8c ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_SoftwareStartConv - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetSoftwareStartConvStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_EOCOnEachRegularChannelCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ContinuousModeCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_DiscModeChannelCountConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_DiscModeCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetConversionValue - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetMultiModeConversionValue - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_DMACmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_DMARequestAfterLastTransferCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_MultiModeDMARequestAfterLastTransferCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_InjectedChannelConfig - 0x00000000 0x58 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_InjectedSequencerLengthConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_SetInjectedOffset - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ExternalTrigInjectedConvConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ExternalTrigInjectedConvEdgeConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_SoftwareStartInjectedConv - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetSoftwareStartInjectedConvCmdStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_AutoInjectedConvCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_InjectedDiscModeCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetInjectedConversionValue - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ITConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetFlagStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ClearFlag - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_GetITStatus - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_adc.o - .text.ADC_ClearITPendingBit - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_info 0x00000000 0xc8d ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_abbrev 0x00000000 0x1d8 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_loc 0x00000000 0x9f5 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_aranges - 0x00000000 0x148 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_ranges 0x00000000 0x138 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x24a ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x3c9 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_line 0x00000000 0x7bc ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_str 0x00000000 0x5986e ..\obj\lib\spl\src\stm32f4xx_adc.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_adc.o - .debug_frame 0x00000000 0x2cc ..\obj\lib\spl\src\stm32f4xx_adc.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_adc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_can.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_can.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CheckITStatus - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_SlaveStartBank - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_DBGFreeze - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_TTComModeCmd - 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_Transmit - 0x00000000 0xd0 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_TransmitStatus - 0x00000000 0x74 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_CancelTransmit - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_FIFORelease - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_OperatingModeRequest - 0x00000000 0xa4 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_Sleep - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_WakeUp - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_GetLastErrorCode - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_GetReceiveErrorCounter - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_GetLSBTransmitErrorCounter - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_ITConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_GetFlagStatus - 0x00000000 0x74 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_ClearFlag - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_GetITStatus - 0x00000000 0xf4 ..\obj\lib\spl\src\stm32f4xx_can.o - .text.CAN_ClearITPendingBit - 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x00000000 0x3c9 ..\obj\lib\spl\src\stm32f4xx_can.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_crc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_crc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_crc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_ResetDR - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_CalcCRC - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_CalcBlockCRC - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_GetCRC - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_SetIDRegister - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_crc.o - .text.CRC_GetIDRegister - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_info 0x00000000 0x211 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_abbrev 0x00000000 0x128 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_loc 0x00000000 0x5f ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_aranges - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_ranges 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x1ba ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_line 0x00000000 0x4fa ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_str 0x00000000 0x58fbb ..\obj\lib\spl\src\stm32f4xx_crc.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_crc.o - .debug_frame 0x00000000 0x7c ..\obj\lib\spl\src\stm32f4xx_crc.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_crc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_DeInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_Init - 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_StructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_KeyInit - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_KeyStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_IVInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_IVStructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_PhaseConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_FIFOFlush - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_Cmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_DataIn - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_DataOut - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_SaveContext - 0x00000000 0xf4 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_RestoreContext - 0x00000000 0x8c ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_DMACmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_ITConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_GetITStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_GetCmdStatus - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .text.CRYP_GetFlagStatus - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_info 0x00000000 0x8c1 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_abbrev 0x00000000 0x1e4 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_loc 0x00000000 0x274 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_aranges - 0x00000000 0xb0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_ranges 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x1cf ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_macro 0x00000000 0x13e ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_line 0x00000000 0x661 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_str 0x00000000 0x59470 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_cryp.o - .debug_frame 0x00000000 0x15c ..\obj\lib\spl\src\stm32f4xx_cryp.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_cryp.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text.CRYP_AES_ECB - 0x00000000 0x1a0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text.CRYP_AES_CBC - 0x00000000 0x1c4 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text.CRYP_AES_CTR - 0x00000000 0x180 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text.CRYP_AES_GCM - 0x00000000 0x490 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .text.CRYP_AES_CCM - 0x00000000 0x5e8 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_info 0x00000000 0x2abd ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_abbrev 0x00000000 0x25a ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_loc 0x00000000 0x20de ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_aranges - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_ranges 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_macro 0x00000000 0x13e ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_line 0x00000000 0xf88 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_str 0x00000000 0x592f5 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .debug_frame 0x00000000 0xf0 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .text.CRYP_DES_ECB - 0x00000000 0xbc ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .text.CRYP_DES_CBC - 0x00000000 0xd4 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_info 0x00000000 0x74e ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_abbrev 0x00000000 0x1b2 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_loc 0x00000000 0x502 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_aranges - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_ranges 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_macro 0x00000000 0x13e ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_line 0x00000000 0x58e ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_str 0x00000000 0x591f6 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .debug_frame 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_cryp_des.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .text.CRYP_TDES_ECB - 0x00000000 0xd4 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .text.CRYP_TDES_CBC - 0x00000000 0xec ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_info 0x00000000 0x8af ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_abbrev 0x00000000 0x1c3 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_loc 0x00000000 0x602 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_aranges - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_ranges 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_macro 0x00000000 0x13e ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_line 0x00000000 0x5f7 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_str 0x00000000 0x591fd ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .debug_frame 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dac.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dac.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_Init - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_StructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_Cmd 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_SoftwareTriggerCmd - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_DualSoftwareTriggerCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_WaveGenerationCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_SetChannel1Data - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_SetChannel2Data - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_SetDualChannelData - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_GetDataOutputValue - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_DMACmd - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_ITConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_GetFlagStatus - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_GetITStatus - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dac.o - .text.DAC_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_info 0x00000000 0x69f ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_abbrev 0x00000000 0x1cd ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_loc 0x00000000 0x52e ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_aranges - 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_ranges 0x00000000 0x90 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x1f2 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_macro 0x00000000 0x182 ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_line 0x00000000 0x5de ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_str 0x00000000 0x592e3 ..\obj\lib\spl\src\stm32f4xx_dac.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_dac.o - .debug_frame 0x00000000 0x14c ..\obj\lib\spl\src\stm32f4xx_dac.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_dac.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text.DBGMCU_GetREVID - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text.DBGMCU_GetDEVID - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text.DBGMCU_Config - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text.DBGMCU_APB1PeriphConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .text.DBGMCU_APB2PeriphConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_info 0x00000000 0x1e8 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_abbrev 0x00000000 0xf4 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_loc 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_aranges - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_ranges 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_macro 0x00000000 0xac ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_line 0x00000000 0x4dd ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_str 0x00000000 0x58fd9 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .debug_frame 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_DeInit - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_Init - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_StructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_CROPConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_CROPCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_SetEmbeddedSynchroCodes - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_JPEGCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_Cmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_CaptureCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_ReadData - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_ITConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_GetFlagStatus - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_GetITStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .text.DCMI_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_info 0x00000000 0x55c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_abbrev 0x00000000 0x1ac ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_loc 0x00000000 0x237 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_aranges - 0x00000000 0x90 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_ranges 0x00000000 0x80 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x1c0 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_macro 0x00000000 0x143 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_line 0x00000000 0x5bc ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_str 0x00000000 0x59249 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .debug_frame 0x00000000 0x110 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_dcmi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_DeInit - 0x00000000 0x154 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_Init - 0x00000000 0x58 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_StructInit - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_Cmd 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_PeriphIncOffsetSizeConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_FlowControllerConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_SetCurrDataCounter - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetCurrDataCounter - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_DoubleBufferModeConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_DoubleBufferModeCmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_MemoryTargetConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetCurrentMemoryTarget - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetCmdStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetFIFOStatus - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetFlagStatus - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_ClearFlag - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_ITConfig - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_GetITStatus - 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_dma.o - .text.DMA_ClearITPendingBit - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_info 0x00000000 0x741 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_abbrev 0x00000000 0x17a ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_loc 0x00000000 0x3f8 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_aranges - 0x00000000 0xb0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_ranges 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x214 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_macro 0x00000000 0x471 ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_line 0x00000000 0x65c ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_str 0x00000000 0x595ce ..\obj\lib\spl\src\stm32f4xx_dma.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_dma.o - .debug_frame 0x00000000 0x158 ..\obj\lib\spl\src\stm32f4xx_dma.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_dma.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_exti.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_exti.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_DeInit - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_Init - 0x00000000 0x90 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_StructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_GenerateSWInterrupt - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_GetITStatus - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_exti.o - .text.EXTI_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_info 0x00000000 0x362 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_abbrev 0x00000000 0x1cc ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_loc 0x00000000 0x164 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_aranges - 0x00000000 0x58 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_ranges 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_macro 0x00000000 0xa8 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_line 0x00000000 0x531 ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_str 0x00000000 0x590eb ..\obj\lib\spl\src\stm32f4xx_exti.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_exti.o - .debug_frame 0x00000000 0xa4 ..\obj\lib\spl\src\stm32f4xx_exti.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_exti.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_flash.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_flash.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_SetLatency - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_PrefetchBufferCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_InstructionCacheCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_DataCacheCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_InstructionCacheReset - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_DataCacheReset - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_Unlock - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_Lock - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_Unlock - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_Lock - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_BORConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_GetUser - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_GetWRP - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_GetWRP1 - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_GetRDP - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_GetBOR - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ITConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_GetStatus - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_WaitForLastOperation - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_EraseSector - 0x00000000 0x70 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_EraseAllSectors - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ProgramDoubleWord - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ProgramWord - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ProgramHalfWord - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_ProgramByte - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_WRPConfig - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_WRP1Config - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_RDPConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_UserConfig - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_flash.o - .text.FLASH_OB_Launch - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_info 0x00000000 0x84c ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_abbrev 0x00000000 0x1a1 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_loc 0x00000000 0x5e2 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_aranges - 0x00000000 0x118 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_ranges 0x00000000 0x108 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_macro 0x00000000 0x2f1 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_line 0x00000000 0x711 ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_str 0x00000000 0x59351 ..\obj\lib\spl\src\stm32f4xx_flash.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_flash.o - .debug_frame 0x00000000 0x298 ..\obj\lib\spl\src\stm32f4xx_flash.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_flash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NORSRAMDeInit - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NORSRAMInit - 0x00000000 0xc8 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NORSRAMStructInit - 0x00000000 0x6c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NORSRAMCmd - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NANDDeInit - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NANDInit - 0x00000000 0x70 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NANDStructInit - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NANDCmd - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_NANDECCCmd - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_GetECC - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_PCCARDDeInit - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_PCCARDInit - 0x00000000 0x6c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_PCCARDStructInit - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_PCCARDCmd - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_ITConfig - 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_GetFlagStatus - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_ClearFlag - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_GetITStatus - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .text.FSMC_ClearITPendingBit - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_info 0x00000000 0x910 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_abbrev 0x00000000 0x195 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_loc 0x00000000 0x586 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_aranges - 0x00000000 0xb0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_ranges 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x1f0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_macro 0x00000000 0x273 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_line 0x00000000 0x6d0 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_str 0x00000000 0x5962f ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .debug_frame 0x00000000 0x170 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_fsmc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_DeInit - 0x00000000 0x104 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_StructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_PinLockConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_ReadInputDataBit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_ReadInputData - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_ReadOutputDataBit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_ReadOutputData - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_WriteBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_Write - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .text.GPIO_ToggleBits - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_DeInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_Init - 0x00000000 0x4c ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_StructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_Reset - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_SetLastWordValidBitsNbr - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_DataIn - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_GetInFIFOWordsNbr - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_GetDigest - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_StartDigest - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_SaveContext - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_RestoreContext - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_AutoStartDigest - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_DMACmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_ITConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_GetFlagStatus - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_GetITStatus - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash.o - .text.HASH_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_info 0x00000000 0x60d ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_abbrev 0x00000000 0x209 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_loc 0x00000000 0x1f8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_aranges - 0x00000000 0xa8 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_ranges 0x00000000 0x98 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x1c0 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_macro 0x00000000 0xbd ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_line 0x00000000 0x606 ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_str 0x00000000 0x591e2 ..\obj\lib\spl\src\stm32f4xx_hash.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_hash.o - .debug_frame 0x00000000 0x150 ..\obj\lib\spl\src\stm32f4xx_hash.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_hash.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .text.HASH_MD5 - 0x00000000 0x94 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .text.HMAC_MD5 - 0x00000000 0x14c ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_info 0x00000000 0x664 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_abbrev 0x00000000 0x1d5 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_loc 0x00000000 0x4af ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_aranges - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_ranges 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_macro 0x00000000 0xbd ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_line 0x00000000 0x5ec ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_str 0x00000000 0x590fc ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .debug_frame 0x00000000 0x5c ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_hash_md5.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .text.HASH_SHA1 - 0x00000000 0x98 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .text.HMAC_SHA1 - 0x00000000 0x150 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_info 0x00000000 0x6bd ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_abbrev 0x00000000 0x1d5 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_loc 0x00000000 0x4ef ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_aranges - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_ranges 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x1c6 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_macro 0x00000000 0xbd ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_line 0x00000000 0x607 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_str 0x00000000 0x59102 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .debug_frame 0x00000000 0x5c ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_DeInit - 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_Init - 0x00000000 0xdc ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_StructInit - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_Cmd 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_AnalogFilterCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_DigitalFilterConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GenerateSTART - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GenerateSTOP - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_Send7bitAddress - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_AcknowledgeConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_OwnAddress2Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_DualAddressCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GeneralCallCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_SoftwareResetCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_StretchClockCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_FastModeDutyCycleConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_NACKPositionConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_SMBusAlertConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ARPCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_SendData - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ReceiveData - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_TransmitPEC - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_PECPositionConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_CalculatePEC - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GetPEC - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_DMACmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_DMALastTransferCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ReadRegister - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ITConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_CheckEvent - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GetLastEvent - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GetFlagStatus - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_GetITStatus - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .text.I2C_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_info 0x00000000 0xc50 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_abbrev 0x00000000 0x1cd ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_loc 0x00000000 0x675 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_aranges - 0x00000000 0x130 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_ranges 0x00000000 0x120 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x1d2 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_macro 0x00000000 0x2b5 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_line 0x00000000 0x758 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_str 0x00000000 0x594af ..\obj\lib\spl\src\stm32f4xx_i2c.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_i2c.o - .debug_frame 0x00000000 0x26c ..\obj\lib\spl\src\stm32f4xx_i2c.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_i2c.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_WriteAccessCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_SetPrescaler - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_SetReload - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_ReloadCounter - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_Enable - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .text.IWDG_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_info 0x00000000 0x215 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_abbrev 0x00000000 0x117 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_loc 0x00000000 0x59 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_aranges - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_ranges 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x1cc ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_line 0x00000000 0x4e8 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_str 0x00000000 0x5901e ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .debug_frame 0x00000000 0x70 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_iwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_BackupAccessCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_PVDLevelConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_PVDCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_WakeUpPinCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_BackupRegulatorCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_MainRegulatorModeConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_FlashPowerDownCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_EnterSTOPMode - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_EnterSTANDBYMode - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .text.PWR_ClearFlag - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_info 0x00000000 0x55e ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_abbrev 0x00000000 0x1c1 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_loc 0x00000000 0x15b ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_aranges - 0x00000000 0x78 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_ranges 0x00000000 0x68 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x22c ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_macro 0x00000000 0xa8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_line 0x00000000 0x570 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_str 0x00000000 0x593ea ..\obj\lib\spl\src\stm32f4xx_pwr.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_pwr.o - .debug_frame 0x00000000 0xd8 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_pwr.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_DeInit - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_HSEConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AdjustHSICalibrationValue - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_HSICmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_LSEConfig - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_LSICmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PLLConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PLLCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PLLI2SConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PLLI2SCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_ClockSecuritySystemCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_MCO1Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_MCO2Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_SYSCLKConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_GetSYSCLKSource - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_HCLKConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PCLK1Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_PCLK2Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_RTCCLKConfig - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_RTCCLKCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_BackupResetCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_I2SCLKConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_TIMCLKPresConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB2PeriphClockCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB3PeriphClockCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_APB2PeriphClockCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB1PeriphResetCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB2PeriphResetCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB3PeriphResetCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_APB2PeriphResetCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB1PeriphClockLPModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB2PeriphClockLPModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_AHB3PeriphClockLPModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_APB1PeriphClockLPModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_APB2PeriphClockLPModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_ITConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_GetFlagStatus - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_WaitForHSEStartUp - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_ClearFlag - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_GetITStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .text.RCC_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rng.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rng.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_DeInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_Cmd 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_GetRandomNumber - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_ITConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_ClearFlag - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_GetITStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rng.o - .text.RNG_ClearITPendingBit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_info 0x00000000 0x2d2 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_abbrev 0x00000000 0x177 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_loc 0x00000000 0xf4 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_aranges - 0x00000000 0x58 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_ranges 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x1c0 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_macro 0x00000000 0x3a ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_line 0x00000000 0x512 ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_str 0x00000000 0x59000 ..\obj\lib\spl\src\stm32f4xx_rng.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_rng.o - .debug_frame 0x00000000 0x98 ..\obj\lib\spl\src\stm32f4xx_rng.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_rng.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ByteToBcd2 - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_Bcd2ToByte - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_StructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_WriteProtectionCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_EnterInitMode - 0x00000000 0x50 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ExitInitMode - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_Init - 0x00000000 0x4c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_WaitForSynchro - 0x00000000 0x50 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_DeInit - 0x00000000 0x9c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_RefClockCmd - 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_BypassShadowCmd - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SetTime - 0x00000000 0xa4 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TimeStructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetTime - 0x00000000 0x4c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetSubSecond - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SetDate - 0x00000000 0x98 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_DateStructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetDate - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SetAlarm - 0x00000000 0xa4 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_AlarmStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetAlarm - 0x00000000 0x6c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_AlarmCmd - 0x00000000 0x60 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_AlarmSubSecondConfig - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetAlarmSubSecond - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_WakeUpClockConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SetWakeUpCounter - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetWakeUpCounter - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_WakeUpCmd - 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_DayLightSavingConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetStoreOperation - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_OutputConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_CoarseCalibConfig - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_CoarseCalibCmd - 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_CalibOutputCmd - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_CalibOutputConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SmoothCalibConfig - 0x00000000 0x54 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TimeStampCmd - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetTimeStamp - 0x00000000 0x7c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetTimeStampSubSecond - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperTriggerConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperFilterConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperSamplingFreqConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperPinsPrechargeDuration - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TimeStampOnTamperDetectionCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperPullUpCmd - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_WriteBackupRegister - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ReadBackupRegister - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TamperPinSelection - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_TimeStampPinSelection - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_OutputTypeConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_SynchroShiftConfig - 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ITConfig - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetFlagStatus - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ClearFlag - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_GetITStatus - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .text.RTC_ClearITPendingBit - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_info 0x00000000 0x130f ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_abbrev 0x00000000 0x1db ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_loc 0x00000000 0x10ad ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_aranges - 0x00000000 0x1e0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_ranges 0x00000000 0x1d0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x200 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_macro 0x00000000 0x575 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_line 0x00000000 0xa94 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_str 0x00000000 0x59aff ..\obj\lib\spl\src\stm32f4xx_rtc.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_rtc.o - .debug_frame 0x00000000 0x47c ..\obj\lib\spl\src\stm32f4xx_rtc.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_rtc.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_Init - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_StructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_ClockCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SetPowerState - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetPowerState - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SendCommand - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_CmdStructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetCommandResponse - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetResponse - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_DataConfig - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_DataStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetDataCounter - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_ReadData - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_WriteData - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetFIFOCount - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_StartSDIOReadWait - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_StopSDIOReadWait - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SetSDIOReadWaitMode - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SetSDIOOperation - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SendSDIOSuspendCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_CommandCompletionCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_CEATAITCmd - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_SendCEATACmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_DMACmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_ITConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_GetITStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .text.SDIO_ClearITPendingBit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_info 0x00000000 0x83a ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_abbrev 0x00000000 0x1aa ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_loc 0x00000000 0x1ac ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_aranges - 0x00000000 0x108 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_ranges 0x00000000 0xf8 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x28c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_macro 0x00000000 0x33c ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_line 0x00000000 0x6bc ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_str 0x00000000 0x59918 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_sdio.o - .debug_frame 0x00000000 0x224 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_sdio.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_DeInit - 0x00000000 0xc4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_Init - 0x00000000 0x4c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.I2S_Init - 0x00000000 0xec ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_StructInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.I2S_StructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_Cmd 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.I2S_Cmd 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_DataSizeConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_BiDirectionalLineConfig - 0x00000000 0x20 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_NSSInternalSoftwareConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_SSOutputCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_TIModeCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.I2S_FullDuplexConfig - 0x00000000 0x4c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_ReceiveData - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_SendData - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_CalculateCRC - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_TransmitCRC - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_GetCRC - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_GetCRCPolynomial - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_DMACmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_ITConfig - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_GetFlagStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_ClearFlag - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_GetITStatus - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_spi.o - .text.SPI_I2S_ClearITPendingBit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_info 0x00000000 0xc64 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_abbrev 0x00000000 0x1b2 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_loc 0x00000000 0x6ba ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_aranges - 0x00000000 0xe0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_ranges 0x00000000 0xd0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x1eb ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_macro 0x00000000 0x366 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_line 0x00000000 0x6c4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_str 0x00000000 0x59502 ..\obj\lib\spl\src\stm32f4xx_spi.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_spi.o - .debug_frame 0x00000000 0x1e4 ..\obj\lib\spl\src\stm32f4xx_spi.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_spi.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_MemoryRemapConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_EXTILineConfig - 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_ETH_MediaInterfaceConfig - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_CompensationCellCmd - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .text.SYSCFG_GetCompensationCellStatus - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_info 0x00000000 0x2be ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_abbrev 0x00000000 0x164 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_loc 0x00000000 0x8f ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_aranges - 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_ranges 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x1ea ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_macro 0x00000000 0xdc ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_line 0x00000000 0x4ee ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_str 0x00000000 0x591db ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .debug_frame 0x00000000 0x84 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_syscfg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_tim.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_tim.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TI1_Config - 0x00000000 0x38 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TI2_Config - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TI3_Config - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TI4_Config - 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_DeInit - 0x00000000 0x198 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_TimeBaseInit - 0x00000000 0x7c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_TimeBaseStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_PrescalerConfig - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_CounterModeConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetCounter - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetAutoreload - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetCounter - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetPrescaler - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_UpdateDisableConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_UpdateRequestConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ARRPreloadConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectOnePulseMode - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetClockDivision - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_Cmd 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC1Init - 0x00000000 0x6c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC2Init - 0x00000000 0x88 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC3Init - 0x00000000 0x84 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC4Init - 0x00000000 0x68 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OCStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectOCxM - 0x00000000 0x54 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetCompare1 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetCompare2 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetCompare3 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetCompare4 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ForcedOC1Config - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ForcedOC2Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ForcedOC3Config - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ForcedOC4Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC1PreloadConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC2PreloadConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC3PreloadConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC4PreloadConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC1FastConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC2FastConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC3FastConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC4FastConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearOC1Ref - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearOC2Ref - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearOC3Ref - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearOC4Ref - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC1PolarityConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC1NPolarityConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC2PolarityConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC2NPolarityConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC3PolarityConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC3NPolarityConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_OC4PolarityConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_CCxCmd - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_CCxNCmd - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ICStructInit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetCapture1 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetCapture2 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetCapture3 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetCapture4 - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetIC1Prescaler - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetIC2Prescaler - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_PWMIConfig - 0x00000000 0x6c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetIC3Prescaler - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SetIC4Prescaler - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ICInit - 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_BDTRConfig - 0x00000000 0x2c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_BDTRStructInit - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_CtrlPWMOutputs - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectCOM - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_CCPreloadControl - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ITConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GenerateEvent - 0x00000000 0x4 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetFlagStatus - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearFlag - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_GetITStatus - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ClearITPendingBit - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_DMAConfig - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_DMACmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectCCDMA - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_InternalClockConfig - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectInputTrigger - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ITRxExternalClockConfig - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_TIxExternalClockConfig - 0x00000000 0x30 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectOutputTrigger - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectSlaveMode - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectMasterSlaveMode - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ETRConfig - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ETRClockMode1Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_ETRClockMode2Config - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_EncoderInterfaceConfig - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_SelectHallSensor - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_tim.o - .text.TIM_RemapConfig - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_info 0x00000000 0x2109 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_abbrev 0x00000000 0x1c3 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_loc 0x00000000 0x19f2 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_aranges - 0x00000000 0x2f8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_ranges 0x00000000 0x2e8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x1ea ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_macro 0x00000000 0x735 ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_line 0x00000000 0xc7b ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_str 0x00000000 0x59c35 ..\obj\lib\spl\src\stm32f4xx_tim.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_tim.o - .debug_frame 0x00000000 0x6c8 ..\obj\lib\spl\src\stm32f4xx_tim.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_tim.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_DeInit - 0x00000000 0xfc ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_StructInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ClockInit - 0x00000000 0x24 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ClockStructInit - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SetPrescaler - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_OverSampling8Cmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_OneBitMethodCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SendData - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SetAddress - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ReceiverWakeUpCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_WakeUpConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_LINBreakDetectLengthConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_LINCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SendBreak - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_HalfDuplexCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SetGuardTime - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SmartCardCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_SmartCardNACKCmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_IrDAConfig - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_IrDACmd - 0x00000000 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_DMACmd - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ITConfig - 0x00000000 0x3c ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ClearFlag - 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_GetITStatus - 0x00000000 0x50 ..\obj\lib\spl\src\stm32f4xx_usart.o - .text.USART_ClearITPendingBit - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x34 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_usart.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .data 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .bss 0x00000000 0x0 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_DeInit - 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_SetPrescaler - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_SetWindowValue - 0x00000000 0x28 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_EnableIT - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_SetCounter - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_Enable - 0x00000000 0x10 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_GetFlagStatus - 0x00000000 0x14 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .text.WWDG_ClearFlag - 0x00000000 0xc ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_info 0x00000000 0x29b ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_abbrev 0x00000000 0x173 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_loc 0x00000000 0xcd ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_aranges - 0x00000000 0x58 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_ranges 0x00000000 0x48 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x1ea ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x57 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x3b ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x22 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x87 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x44 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xfd ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x5e ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x1df ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x18 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x42 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x822c ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x44f ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x144 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x188 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x149 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x477 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x279 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x288 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x64 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xae ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x57c ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x40 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x57b ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x342 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x36c ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x73b ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x223 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x82 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x35 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_macro 0x00000000 0x2e ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_line 0x00000000 0x511 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_str 0x00000000 0x590fe ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .comment 0x00000000 0x6f ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .debug_frame 0x00000000 0xa0 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .ARM.attributes - 0x00000000 0x39 ..\obj\lib\spl\src\stm32f4xx_wwdg.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\lib\system_stm32f4xx.o - .text 0x00000000 0x0 ..\obj\lib\system_stm32f4xx.o - .data 0x00000000 0x0 ..\obj\lib\system_stm32f4xx.o - .bss 0x00000000 0x0 ..\obj\lib\system_stm32f4xx.o - .text.SystemCoreClockUpdate - 0x00000000 0xa4 ..\obj\lib\system_stm32f4xx.o - .data.AHBPrescTable - 0x00000000 0x10 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x8b0 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x57 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x3b ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x22 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x87 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x44 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xfd ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x5e ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x1df ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x18 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x42 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xd39 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x822c ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x44f ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x3cf ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x144 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x188 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xb2 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x149 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x477 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xae ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x2f7 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x279 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xc3 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x288 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x2bb ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x64 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xae ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x57c ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x40 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x57b ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x342 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x36c ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0xe2 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x73b ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x223 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x34 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x82 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x00000000 0x35 ..\obj\lib\system_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .group 0x00000000 0x8 ..\obj\main.o - .text 0x00000000 0x0 ..\obj\main.o - .data 0x00000000 0x0 ..\obj\main.o - .bss 0x00000000 0x0 ..\obj\main.o - .debug_macro 0x00000000 0x8b0 ..\obj\main.o - .debug_macro 0x00000000 0xd7 ..\obj\main.o - .debug_macro 0x00000000 0x57 ..\obj\main.o - .debug_macro 0x00000000 0x3b ..\obj\main.o - .debug_macro 0x00000000 0x22 ..\obj\main.o - .debug_macro 0x00000000 0x87 ..\obj\main.o - .debug_macro 0x00000000 0x44 ..\obj\main.o - .debug_macro 0x00000000 0xfd ..\obj\main.o - .debug_macro 0x00000000 0x5e ..\obj\main.o - .debug_macro 0x00000000 0x1df ..\obj\main.o - .debug_macro 0x00000000 0x18 ..\obj\main.o - .debug_macro 0x00000000 0x42 ..\obj\main.o - .debug_macro 0x00000000 0xd39 ..\obj\main.o - .debug_macro 0x00000000 0x822c ..\obj\main.o - .debug_macro 0x00000000 0x44f ..\obj\main.o - .debug_macro 0x00000000 0x3cf ..\obj\main.o - .debug_macro 0x00000000 0x144 ..\obj\main.o - .debug_macro 0x00000000 0x188 ..\obj\main.o - .debug_macro 0x00000000 0xb2 ..\obj\main.o - .debug_macro 0x00000000 0x149 ..\obj\main.o - .debug_macro 0x00000000 0x477 ..\obj\main.o - .debug_macro 0x00000000 0xae ..\obj\main.o - .debug_macro 0x00000000 0x2f7 ..\obj\main.o - .debug_macro 0x00000000 0x279 ..\obj\main.o - .debug_macro 0x00000000 0xc3 ..\obj\main.o - .debug_macro 0x00000000 0x288 ..\obj\main.o - .debug_macro 0x00000000 0x2bb ..\obj\main.o - .debug_macro 0x00000000 0x64 ..\obj\main.o - .debug_macro 0x00000000 0xae ..\obj\main.o - .debug_macro 0x00000000 0x57c ..\obj\main.o - .debug_macro 0x00000000 0x40 ..\obj\main.o - .debug_macro 0x00000000 0x57b ..\obj\main.o - .debug_macro 0x00000000 0x342 ..\obj\main.o - .debug_macro 0x00000000 0x36c ..\obj\main.o - .debug_macro 0x00000000 0xe2 ..\obj\main.o - .debug_macro 0x00000000 0x73b ..\obj\main.o - .debug_macro 0x00000000 0x223 ..\obj\main.o - .debug_macro 0x00000000 0x34 ..\obj\main.o - .debug_macro 0x00000000 0x82 ..\obj\main.o - .debug_macro 0x00000000 0x35 ..\obj\main.o - .data 0x00000000 0x0 ..\obj\startup_stm32f4xx.o - .bss 0x00000000 0x0 ..\obj\startup_stm32f4xx.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .group 0x00000000 0x8 ..\obj\timer.o - .text 0x00000000 0x0 ..\obj\timer.o - .data 0x00000000 0x0 ..\obj\timer.o - .bss 0x00000000 0x0 ..\obj\timer.o - .text.TimerDeinit - 0x00000000 0xc ..\obj\timer.o - .debug_macro 0x00000000 0x8b0 ..\obj\timer.o - .debug_macro 0x00000000 0xd7 ..\obj\timer.o - .debug_macro 0x00000000 0x57 ..\obj\timer.o - .debug_macro 0x00000000 0x3b ..\obj\timer.o - .debug_macro 0x00000000 0x22 ..\obj\timer.o - .debug_macro 0x00000000 0x87 ..\obj\timer.o - .debug_macro 0x00000000 0x44 ..\obj\timer.o - .debug_macro 0x00000000 0xfd ..\obj\timer.o - .debug_macro 0x00000000 0x5e ..\obj\timer.o - .debug_macro 0x00000000 0x1df ..\obj\timer.o - .debug_macro 0x00000000 0x18 ..\obj\timer.o - .debug_macro 0x00000000 0x42 ..\obj\timer.o - .debug_macro 0x00000000 0xd39 ..\obj\timer.o - .debug_macro 0x00000000 0x822c ..\obj\timer.o - .debug_macro 0x00000000 0x44f ..\obj\timer.o - .debug_macro 0x00000000 0x3cf ..\obj\timer.o - .debug_macro 0x00000000 0x144 ..\obj\timer.o - .debug_macro 0x00000000 0x188 ..\obj\timer.o - .debug_macro 0x00000000 0xb2 ..\obj\timer.o - .debug_macro 0x00000000 0x149 ..\obj\timer.o - .debug_macro 0x00000000 0x477 ..\obj\timer.o - .debug_macro 0x00000000 0xae ..\obj\timer.o - .debug_macro 0x00000000 0x2f7 ..\obj\timer.o - .debug_macro 0x00000000 0x279 ..\obj\timer.o - .debug_macro 0x00000000 0xc3 ..\obj\timer.o - .debug_macro 0x00000000 0x288 ..\obj\timer.o - .debug_macro 0x00000000 0x2bb ..\obj\timer.o - .debug_macro 0x00000000 0x64 ..\obj\timer.o - .debug_macro 0x00000000 0xae ..\obj\timer.o - .debug_macro 0x00000000 0x57c ..\obj\timer.o - .debug_macro 0x00000000 0x40 ..\obj\timer.o - .debug_macro 0x00000000 0x57b ..\obj\timer.o - .debug_macro 0x00000000 0x342 ..\obj\timer.o - .debug_macro 0x00000000 0x36c ..\obj\timer.o - .debug_macro 0x00000000 0xe2 ..\obj\timer.o - .debug_macro 0x00000000 0x73b ..\obj\timer.o - .debug_macro 0x00000000 0x223 ..\obj\timer.o - .debug_macro 0x00000000 0x34 ..\obj\timer.o - .debug_macro 0x00000000 0x82 ..\obj\timer.o - .debug_macro 0x00000000 0x35 ..\obj\timer.o - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .data._impure_ptr - 0x00000000 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .jcr 0x00000000 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .text 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - .data 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - .bss 0x00000000 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - -Memory Configuration - -Name Origin Length Attributes -ROM 0x08008000 0x000f8000 xr -RAM 0x20000000 0x00020000 xrw -CCRAM 0x10000000 0x00010000 xrw -*default* 0x00000000 0xffffffff - -Linker script and memory map - -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - 0x00000000 __HEAP_SIZE = 0x0 - 0x00000400 __STACK_SIZE = 0x400 - -.text 0x08008000 0xf68 - *(.isr_vector) - .isr_vector 0x08008000 0x18c ..\obj\startup_stm32f4xx.o - 0x08008000 __isr_vector - *(.text*) - .text 0x0800818c 0x5c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .text 0x080081e8 0x74 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - 0x080081e8 _start - 0x080081e8 _mainCRTStartup - .text.CanGetSpeedConfig - 0x0800825c 0x58 ..\obj\boot.o - .text.BootComUartInit - 0x080082b4 0x98 ..\obj\boot.o - .text.BootComCanInit - 0x0800834c 0xe8 ..\obj\boot.o - .text.UartReceiveByte - 0x08008434 0x24 ..\obj\boot.o - .text.BootComInit - 0x08008458 0xc ..\obj\boot.o - 0x08008458 BootComInit - .text.BootActivate - 0x08008464 0x20 ..\obj\boot.o - 0x08008464 BootActivate - .text.BootComUartCheckActivationRequest - 0x08008484 0x94 ..\obj\boot.o - .text.BootComCanCheckActivationRequest - 0x08008518 0x50 ..\obj\boot.o - .text.BootComCheckActivationRequest - 0x08008568 0xc ..\obj\boot.o - 0x08008568 BootComCheckActivationRequest - .text.LedInit 0x08008574 0x44 ..\obj\led.o - 0x08008574 LedInit - .text.LedToggle - 0x080085b8 0x50 ..\obj\led.o - 0x080085b8 LedToggle - .text.CAN_DeInit - 0x08008608 0x38 ..\obj\lib\spl\src\stm32f4xx_can.o - 0x08008608 CAN_DeInit - .text.CAN_Init - 0x08008640 0x110 ..\obj\lib\spl\src\stm32f4xx_can.o - 0x08008640 CAN_Init - .text.CAN_FilterInit - 0x08008750 0xf4 ..\obj\lib\spl\src\stm32f4xx_can.o - 0x08008750 CAN_FilterInit - .text.CAN_StructInit - 0x08008844 0x20 ..\obj\lib\spl\src\stm32f4xx_can.o - 0x08008844 CAN_StructInit - .text.CAN_Receive - 0x08008864 0xa8 ..\obj\lib\spl\src\stm32f4xx_can.o - 0x08008864 CAN_Receive - .text.CAN_MessagePending - 0x0800890c 0x1c ..\obj\lib\spl\src\stm32f4xx_can.o - 0x0800890c CAN_MessagePending - .text.GPIO_Init - 0x08008928 0x84 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x08008928 GPIO_Init - .text.GPIO_SetBits - 0x080089ac 0x4 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x080089ac GPIO_SetBits - .text.GPIO_ResetBits - 0x080089b0 0x4 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x080089b0 GPIO_ResetBits - .text.GPIO_PinAFConfig - 0x080089b4 0x30 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x080089b4 GPIO_PinAFConfig - .text.RCC_GetClocksFreq - 0x080089e4 0xb8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x080089e4 RCC_GetClocksFreq - .text.RCC_AHB1PeriphClockCmd - 0x08008a9c 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x08008a9c RCC_AHB1PeriphClockCmd - .text.RCC_APB1PeriphClockCmd - 0x08008ab8 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x08008ab8 RCC_APB1PeriphClockCmd - .text.RCC_APB1PeriphResetCmd - 0x08008ad4 0x1c ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x08008ad4 RCC_APB1PeriphResetCmd - .text.USART_Init - 0x08008af0 0xd0 ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x08008af0 USART_Init - .text.USART_Cmd - 0x08008bc0 0x1c ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x08008bc0 USART_Cmd - .text.USART_ReceiveData - 0x08008bdc 0x8 ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x08008bdc USART_ReceiveData - .text.USART_GetFlagStatus - 0x08008be4 0x10 ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x08008be4 USART_GetFlagStatus - .text.SetSysClock - 0x08008bf4 0xc4 ..\obj\lib\system_stm32f4xx.o - .text.SystemInit - 0x08008cb8 0x4c ..\obj\lib\system_stm32f4xx.o - 0x08008cb8 SystemInit - .text.Init 0x08008d04 0xc ..\obj\main.o - .text.main 0x08008d10 0x14 ..\obj\main.o - 0x08008d10 main - .text 0x08008d24 0x110 ..\obj\startup_stm32f4xx.o - 0x08008d24 Reset_Handler - 0x08008d72 NMI_Handler - 0x08008d74 HardFault_Handler - 0x08008d76 MemManage_Handler - 0x08008d78 BusFault_Handler - 0x08008d7a UsageFault_Handler - 0x08008d7c SVC_Handler - 0x08008d7e DebugMon_Handler - 0x08008d80 PendSV_Handler - 0x08008d84 Default_Handler - 0x08008d86 WWDG_IRQHandler - 0x08008d88 PVD_IRQHandler - 0x08008d8a TAMP_STAMP_IRQHandler - 0x08008d8c RTC_WKUP_IRQHandler - 0x08008d8e FLASH_IRQHandler - 0x08008d90 RCC_IRQHandler - 0x08008d92 EXTI0_IRQHandler - 0x08008d94 EXTI1_IRQHandler - 0x08008d96 EXTI2_IRQHandler - 0x08008d98 EXTI3_IRQHandler - 0x08008d9a EXTI4_IRQHandler - 0x08008d9c DMA1_Stream0_IRQHandler - 0x08008d9e DMA1_Stream1_IRQHandler - 0x08008da0 DMA1_Stream2_IRQHandler - 0x08008da2 DMA1_Stream3_IRQHandler - 0x08008da4 DMA1_Stream4_IRQHandler - 0x08008da6 DMA1_Stream5_IRQHandler - 0x08008da8 DMA1_Stream6_IRQHandler - 0x08008daa ADC_IRQHandler - 0x08008dac CAN1_TX_IRQHandler - 0x08008dae CAN1_RX0_IRQHandler - 0x08008db0 CAN1_RX1_IRQHandler - 0x08008db2 CAN1_SCE_IRQHandler - 0x08008db4 EXTI9_5_IRQHandler - 0x08008db6 TIM1_BRK_TIM9_IRQHandler - 0x08008db8 TIM1_UP_TIM10_IRQHandler - 0x08008dba TIM1_TRG_COM_TIM11_IRQHandler - 0x08008dbc TIM1_CC_IRQHandler - 0x08008dbe TIM2_IRQHandler - 0x08008dc0 TIM3_IRQHandler - 0x08008dc2 TIM4_IRQHandler - 0x08008dc4 I2C1_EV_IRQHandler - 0x08008dc6 I2C1_ER_IRQHandler - 0x08008dc8 I2C2_EV_IRQHandler - 0x08008dca I2C2_ER_IRQHandler - 0x08008dcc SPI1_IRQHandler - 0x08008dce SPI2_IRQHandler - 0x08008dd0 USART1_IRQHandler - 0x08008dd2 USART2_IRQHandler - 0x08008dd4 USART3_IRQHandler - 0x08008dd6 EXTI15_10_IRQHandler - 0x08008dd8 RTC_Alarm_IRQHandler - 0x08008dda OTG_FS_WKUP_IRQHandler - 0x08008ddc TIM8_BRK_TIM12_IRQHandler - 0x08008dde TIM8_UP_TIM13_IRQHandler - 0x08008de0 TIM8_TRG_COM_TIM14_IRQHandler - 0x08008de2 TIM8_CC_IRQHandler - 0x08008de4 DMA1_Stream7_IRQHandler - 0x08008de6 FSMC_IRQHandler - 0x08008de8 SDIO_IRQHandler - 0x08008dea TIM5_IRQHandler - 0x08008dec SPI3_IRQHandler - 0x08008dee UART4_IRQHandler - 0x08008df0 UART5_IRQHandler - 0x08008df2 TIM6_DAC_IRQHandler - 0x08008df4 TIM7_IRQHandler - 0x08008df6 DMA2_Stream0_IRQHandler - 0x08008df8 DMA2_Stream1_IRQHandler - 0x08008dfa DMA2_Stream2_IRQHandler - 0x08008dfc DMA2_Stream3_IRQHandler - 0x08008dfe DMA2_Stream4_IRQHandler - 0x08008e00 ETH_IRQHandler - 0x08008e02 ETH_WKUP_IRQHandler - 0x08008e04 CAN2_TX_IRQHandler - 0x08008e06 CAN2_RX0_IRQHandler - 0x08008e08 CAN2_RX1_IRQHandler - 0x08008e0a CAN2_SCE_IRQHandler - 0x08008e0c OTG_FS_IRQHandler - 0x08008e0e DMA2_Stream5_IRQHandler - 0x08008e10 DMA2_Stream6_IRQHandler - 0x08008e12 DMA2_Stream7_IRQHandler - 0x08008e14 USART6_IRQHandler - 0x08008e16 I2C3_EV_IRQHandler - 0x08008e18 I2C3_ER_IRQHandler - 0x08008e1a OTG_HS_EP1_OUT_IRQHandler - 0x08008e1c OTG_HS_EP1_IN_IRQHandler - 0x08008e1e OTG_HS_WKUP_IRQHandler - 0x08008e20 OTG_HS_IRQHandler - 0x08008e22 DCMI_IRQHandler - 0x08008e24 CRYP_IRQHandler - 0x08008e26 HASH_RNG_IRQHandler - 0x08008e28 FPU_IRQHandler - .text.TimerSet - 0x08008e34 0xc ..\obj\timer.o - 0x08008e34 TimerSet - .text.TimerInit - 0x08008e40 0x3c ..\obj\timer.o - 0x08008e40 TimerInit - .text.TimerGet - 0x08008e7c 0xc ..\obj\timer.o - 0x08008e7c TimerGet - .text.SysTick_Handler - 0x08008e88 0x10 ..\obj\timer.o - 0x08008e88 SysTick_Handler - .text.exit 0x08008e98 0x28 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - 0x08008e98 exit - .text.__libc_init_array - 0x08008ec0 0x4c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - 0x08008ec0 __libc_init_array - .text.memset 0x08008f0c 0x10 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - 0x08008f0c memset - .text._exit 0x08008f1c 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - 0x08008f1c _exit - *(.init) - *fill* 0x08008f1e 0x2 - .init 0x08008f20 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - 0x08008f20 _init - .init 0x08008f24 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - *(.fini) - .fini 0x08008f2c 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - 0x08008f2c _fini - .fini 0x08008f30 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - *crtbegin.o(.ctors) - *crtbegin?.o(.ctors) - *(EXCLUDE_FILE(*crtend.o *crtend?.o) .ctors) - *(SORT(.ctors.*)) - *(.ctors) - *crtbegin.o(.dtors) - *crtbegin?.o(.dtors) - *(EXCLUDE_FILE(*crtend.o *crtend?.o) .dtors) - *(SORT(.dtors.*)) - *(.dtors) - *(.rodata*) - .rodata.canTiming - 0x08008f38 0x24 ..\obj\boot.o - .rodata.str1.1 - 0x08008f5c 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - *fill* 0x08008f5e 0x2 - .rodata._global_impure_ptr - 0x08008f60 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - 0x08008f60 _global_impure_ptr - *(.eh_frame*) - .eh_frame 0x08008f64 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .eh_frame 0x08008f64 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - -.glue_7 0x08008f68 0x0 - .glue_7 0x08008f68 0x0 linker stubs - -.glue_7t 0x08008f68 0x0 - .glue_7t 0x08008f68 0x0 linker stubs - -.vfp11_veneer 0x08008f68 0x0 - .vfp11_veneer 0x08008f68 0x0 linker stubs - -.v4_bx 0x08008f68 0x0 - .v4_bx 0x08008f68 0x0 linker stubs - -.iplt 0x08008f68 0x0 - .iplt 0x08008f68 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - -.ARM.extab - *(.ARM.extab* .gnu.linkonce.armextab.*) - 0x08008f68 __exidx_start = . - -.ARM.exidx 0x08008f68 0x8 - *(.ARM.exidx* .gnu.linkonce.armexidx.*) - .ARM.exidx 0x08008f68 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - 0x08008f70 __exidx_end = . - 0x08008f70 __etext = . - -.rel.dyn 0x08008f70 0x0 - .rel.iplt 0x08008f70 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - -.data 0x20000000 0x7c load address 0x08008f70 - 0x20000000 __data_start__ = . - *(vtable) - *(.data*) - .data.APBAHBPrescTable - 0x20000000 0x10 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .data.SystemCoreClock - 0x20000010 0x4 ..\obj\lib\system_stm32f4xx.o - 0x20000010 SystemCoreClock - .data.impure_data - 0x20000014 0x60 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - 0x20000074 . = ALIGN (0x4) - 0x20000074 PROVIDE (__preinit_array_start, .) - *(.preinit_array) - 0x20000074 PROVIDE (__preinit_array_end, .) - 0x20000074 . = ALIGN (0x4) - 0x20000074 PROVIDE (__init_array_start, .) - *(SORT(.init_array.*)) - *(.init_array) - .init_array 0x20000074 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - 0x20000078 PROVIDE (__init_array_end, .) - 0x20000078 . = ALIGN (0x4) - [!provide] PROVIDE (__fini_array_start, .) - *(SORT(.fini_array.*)) - *(.fini_array) - .fini_array 0x20000078 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - [!provide] PROVIDE (__fini_array_end, .) - 0x2000007c . = ALIGN (0x4) - 0x2000007c __data_end__ = . - -.jcr 0x2000007c 0x0 load address 0x08008fec - .jcr 0x2000007c 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - -.igot.plt 0x2000007c 0x0 load address 0x08008fec - .igot.plt 0x2000007c 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - -.bss 0x2000007c 0x74 load address 0x08008fec - 0x2000007c __bss_start__ = . - *(.bss*) - .bss 0x2000007c 0x1c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .bss.xcpCtoRxStartTime.7612 - 0x20000098 0x4 ..\obj\boot.o - .bss.xcpCtoRxLength.7610 - 0x2000009c 0x1 ..\obj\boot.o - *fill* 0x2000009d 0x3 - .bss.xcpCtoReqPacket.7609 - 0x200000a0 0x41 ..\obj\boot.o - .bss.xcpCtoRxInProgress.7611 - 0x200000e1 0x1 ..\obj\boot.o - *fill* 0x200000e2 0x2 - .bss.timer_counter_last.7590 - 0x200000e4 0x4 ..\obj\led.o - .bss.led_toggle_state.7589 - 0x200000e8 0x1 ..\obj\led.o - *fill* 0x200000e9 0x3 - .bss.millisecond_counter - 0x200000ec 0x4 ..\obj\timer.o - *(COMMON) - 0x200000f0 __bss_end__ = . - -.heap 0x200000f0 0x0 - 0x200000f0 __end__ = . - 0x200000f0 end = __end__ - *(.heap*) - .heap 0x200000f0 0x0 ..\obj\startup_stm32f4xx.o - 0x200000f0 __HeapLimit = . - -.stack_dummy 0x200000f0 0x400 - *(.stack) - .stack 0x200000f0 0x400 ..\obj\startup_stm32f4xx.o - 0x20020000 __StackTop = (ORIGIN (RAM) + LENGTH (RAM)) - 0x2001fc00 __StackLimit = (__StackTop - SIZEOF (.stack_dummy)) - 0x20020000 PROVIDE (__stack, __StackTop) - 0x00000001 ASSERT ((__StackLimit >= __HeapLimit), region RAM overflowed with stack) -LOAD ..\obj\boot.o -LOAD ..\obj\led.o -LOAD ..\obj\lib\spl\src\misc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_adc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_can.o -LOAD ..\obj\lib\spl\src\stm32f4xx_crc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_aes.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_des.o -LOAD ..\obj\lib\spl\src\stm32f4xx_cryp_tdes.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dac.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dbgmcu.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dcmi.o -LOAD ..\obj\lib\spl\src\stm32f4xx_dma.o -LOAD ..\obj\lib\spl\src\stm32f4xx_exti.o -LOAD ..\obj\lib\spl\src\stm32f4xx_flash.o -LOAD ..\obj\lib\spl\src\stm32f4xx_fsmc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_gpio.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash_md5.o -LOAD ..\obj\lib\spl\src\stm32f4xx_hash_sha1.o -LOAD ..\obj\lib\spl\src\stm32f4xx_i2c.o -LOAD ..\obj\lib\spl\src\stm32f4xx_iwdg.o -LOAD ..\obj\lib\spl\src\stm32f4xx_pwr.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rcc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rng.o -LOAD ..\obj\lib\spl\src\stm32f4xx_rtc.o -LOAD ..\obj\lib\spl\src\stm32f4xx_sdio.o -LOAD ..\obj\lib\spl\src\stm32f4xx_spi.o -LOAD ..\obj\lib\spl\src\stm32f4xx_syscfg.o -LOAD ..\obj\lib\spl\src\stm32f4xx_tim.o -LOAD ..\obj\lib\spl\src\stm32f4xx_usart.o -LOAD ..\obj\lib\spl\src\stm32f4xx_wwdg.o -LOAD ..\obj\lib\system_stm32f4xx.o -LOAD ..\obj\main.o -LOAD ..\obj\startup_stm32f4xx.o -LOAD ..\obj\timer.o -START GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu\libgcc.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libc_n.a -END GROUP -START GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu\libgcc.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libc_n.a -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a -END GROUP -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o -LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o -OUTPUT(..\bin\demoprog_olimex_stm32p405.elf elf32-littlearm) - -.ARM.attributes - 0x00000000 0x30 - .ARM.attributes - 0x00000000 0x22 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o - .ARM.attributes - 0x00000022 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtbegin.o - .ARM.attributes - 0x00000056 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o - .ARM.attributes - 0x00000076 0x39 ..\obj\boot.o - .ARM.attributes - 0x000000af 0x39 ..\obj\led.o - .ARM.attributes - 0x000000e8 0x39 ..\obj\lib\spl\src\stm32f4xx_can.o - .ARM.attributes - 0x00000121 0x39 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .ARM.attributes - 0x0000015a 0x39 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .ARM.attributes - 0x00000193 0x39 ..\obj\lib\spl\src\stm32f4xx_usart.o - .ARM.attributes - 0x000001cc 0x39 ..\obj\lib\system_stm32f4xx.o - .ARM.attributes - 0x00000205 0x39 ..\obj\main.o - .ARM.attributes - 0x0000023e 0x1f ..\obj\startup_stm32f4xx.o - .ARM.attributes - 0x0000025d 0x39 ..\obj\timer.o - .ARM.attributes - 0x00000296 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .ARM.attributes - 0x000002ca 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-impure.o) - .ARM.attributes - 0x000002fe 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .ARM.attributes - 0x00000332 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .ARM.attributes - 0x00000366 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) - .ARM.attributes - 0x0000039a 0x34 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtend.o - .ARM.attributes - 0x000003ce 0x22 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o - -.comment 0x00000000 0x6e - .comment 0x00000000 0x6e ..\obj\boot.o - 0x6f (size before relaxing) - .comment 0x0000006e 0x6f ..\obj\led.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_can.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_gpio.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_rcc.o - .comment 0x0000006e 0x6f ..\obj\lib\spl\src\stm32f4xx_usart.o - .comment 0x0000006e 0x6f ..\obj\lib\system_stm32f4xx.o - .comment 0x0000006e 0x6f ..\obj\main.o - .comment 0x0000006e 0x6f ..\obj\timer.o - -.debug_info 0x00000000 0x4ffa - .debug_info 0x00000000 0xfb6 ..\obj\boot.o - .debug_info 0x00000fb6 0x3c8 ..\obj\led.o - .debug_info 0x0000137e 0xd34 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_info 0x000020b2 0x7e4 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_info 0x00002896 0xcc9 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_info 0x0000355f 0xb9d ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_info 0x000040fc 0x5ed ..\obj\lib\system_stm32f4xx.o - .debug_info 0x000046e9 0x13b ..\obj\main.o - .debug_info 0x00004824 0x91 ..\obj\startup_stm32f4xx.o - .debug_info 0x000048b5 0x745 ..\obj\timer.o - -.debug_abbrev 0x00000000 0x1019 - .debug_abbrev 0x00000000 0x2d5 ..\obj\boot.o - .debug_abbrev 0x000002d5 0x168 ..\obj\led.o - .debug_abbrev 0x0000043d 0x231 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_abbrev 0x0000066e 0x1e5 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_abbrev 0x00000853 0x210 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_abbrev 0x00000a63 0x1be ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_abbrev 0x00000c21 0x159 ..\obj\lib\system_stm32f4xx.o - .debug_abbrev 0x00000d7a 0xa5 ..\obj\main.o - .debug_abbrev 0x00000e1f 0x14 ..\obj\startup_stm32f4xx.o - .debug_abbrev 0x00000e33 0x1e6 ..\obj\timer.o - -.debug_loc 0x00000000 0x23fa - .debug_loc 0x00000000 0xaf ..\obj\boot.o - .debug_loc 0x000000af 0x34 ..\obj\led.o - .debug_loc 0x000000e3 0xd15 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_loc 0x00000df8 0x333 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_loc 0x0000112b 0x9f0 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_loc 0x00001b1b 0x745 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_loc 0x00002260 0x132 ..\obj\lib\system_stm32f4xx.o - .debug_loc 0x00002392 0x68 ..\obj\timer.o - -.debug_aranges 0x00000000 0x528 - .debug_aranges - 0x00000000 0x60 ..\obj\boot.o - .debug_aranges - 0x00000060 0x28 ..\obj\led.o - .debug_aranges - 0x00000088 0xe0 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_aranges - 0x00000168 0x88 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_aranges - 0x000001f0 0x180 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_aranges - 0x00000370 0x100 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_aranges - 0x00000470 0x30 ..\obj\lib\system_stm32f4xx.o - .debug_aranges - 0x000004a0 0x28 ..\obj\main.o - .debug_aranges - 0x000004c8 0x20 ..\obj\startup_stm32f4xx.o - .debug_aranges - 0x000004e8 0x40 ..\obj\timer.o - -.debug_ranges 0x00000000 0x478 - .debug_ranges 0x00000000 0x50 ..\obj\boot.o - .debug_ranges 0x00000050 0x18 ..\obj\led.o - .debug_ranges 0x00000068 0xd0 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_ranges 0x00000138 0x78 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_ranges 0x000001b0 0x170 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_ranges 0x00000320 0xf0 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_ranges 0x00000410 0x20 ..\obj\lib\system_stm32f4xx.o - .debug_ranges 0x00000430 0x18 ..\obj\main.o - .debug_ranges 0x00000448 0x30 ..\obj\timer.o - -.debug_macro 0x00000000 0xf560 - .debug_macro 0x00000000 0x1ed ..\obj\boot.o - .debug_macro 0x000001ed 0x8b0 ..\obj\boot.o - .debug_macro 0x00000a9d 0xd7 ..\obj\boot.o - .debug_macro 0x00000b74 0x57 ..\obj\boot.o - .debug_macro 0x00000bcb 0x3b ..\obj\boot.o - .debug_macro 0x00000c06 0x22 ..\obj\boot.o - .debug_macro 0x00000c28 0x87 ..\obj\boot.o - .debug_macro 0x00000caf 0x44 ..\obj\boot.o - .debug_macro 0x00000cf3 0xfd ..\obj\boot.o - .debug_macro 0x00000df0 0x5e ..\obj\boot.o - .debug_macro 0x00000e4e 0x1df ..\obj\boot.o - .debug_macro 0x0000102d 0x18 ..\obj\boot.o - .debug_macro 0x00001045 0x42 ..\obj\boot.o - .debug_macro 0x00001087 0xd39 ..\obj\boot.o - .debug_macro 0x00001dc0 0x822c ..\obj\boot.o - .debug_macro 0x00009fec 0x44f ..\obj\boot.o - .debug_macro 0x0000a43b 0x3cf ..\obj\boot.o - .debug_macro 0x0000a80a 0x144 ..\obj\boot.o - .debug_macro 0x0000a94e 0x188 ..\obj\boot.o - .debug_macro 0x0000aad6 0xb2 ..\obj\boot.o - .debug_macro 0x0000ab88 0x149 ..\obj\boot.o - .debug_macro 0x0000acd1 0x477 ..\obj\boot.o - .debug_macro 0x0000b148 0xae ..\obj\boot.o - .debug_macro 0x0000b1f6 0x2f7 ..\obj\boot.o - .debug_macro 0x0000b4ed 0x279 ..\obj\boot.o - .debug_macro 0x0000b766 0xc3 ..\obj\boot.o - .debug_macro 0x0000b829 0x288 ..\obj\boot.o - .debug_macro 0x0000bab1 0x2bb ..\obj\boot.o - .debug_macro 0x0000bd6c 0x64 ..\obj\boot.o - .debug_macro 0x0000bdd0 0xae ..\obj\boot.o - .debug_macro 0x0000be7e 0x57c ..\obj\boot.o - .debug_macro 0x0000c3fa 0x40 ..\obj\boot.o - .debug_macro 0x0000c43a 0x57b ..\obj\boot.o - .debug_macro 0x0000c9b5 0x342 ..\obj\boot.o - .debug_macro 0x0000ccf7 0x36c ..\obj\boot.o - .debug_macro 0x0000d063 0xe2 ..\obj\boot.o - .debug_macro 0x0000d145 0x73b ..\obj\boot.o - .debug_macro 0x0000d880 0x223 ..\obj\boot.o - .debug_macro 0x0000daa3 0x34 ..\obj\boot.o - .debug_macro 0x0000dad7 0x82 ..\obj\boot.o - .debug_macro 0x0000db59 0x35 ..\obj\boot.o - .debug_macro 0x0000db8e 0x1ed ..\obj\led.o - .debug_macro 0x0000dd7b 0x215 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_macro 0x0000df90 0x1c0 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x0000e150 0x282 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_macro 0x0000e3d2 0x27c ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x0000e64e 0x576 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_macro 0x0000ebc4 0x1d8 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x0000ed9c 0x21d ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_macro 0x0000efb9 0x1d9 ..\obj\lib\system_stm32f4xx.o - .debug_macro 0x0000f192 0x1e7 ..\obj\main.o - .debug_macro 0x0000f379 0x1e7 ..\obj\timer.o - -.debug_line 0x00000000 0x3756 - .debug_line 0x00000000 0x5e7 ..\obj\boot.o - .debug_line 0x000005e7 0x4e7 ..\obj\led.o - .debug_line 0x00000ace 0x7eb ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_line 0x000012b9 0x5c1 ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_line 0x0000187a 0x806 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_line 0x00002080 0x701 ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_line 0x00002781 0x4fd ..\obj\lib\system_stm32f4xx.o - .debug_line 0x00002c7e 0x4e5 ..\obj\main.o - .debug_line 0x00003163 0xd2 ..\obj\startup_stm32f4xx.o - .debug_line 0x00003235 0x521 ..\obj\timer.o - -.debug_str 0x00000000 0x5b5d1 - .debug_str 0x00000000 0x598df ..\obj\boot.o - 0x59aa2 (size before relaxing) - .debug_str 0x000598df 0x81 ..\obj\led.o - 0x5953d (size before relaxing) - .debug_str 0x00059960 0x4ae ..\obj\lib\spl\src\stm32f4xx_can.o - 0x596b1 (size before relaxing) - .debug_str 0x00059e0e 0x159 ..\obj\lib\spl\src\stm32f4xx_gpio.o - 0x5922a (size before relaxing) - .debug_str 0x00059f67 0xb40 ..\obj\lib\spl\src\stm32f4xx_rcc.o - 0x59b4a (size before relaxing) - .debug_str 0x0005aaa7 0x470 ..\obj\lib\spl\src\stm32f4xx_usart.o - 0x59563 (size before relaxing) - .debug_str 0x0005af17 0xd6 ..\obj\lib\system_stm32f4xx.o - 0x59156 (size before relaxing) - .debug_str 0x0005afed 0x19 ..\obj\main.o - 0x5931d (size before relaxing) - .debug_str 0x0005b006 0x5cb ..\obj\timer.o - 0x59962 (size before relaxing) - -.debug_frame 0x00000000 0xae8 - .debug_frame 0x00000000 0x104 ..\obj\boot.o - .debug_frame 0x00000104 0x48 ..\obj\led.o - .debug_frame 0x0000014c 0x1e0 ..\obj\lib\spl\src\stm32f4xx_can.o - .debug_frame 0x0000032c 0x11c ..\obj\lib\spl\src\stm32f4xx_gpio.o - .debug_frame 0x00000448 0x2f8 ..\obj\lib\spl\src\stm32f4xx_rcc.o - .debug_frame 0x00000740 0x21c ..\obj\lib\spl\src\stm32f4xx_usart.o - .debug_frame 0x0000095c 0x50 ..\obj\lib\system_stm32f4xx.o - .debug_frame 0x000009ac 0x40 ..\obj\main.o - .debug_frame 0x000009ec 0x68 ..\obj\timer.o - .debug_frame 0x00000a54 0x28 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-exit.o) - .debug_frame 0x00000a7c 0x2c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-init.o) - .debug_frame 0x00000aa8 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libg_n.a(lib_a-memset.o) - .debug_frame 0x00000ac8 0x20 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu\libnosys_s.a(_exit.o) + +bin/demoprog_olimex_stm32p405.elf: file format elf32-littlearm +bin/demoprog_olimex_stm32p405.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x08008000 + +Program Header: +0x70000001 off 0x00008f64 vaddr 0x08008f64 paddr 0x08008f64 align 2**2 + filesz 0x00000008 memsz 0x00000008 flags r-- + LOAD off 0x00000000 vaddr 0x08000000 paddr 0x08000000 align 2**16 + filesz 0x00008f6c memsz 0x00008f6c flags r-x + LOAD off 0x00010000 vaddr 0x20000000 paddr 0x08008f6c align 2**16 + filesz 0x0000007c memsz 0x000000f0 flags rw- +private flags = 5000400: [Version5 EABI] [hard-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00000f64 08008000 08008000 00008000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .ARM.exidx 00000008 08008f64 08008f64 00008f64 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 2 .data 0000007c 20000000 08008f6c 00010000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 3 .bss 00000074 2000007c 08008fe8 0001007c 2**2 + ALLOC + 4 .stack_dummy 00000400 200000f0 200000f0 00010080 2**3 + CONTENTS, READONLY + 5 .ARM.attributes 00000030 00000000 00000000 00010480 2**0 + CONTENTS, READONLY + 6 .comment 0000006e 00000000 00000000 000104b0 2**0 + CONTENTS, READONLY + 7 .debug_line 00003656 00000000 00000000 0001051e 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_info 000050c6 00000000 00000000 00013b74 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_abbrev 000010a4 00000000 00000000 00018c3a 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_aranges 00000550 00000000 00000000 00019ce0 2**3 + CONTENTS, READONLY, DEBUGGING + 11 .debug_loc 000023fa 00000000 00000000 0001a230 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_ranges 00000490 00000000 00000000 0001c62a 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_macro 0001036c 00000000 00000000 0001caba 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_str 0005dfde 00000000 00000000 0002ce26 2**0 + CONTENTS, READONLY, DEBUGGING + 15 .debug_frame 00000b00 00000000 00000000 0008ae04 2**2 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +08008000 l d .text 00000000 .text +08008f64 l d .ARM.exidx 00000000 .ARM.exidx +20000000 l d .data 00000000 .data +2000007c l d .bss 00000000 .bss +200000f0 l d .stack_dummy 00000000 .stack_dummy +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l df *ABS* 00000000 obj/startup_stm32f4xx.o +00000400 l *ABS* 00000000 Stack_Size +00000000 l *ABS* 00000000 Heap_Size +08008266 l .text 00000000 .flash_to_ram_loop +080082a0 l .text 00000000 .fill_zero_bss +0800829c l .text 00000000 .loop_zero_bss +00000000 l df *ABS* 00000000 crtstuff.c +08008f60 l O .text 00000000 __EH_FRAME_BEGIN__ +0800818c l F .text 00000000 __do_global_dtors_aux +2000007c l .bss 00000000 completed.8605 +20000078 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +080081b0 l F .text 00000000 frame_dummy +20000080 l .bss 00000000 object.8610 +20000074 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o +00000000 l df *ABS* 00000000 boot.c +0800836c l F .text 00000058 CanGetSpeedConfig +080083c4 l F .text 00000098 BootComUartInit +0800845c l F .text 000000e8 BootComCanInit +08008544 l F .text 00000024 UartReceiveByte +08008594 l F .text 00000094 BootComUartCheckActivationRequest +08008628 l F .text 00000050 BootComCanCheckActivationRequest +20000098 l O .bss 00000004 xcpCtoRxStartTime.7612 +2000009c l O .bss 00000001 xcpCtoRxLength.7610 +200000a0 l O .bss 00000041 xcpCtoReqPacket.7609 +200000e1 l O .bss 00000001 xcpCtoRxInProgress.7611 +08008f38 l O .text 00000024 canTiming +00000000 l df *ABS* 00000000 main.c +08008684 l F .text 0000000c Init +00000000 l df *ABS* 00000000 led.c +200000e4 l O .bss 00000004 timer_counter_last.7590 +200000e8 l O .bss 00000001 led_toggle_state.7589 +00000000 l df *ABS* 00000000 timer.c +200000ec l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 _exit.c +00000000 l df *ABS* 00000000 stm32f4xx_gpio.c +00000000 l df *ABS* 00000000 stm32f4xx_can.c +00000000 l df *ABS* 00000000 stm32f4xx_rcc.c +20000000 l O .data 00000010 APBAHBPrescTable +00000000 l df *ABS* 00000000 stm32f4xx_usart.c +00000000 l df *ABS* 00000000 system_stm32f4xx.c +08008d8c l F .text 000000c4 SetSysClock +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 init.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o +00000000 l df *ABS* 00000000 impure.c +20000014 l O .data 00000060 impure_data +00000000 l df *ABS* 00000000 crtstuff.c +08008f60 l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +20000078 l .data 00000000 __init_array_end +20000074 l .data 00000000 __preinit_array_end +20000074 l .data 00000000 __init_array_start +20000074 l .data 00000000 __preinit_array_start +08008310 w F .text 00000002 RTC_Alarm_IRQHandler +0800835e w F .text 00000002 HASH_RNG_IRQHandler +080082ce w F .text 00000002 EXTI2_IRQHandler +0800831a w F .text 00000002 TIM8_CC_IRQHandler +200000f0 g .stack_dummy 00000000 __HeapBase +080082b6 w F .text 00000002 DebugMon_Handler +0800882c g F .text 0000002e GPIO_PinAFConfig +00000000 g *ABS* 00000000 __HEAP_SIZE +20000000 g .data 00000000 __data_start__ +080082f4 w F .text 00000002 TIM1_CC_IRQHandler +08008346 w F .text 00000002 DMA2_Stream5_IRQHandler +080082ac w F .text 00000002 HardFault_Handler +080089a4 g F .text 000000f4 CAN_FilterInit +080082de w F .text 00000002 DMA1_Stream5_IRQHandler +0800878c g F .text 00000010 SysTick_Handler +080082c0 w F .text 00000002 PVD_IRQHandler +08008320 w F .text 00000002 SDIO_IRQHandler +080082c2 w F .text 00000002 TAMP_STAMP_IRQHandler +080082b8 w F .text 00000002 PendSV_Handler +080082aa w F .text 00000002 NMI_Handler +08008f6c g .ARM.exidx 00000000 __exidx_end +08008340 w F .text 00000002 CAN2_RX1_IRQHandler +080082d0 w F .text 00000002 EXTI3_IRQHandler +08008f6c g .ARM.exidx 00000000 __etext +08008318 w F .text 00000002 TIM8_TRG_COM_TIM14_IRQHandler +080082f0 w F .text 00000002 TIM1_UP_TIM10_IRQHandler +08008d7c g F .text 0000000e USART_GetFlagStatus +08008316 w F .text 00000002 TIM8_UP_TIM13_IRQHandler +08008350 w F .text 00000002 I2C3_ER_IRQHandler +080082ca w F .text 00000002 EXTI0_IRQHandler +08008300 w F .text 00000002 I2C2_EV_IRQHandler +080082d8 w F .text 00000002 DMA1_Stream2_IRQHandler +080082e6 w F .text 00000002 CAN1_RX0_IRQHandler +08008360 w F .text 00000002 FPU_IRQHandler +20000010 g O .data 00000004 SystemCoreClock +08008356 w F .text 00000002 OTG_HS_WKUP_IRQHandler +08008d74 g F .text 00000008 USART_ReceiveData +080082b2 w F .text 00000002 UsageFault_Handler +08008342 w F .text 00000002 CAN2_SCE_IRQHandler +08008332 w F .text 00000002 DMA2_Stream2_IRQHandler +080087a0 g F .text 00000084 GPIO_Init +200000f0 g .stack_dummy 00000000 __HeapLimit +2000007c g .bss 00000000 __bss_start__ +08008304 w F .text 00000002 SPI1_IRQHandler +08008d58 g F .text 0000001c USART_Cmd +0800832a w F .text 00000002 TIM6_DAC_IRQHandler +080082ee w F .text 00000002 TIM1_BRK_TIM9_IRQHandler +0800835a w F .text 00000002 DCMI_IRQHandler +0800833e w F .text 00000002 CAN2_RX0_IRQHandler +08008c50 g F .text 0000001c RCC_APB1PeriphClockCmd +08008f64 g .text 00000000 __exidx_start +08008334 w F .text 00000002 DMA2_Stream3_IRQHandler +08008f5c g O .text 00000004 _global_impure_ptr +08008ec4 g F .text 0000004c __libc_init_array +080081e8 g F .text 00000000 _mainCRTStartup +0800885c g F .text 00000038 CAN_DeInit +0800834c w F .text 00000002 USART6_IRQHandler +08008f20 g F .text 00000000 _init +08008574 g F .text 00000020 BootActivate +0800830c w F .text 00000002 USART3_IRQHandler +0800835c w F .text 00000002 CRYP_IRQHandler +00000000 w *UND* 00000000 __libc_fini_array +0800825c g F .text 00000038 Reset_Handler +080082e8 w F .text 00000002 CAN1_RX1_IRQHandler +08008328 w F .text 00000002 UART5_IRQHandler +0800832e w F .text 00000002 DMA2_Stream0_IRQHandler +080086a4 g F .text 00000044 LedInit +08008824 g F .text 00000004 GPIO_SetBits +080082fa w F .text 00000002 TIM4_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stderr +00000000 w *UND* 00000000 __deregister_frame_info +200000f0 g .stack_dummy 00000000 end +080082fc w F .text 00000002 I2C1_EV_IRQHandler +080082e0 w F .text 00000002 DMA1_Stream6_IRQHandler +2000007c g .data 00000000 __data_end__ +08008b7c g F .text 000000b8 RCC_GetClocksFreq +080082d6 w F .text 00000002 DMA1_Stream1_IRQHandler +08008326 w F .text 00000002 UART4_IRQHandler +08008c88 g F .text 000000d0 USART_Init +200000f0 g .bss 00000000 __bss_end__ +00000400 g *ABS* 00000000 __STACK_SIZE +080082f8 w F .text 00000002 TIM3_IRQHandler +080082c8 w F .text 00000002 RCC_IRQHandler +08008ab8 g F .text 000000a6 CAN_Receive +08008314 w F .text 00000002 TIM8_BRK_TIM12_IRQHandler +00000000 w *UND* 00000000 __call_exitprocs +08008894 g F .text 0000010e CAN_Init +080082bc w F .text 00000002 Default_Handler +080081e8 g F .text 00000000 _start +08008a98 g F .text 00000020 CAN_StructInit +0800830e w F .text 00000002 EXTI15_10_IRQHandler +080082e2 w F .text 00000002 ADC_IRQHandler +0800831c w F .text 00000002 DMA1_Stream7_IRQHandler +00000000 w *UND* 00000000 software_init_hook +08008828 g F .text 00000004 GPIO_ResetBits +0800832c w F .text 00000002 TIM7_IRQHandler +0800833c w F .text 00000002 CAN2_TX_IRQHandler +08008322 w F .text 00000002 TIM5_IRQHandler +0800834a w F .text 00000002 DMA2_Stream7_IRQHandler +0800834e w F .text 00000002 I2C3_EV_IRQHandler +080082ec w F .text 00000002 EXTI9_5_IRQHandler +080082c4 w F .text 00000002 RTC_WKUP_IRQHandler +0800833a w F .text 00000002 ETH_WKUP_IRQHandler +08008306 w F .text 00000002 SPI2_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdin +08008354 w F .text 00000002 OTG_HS_EP1_IN_IRQHandler +08008f10 g F .text 00000010 memset +080082ae w F .text 00000002 MemManage_Handler +08008000 g .text 0000018c __isr_vector +08008690 g F .text 00000014 main +080082d4 w F .text 00000002 DMA1_Stream0_IRQHandler +080082e4 w F .text 00000002 CAN1_TX_IRQHandler +080082b4 w F .text 00000002 SVC_Handler +00000000 w *UND* 00000000 hardware_init_hook +200000f0 g .stack_dummy 00000000 __end__ +08008b60 g F .text 0000001a CAN_MessagePending +080082d2 w F .text 00000002 EXTI4_IRQHandler +08008738 g F .text 0000000c TimerSet +08008568 g F .text 0000000c BootComInit +08008e50 g F .text 0000004c SystemInit +08008f2c g F .text 00000000 _fini +00000000 w *UND* 00000000 atexit +0800831e w F .text 00000002 FSMC_IRQHandler +20020000 g .bss 00000000 __StackTop +08008338 w F .text 00000002 ETH_IRQHandler +08008352 w F .text 00000002 OTG_HS_EP1_OUT_IRQHandler +080082be w F .text 00000002 WWDG_IRQHandler +08008c6c g F .text 0000001c RCC_APB1PeriphResetCmd +080086e8 g F .text 00000050 LedToggle +080082f6 w F .text 00000002 TIM2_IRQHandler +08008312 w F .text 00000002 OTG_FS_WKUP_IRQHandler +080082f2 w F .text 00000002 TIM1_TRG_COM_TIM11_IRQHandler +08008358 w F .text 00000002 OTG_HS_IRQHandler +20020000 g *ABS* 00000000 __stack +080082cc w F .text 00000002 EXTI1_IRQHandler +2001fc00 g *ABS* 00000400 __StackLimit +0800830a w F .text 00000002 USART2_IRQHandler +08008e9c g F .text 00000028 exit +08008302 w F .text 00000002 I2C2_ER_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdout +08008330 w F .text 00000002 DMA2_Stream1_IRQHandler +08008678 g F .text 0000000c BootComCheckActivationRequest +080082ea w F .text 00000002 CAN1_SCE_IRQHandler +080082c6 w F .text 00000002 FLASH_IRQHandler +0800879c w F .text 00000002 _exit +08008336 w F .text 00000002 DMA2_Stream4_IRQHandler +08008c34 g F .text 0000001c RCC_AHB1PeriphClockCmd +080082b0 w F .text 00000002 BusFault_Handler +08008308 w F .text 00000002 USART1_IRQHandler +08008344 w F .text 00000002 OTG_FS_IRQHandler +08008324 w F .text 00000002 SPI3_IRQHandler +080082dc w F .text 00000002 DMA1_Stream4_IRQHandler +080082fe w F .text 00000002 I2C1_ER_IRQHandler +08008780 g F .text 0000000c TimerGet +00000000 w *UND* 00000000 _Jv_RegisterClasses +08008744 g F .text 0000003c TimerInit +00000000 w *UND* 00000000 __register_frame_info +08008348 w F .text 00000002 DMA2_Stream6_IRQHandler +080082da w F .text 00000002 DMA1_Stream3_IRQHandler + + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.srec b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.srec index 90307b81..a067d8d2 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.srec +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/bin/demoprog_olimex_stm32p405.srec @@ -1,258 +1,258 @@ -S02800002E2E5C62696E5C64656D6F70726F675F6F6C696D65785F73746D3332703430352E7372656344 -S3150800800000000220258D0008738D0008758D000874 -S31508008010778D0008798D00087B8D00080000000028 -S315080080200000000000000000000000007D8D000830 -S315080080307F8D000800000000818D0008898E0008E9 -S31508008040878D0008898D00088B8D00088D8D0008A6 -S315080080508F8D0008918D0008938D0008958D000876 -S31508008060978D0008998D00089B8D00089D8D000846 -S315080080709F8D0008A18D0008A38D0008A58D000816 -S31508008080A78D0008A98D0008AB8D0008AD8D0008E6 -S31508008090AF8D0008B18D0008B38D0008B58D0008B6 -S315080080A0B78D0008B98D0008BB8D0008BD8D000886 -S315080080B0BF8D0008C18D0008C38D0008C58D000856 -S315080080C0C78D0008C98D0008CB8D0008CD8D000826 -S315080080D0CF8D0008D18D0008D38D0008D58D0008F6 -S315080080E0D78D0008D98D0008DB8D0008DD8D0008C6 -S315080080F0DF8D0008E18D0008E38D0008E58D000896 -S31508008100E78D0008E98D0008EB8D0008ED8D000865 -S31508008110EF8D0008F18D0008F38D0008F58D000835 -S31508008120F78D0008F98D0008FB8D0008FD8D000805 -S31508008130FF8D0008018E0008038E0008058E0008D2 -S31508008140078E0008098E00080B8E00080D8E0008A1 -S315080081500F8E0008118E0008138E0008158E000871 -S31508008160178E0008198E00081B8E00081D8E000841 -S315080081701F8E0008218E0008238E0008258E000811 -S31508008180278E0008298E0008EE11AA5510B5054C51 +S025000062696E2F64656D6F70726F675F6F6C696D65785F73746D3332703430352E737265632C +S31508008000000002205D820008AB820008AD820008ED +S31508008010AF820008B1820008B382000800000000A1 +S31508008020000000000000000000000000B582000803 +S31508008030B782000800000000B98200088D87000892 +S31508008040BF820008C1820008C3820008C5820008F2 +S31508008050C7820008C9820008CB820008CD820008C2 +S31508008060CF820008D1820008D3820008D582000892 +S31508008070D7820008D9820008DB820008DD82000862 +S31508008080DF820008E1820008E3820008E582000832 +S31508008090E7820008E9820008EB820008ED82000802 +S315080080A0EF820008F1820008F3820008F5820008D2 +S315080080B0F7820008F9820008FB820008FD820008A2 +S315080080C0FF8200080183000803830008058300086F +S315080080D007830008098300080B8300080D8300083E +S315080080E00F8300081183000813830008158300080E +S315080080F017830008198300081B8300081D830008DE +S315080081001F830008218300082383000825830008AD +S3150800811027830008298300082B8300082D8300087D +S315080081202F8300083183000833830008358300084D +S3150800813037830008398300083B8300083D8300081D +S315080081403F830008418300084383000845830008ED +S3150800815047830008498300084B8300084D830008BD +S315080081604F8300085183000853830008558300088D +S3150800817057830008598300085B8300085D8300085D +S315080081805F83000861830008EE11AA5510B5054CF7 S31508008190237833B9044B13B10448AFF300800123A5 -S315080081A0237010BD7C00002000000000648F0008CA +S315080081A0237010BD7C00002000000000608F0008CE S315080081B0084B10B51BB108490848AFF300800848BA S315080081C0036803B910BD074B002BFBD0BDE8104070 -S315080081D0184700BF0000000080000020648F0008D8 +S315080081D0184700BF0000000080000020608F0008DC S315080081E07C00002000000000154B002B08BF134B35 S315080081F09D46A3F5803A00218B460F461348144A3C -S31508008200121A00F083FE0F4B002B00D098470E4B36 +S31508008200121A00F085FE0F4B002B00D098470E4B34 S31508008210002B00D098470020002104000D000D48CF -S31508008220002802D00C48AFF3008000F049FE200079 -S31508008230290000F06DFD00F02FFE00BF00000800C9 +S31508008220002802D00C48AFF3008000F04BFE200077 +S31508008230290000F02DFA00F031FE00BF000008000A S315080082400000022000000000000000007C00002062 -S31508008250F00000200000000000000000F0B5002437 -S3150800826021E0134D15F8147005EB440595F801E067 -S3150800827007EB0E0505FB00054AF2104C9CFBF5F6CC -S3150800828005FB16C66EB99CFBF5F5ADB20D80013D32 -S31508008290ADB2B5F5806F04D2177083F800E00120FF -S315080082A0F0BD0134E4B2112CDBD90020F0BD00BFCB -S315080082B0388F0008F0B587B001214FF4003000F080 -S315080082C0FBFB0121084600F0E9FB1E4D07220221AF -S315080082D0284600F06FFB07220321284600F06AFBB8 -S315080082E000248DF8164001278DF8177002268DF8A0 -S315080082F01460042304938DF8156004A9284600F039 -S3150800830013FB8DF814600823049304A9284600F08B -S315080083100BFB4FF461430093ADF80440ADF80640FB -S31508008320ADF80840ADF80C400C23ADF80A30064C01 -S315080083306946204600F0DCFB3946204600F040FC42 -S3150800834007B0F0BD000002400044004070B58AB096 -S315080083500121022000F0A2FB354E092208213046F1 -S3150800836000F028FB09221146304600F023FB4FF4A3 -S315080083704073089302238DF824308DF825300024A5 -S315080083808DF8264001258DF8275008A9304600F0BB -S31508008390CBFA29464FF0007000F08EFBA6F5D036D2 -S315080083A0304600F031F905A800F04CFA6B460DEBA3 -S315080083B005020DF102014FF4FA70FFF74FFF8DF831 -S315080083C01A408DF81B408DF81C408DF81D408DF81D -S315080083D01E408DF81F408DF816408DF817409DF801 -S315080083E00130013B8DF818309DF80030013B8DF8BF -S315080083F01930BDF80230ADF8143005A9304600F042 -S315080084001FF98DF80E408DF80F408DF81050ADF815 -S315080084100440ADF80640ADF80840ADF80A40ADF89E -S315080084200C408DF8115001A800F092F90AB070BD01 -S315080084300004024010B504462021064800F0D2FB8D -S31508008440012805D1034800F0C9FB2070012010BDA2 -S31508008450002010BD0044004008B5FFF72BFFFFF7CA -S3150800846075FF08BDBFF34F8F0449CA6802F4E0627E -S31508008470034B1343CB60BFF34F8FFEE700ED00E0DD -S315080084800400FA0508B5204B1B789BB91F48FFF76F -S31508008490D1FF012836D11D4B1B78002B32D000F0B6 -S315080084A0EDFC1B4B18600122174B1A700022194B62 -S315080084B01A7008BD174B1B78013314481844FFF788 -S315080084C0B9FF012814D1134A13780133DBB21370AC -S315080084D00E4A1278934215D100220B4B1A700B4B99 -S315080084E05B78FF2B0ED1094B9B785BB9FFF7BAFF78 -S315080084F000F0C4FC064B1B686433984202D900227C -S31508008500014B1A7008BD00BFE1000020A000002042 -S31508008510980000209C00002000B587B00021114873 -S3150800852000F0F4F9D8B101AA00210E4800F09AF932 -S315080085309DF80C3033B940F26763019A9A4203D02A -S31508008540002302E0002300E0012343B19DF80F3029 -S31508008550FF2B04D19DF810300BB9FFF783FF07B046 -S315080085605DF804FB0064004008B5FFF78BFFFFF7D2 -S31508008570D3FF08BD30B583B00121042000F08EFA80 -S315080085804FF48055009501238DF8043000228DF8AC -S3150800859006208DF8073002238DF80530054C69460C -S315080085A0204600F0C1F92946204600F0FFF903B03D -S315080085B030BD00BF0008024010B500F05FFC0F4B4D -S315080085C01B68C31AB3F5FA7F16D304460C4B1B78FF -S315080085D043B901220A4B1A704FF48051094800F03A -S315080085E0E7F907E00022064B1A704FF48051054858 -S315080085F000F0DCF9014B1C6010BD00BFE400002050 -S31508008600E80000200008024008B50C4B98420AD141 -S3150800861001214FF0007000F05DFA00214FF0007064 -S3150800862000F058FA08BD01214FF0806000F052FAB8 -S3150800863000214FF0806000F04DFA08BD006400404C -S31508008640036823F002030360036843F00103036031 -S31508008650002300E00133426812F0010F03D14FF600 -S31508008660FF729342F6D1436813F0010F6BD08B79F2 -S31508008670012B04D1036843F08003036003E0036819 -S3150800868023F080030360CB79012B04D1036843F000 -S315080086904003036003E0036823F0400303600B7A9A -S315080086A0012B04D1036843F02003036003E0036849 -S315080086B023F0200303604B7A012B04D1036843F0AF -S315080086C01003036003E0036823F0100303608B7A4A -S315080086D0012B04D1036843F00803036003E0036831 -S315080086E023F008030360CB7A012B04D1036843F017 -S315080086F00403036003E0036823F0040303608A7835 -S31508008700CB781B0643EA82730A7943EA02434A791D -S3150800871043EA02530A88013A1343C361036823F004 -S3150800872001030360002300E00133426812F0010FE1 -S3150800873003D04FF6FF729342F6D1436813F0010F48 -S3150800874003D000207047002070470120704700BF03 -S3150800875070B4837A012202FA03F3394AD2F8001276 -S3150800876041F00101C2F80012D2F81C12DC43214084 -S31508008770C2F81C12027BB2B9314AD2F80C12214057 -S31508008780C2F80C12817AC688458845EA06454831FA -S3150800879042F83150857A8688018841EA064148358B -S315080087A002EBC5025160027B012A16D1244AD2F88F -S315080087B00C121943C2F80C12817A0688458845EAD4 -S315080087C00645483142F83150857A8688C18841EA9B -S315080087D00641483502EBC5025160C27A32B91849DA -S315080087E0D1F804222240C1F8042205E01449D1F840 -S315080087F004221A43C1F8042202892AB91049D1F879 -S3150800880014221440C1F814420289012A05D10C49E0 -S31508008810D1F814221A43C1F81422427B012A05D141 -S315080088200749D1F81C221343C1F81C32044AD2F86E -S31508008830003223F00103C2F8003270BC704700BF53 -S315080088400064004000238371C3710372437283720C -S31508008850C3728370C3700323037102234371012318 -S315080088600380704710B401F11B031B01C35803F0C2 -S315080088700403DBB2137233B901F11B031B01C3589E -S315080088805B0D136005E001F11B031B01C358DB08F0 -S31508008890536001F11B031B01C418C35803F00203FC -S315080088A05372636803F00F03937263681B0AD374E9 -S315080088B000EB0113D3F8B841D472D3F8B841240AAF -S315080088C01473D3F8B841240C5473D3F8B841240E62 -S315080088D09473D3F8BC41D473D3F8BC41240A1474F6 -S315080088E0D3F8BC41240C5474D3F8BC311B0E9374D2 -S315080088F021B9C36843F02003C36003E0036943F06A -S31508008900200303615DF8044B704700BF19B9C068BE -S3150800891000F003007047012903D1006900F0030045 -S3150800892070470020704700BF70B400233AE0012268 -S315080089309A400C68A24334D104685D000322AA4019 -S31508008940D2431440046006680C79AC403443046092 -S315080089500C79013CE4B2012C15D884682240826067 -S3150800896084684A79AA402243826046689AB20124FA -S31508008970944026EA0404446046688C7904FA02F2B4 -S3150800898092B232434260C4689AB256000322B24099 -S3150800899024EA0202C260C668CC7904FA05F23243B8 -S315080089A0C26001330F2BC2D970BC70470183704770 -S315080089B04183704730B401F007039B009A40C90809 -S315080089C0083150F821400F2505FA03F324EA03037A -S315080089D040F8213050F821301A4340F8212030BCA5 -S315080089E0704700BF10B4294B9B6803F00C03042B97 -S315080089F005D0082B06D053BB254B036029E0254B31 -S31508008A00036026E0214B5A685B6803F03F0312F4C3 -S31508008A10800F09D01F4AB2FBF3F21C4B5B68C3F305 -S31508008A20881303FB02F208E0194AB2FBF3F3174A6C -S31508008A305168C1F3881101FB03F2144B5B68C3F359 -S31508008A40014301335B00B2FBF3F3036001E0104B13 -S31508008A5003600E4CA368C3F303130F49CB5CDAB269 -S31508008A600368D3404360A268C2F382228A5CD2B20A -S31508008A7023FA02F28260A268C2F342328A5CD2B258 -S31508008A80D340C3605DF8044B704700BF003802400E -S31508008A900024F40000127A000000002021B1054BE2 -S31508008AA01A6B104318637047024A136B23EA0000D7 -S31508008AB0106370470038024021B1054B1A6C104309 -S31508008AC018647047024A136C23EA00001064704762 -S31508008AD00038024021B1054B1A6A104318627047E4 -S31508008AE0024A136A23EA00001062704700380240FF -S31508008AF030B585B004460D46038A9BB223F440532D -S31508008B00CA881343038283899BB223F4B05323F0A4 -S31508008B100C01A8882A896B89024313430B43A38156 -S31508008B20A38A9BB223F44073AA891343A382684697 -S31508008B30FFF758FF204B9C4203D003F580639C4205 -S31508008B4001D1039A00E0029AA38913F4004F08D0D2 -S31508008B5002EB820202EB820229684900B2FBF1F1BC -S31508008B6007E002EB820202EB820229688900B2FB67 -S31508008B70F1F1124BA3FB01235B091B011A096420BF -S31508008B8000FB1212A18911F4004F08D0D20032322C -S31508008B900A49A1FB0212C2F342121A4307E0120164 -S31508008BA032320649A1FB0212C2F343121A4392B2A9 -S31508008BB0228105B030BD00BF001001401F85EB5172 -S31508008BC029B183899BB243F4005383817047838913 -S31508008BD09BB223F400539BB2838170478088C0F30D -S31508008BE0080070470388194201D001207047002009 -S31508008BF0704700BF82B00023019300932A4A136886 -S31508008C0043F480331360284B1B6803F40033009346 -S31508008C10019B01330193009B1BB9019BB3F5A06F20 -S31508008C20F1D1214B1B6813F4003F02D001230093B6 -S31508008C3001E000230093009B012B32D11A4B1A6CDA -S31508008C4042F080521A6419490A6842F440420A609E -S31508008C509A689A609A6842F400429A609A6842F45E -S31508008C60A0529A60124A5A601A6842F080721A60D4 -S31508008C700D4B1B6813F0007FFAD040F205620D4BCE -S31508008C801A60A3F580639A6822F003029A609A68CC -S31508008C9042F002029A60044B9B6803F00C03082B0F -S31508008CA0F9D102B0704700BF00380240007000409A -S31508008CB008544007003C024008B50F4AD2F88830ED -S31508008CC043F47003C2F888300C4B1A6842F001026C -S31508008CD01A60002199601A6822F0847222F48032A0 -S31508008CE01A60074A5A601A6822F480221A60D96004 -S31508008CF0FFF780FF08BD00BF00ED00E00038024026 -S31508008D001030002408B5FFF735FC00F099F808BDC7 -S31508008D1008B5FFF7F7FFFFF79FFBFFF74DFCFFF7D7 -S31508008D2023FCFAE707498D460749084A084B9A4241 -S31508008D30BEBF51F8040B42F8040BF8E70548804714 -S31508008D400548004700000220708F00080000002038 -S31508008D507C000020B98C0008E98100083349344AB0 -S31508008D60002301E041F8043B9142FBD3FFF7D0FF13 -S31508008D70FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7BD -S31508008D80FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7AD -S31508008D90FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE79D -S31508008DA0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE78D -S31508008DB0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE77D -S31508008DC0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE76D -S31508008DD0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE75D -S31508008DE0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE74D -S31508008DF0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE73D -S31508008E00FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE72C -S31508008E10FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE71C -S31508008E20FEE7FEE7FEE7FEE7FEE700007C0000201F -S31508008E30F0000020014B1860704700BFEC000020CE -S31508008E4008B50A4B1B680A4AA2FB03239B09013B88 -S31508008E50084A5360F021084B83F8231000209060DD -S31508008E6007231360FFF7E6FF08BD00BF10000020C8 -S31508008E70D34D621010E000E000ED00E0014B1868E9 -S31508008E80704700BFEC000020024A136801331360E4 -S31508008E90704700BFEC00002008B5074B044613B125 -S31508008EA00021AFF30080054B1868836A03B1984721 -S31508008EB0204600F033F800BF00000000608F00086D -S31508008EC070B50E4B0E4CE41AA41000251E46A5429A -S31508008ED004D056F8253098470135F8E700F020F811 -S31508008EE0084C094BE41AA41000251E46A54204D0D6 -S31508008EF056F8253098470135F8E770BD740000200C -S31508008F007400002078000020740000200244034604 -S31508008F10934202D003F8011BFAE77047FEE7000008 +S31508008250F0000020000000000000000007498D46DD +S315080082600749084A084B9A42BEBF51F8040B42F820 +S31508008270040BF8E705488047054800470000022038 +S315080082806C8F0008000000207C000020518E00083A +S31508008290E98100083349344A002301E041F8043BE8 +S315080082A09142FBD300F0F4F9FEE7FEE7FEE7FEE7AE +S315080082B0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE788 +S315080082C0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE778 +S315080082D0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE768 +S315080082E0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE758 +S315080082F0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE748 +S31508008300FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE737 +S31508008310FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE727 +S31508008320FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE717 +S31508008330FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE707 +S31508008340FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7F7 +S31508008350FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7E7 +S31508008360FEE700007C000020F0000020F0B50024A5 +S3150800837021E0134D15F8147005EB440595F801E056 +S3150800838007EB0E0505FB00054AF2104C9CFBF5F6BB +S3150800839005FB16C66EB99CFBF5F5ADB20D80013D21 +S315080083A0ADB2B5F5806F04D2177083F800E00120EE +S315080083B0F0BD0134E4B2112CDBD90020F0BD00BFBA +S315080083C0388F0008F0B587B001214FF4003000F06F +S315080083D03FFC0121084600F02DFC1E4D0722022114 +S315080083E0284600F023FA07220321284600F01EFA41 +S315080083F000248DF8164001278DF8177002268DF88F +S315080084001460042304938DF8156004A9284600F027 +S31508008410C7F98DF814600823049304A9284600F0C8 +S31508008420BFF94FF461430093ADF80440ADF8064038 +S31508008430ADF80840ADF80C400C23ADF80A30064CF0 +S315080084406946204600F020FC3946204600F084FCA8 +S3150800845007B0F0BD000002400044004070B58AB085 +S315080084600121022000F0E6FB354E0922082130469C +S3150800847000F0DCF909221146304600F0D7F94FF42E +S315080084804073089302238DF824308DF82530002494 +S315080084908DF8264001258DF8275008A9304600F0AA +S315080084A07FF929464FF0007000F0D2FBA6F5D036CA +S315080084B0304600F0D3F905A800F0EEFA6B460DEB4E +S315080084C005020DF102014FF4FA70FFF74FFF8DF820 +S315080084D01A408DF81B408DF81C408DF81D408DF80C +S315080084E01E408DF81F408DF816408DF817409DF8F0 +S315080084F00130013B8DF818309DF80030013B8DF8AE +S315080085001930BDF80230ADF8143005A9304600F030 +S31508008510C1F98DF80E408DF80F408DF81050ADF862 +S315080085200440ADF80640ADF80840ADF80A40ADF88D +S315080085300C408DF8115001A800F034FA0AB070BD4D +S315080085400004024010B504462021064800F016FC37 +S31508008550012805D1034800F00DFC2070012010BD4C +S31508008560002010BD0044004008B5FFF72BFFFFF7B9 +S3150800857075FF08BDBFF34F8F0449CA6802F4E0626D +S31508008580034B1343CB60BFF34F8FFEE700ED00E0CC +S315080085900400FA0508B5204B1B789BB91F48FFF75E +S315080085A0D1FF012836D11D4B1B78002B32D000F0A5 +S315080085B0E7F81B4B18600122174B1A700022194B5B +S315080085C01A7008BD174B1B78013314481844FFF777 +S315080085D0B9FF012814D1134A13780133DBB213709B +S315080085E00E4A1278934215D100220B4B1A700B4B88 +S315080085F05B78FF2B0ED1094B9B785BB9FFF7BAFF67 +S3150800860000F0BEF8064B1B686433984202D9002274 +S31508008610014B1A7008BD00BFE1000020A000002031 +S31508008620980000209C00002000B587B00021114862 +S3150800863000F096FAD8B101AA00210E4800F03CFADB +S315080086409DF80C3033B940F26763019A9A4203D019 +S31508008650002302E0002300E0012343B19DF80F3018 +S31508008660FF2B04D19DF810300BB9FFF783FF07B035 +S315080086705DF804FB0064004008B5FFF78BFFFFF7C1 +S31508008680D3FF08BD08B500F00DF800F05BF808BD8B +S3150800869008B5FFF7F7FFFFF767FF00F025F8FFF7C4 +S315080086A0EBFFFAE730B583B00121042000F0C2FAE7 +S315080086B04FF48055009501238DF8043000228DF87B +S315080086C006208DF8073002238DF80530054C6946DB +S315080086D0204600F065F82946204600F0A3F803B0C6 +S315080086E030BD00BF0008024010B500F049F80F4B36 +S315080086F01B68C31AB3F5FA7F16D304460C4B1B78CE +S3150800870043B901220A4B1A704FF48051094800F008 +S315080087108BF807E00022064B1A704FF48051054883 +S3150800872000F080F8014B1C6010BD00BFE40000207B +S31508008730E800002000080240014B1860704700BF9F +S31508008740EC00002008B50A4B1B680A4AA2FB032363 +S315080087509B09013B084A5360F021084B83F8231014 +S315080087600020906007231360FFF7E6FF08BD00BFEF +S3150800877010000020D34D621010E000E000ED00E08C +S31508008780014B1868704700BFEC000020024A1368C6 +S3150800879001331360704700BFEC000020FEE700BFFE +S315080087A070B400233AE001229A400C68A24334D1FF +S315080087B004685D000322AA40D24314400460066898 +S315080087C00C79AC40344304600C79013CE4B2012CCA +S315080087D015D884682240826084684A79AA40224370 +S315080087E0826046689AB20124944026EA04044460EA +S315080087F046688C7904FA02F292B232434260C4683F +S315080088009AB256000322B24024EA0202C260C6683F +S31508008810CC7904FA05F23243C26001330F2BC2D970 +S3150800882070BC7047018370474183704730B401F0CC +S3150800883007039B009A40C908083150F821400F25C4 +S3150800884005FA03F324EA030340F8213050F82130EF +S315080088501A4340F8212030BC704700BF08B50C4BBE +S3150800886098420AD101214FF0007000F0FFF900216B +S315080088704FF0007000F0FAF908BD01214FF0806052 +S3150800888000F0F4F900214FF0806000F0EFF908BD20 +S3150800889000640040036823F002030360036843F0A2 +S315080088A001030360002300E00133426812F0010F60 +S315080088B003D14FF6FF729342F6D1436813F0010FC6 +S315080088C06BD08B79012B04D1036843F080030360D6 +S315080088D003E0036823F080030360CB79012B04D1FE +S315080088E0036843F04003036003E0036823F0400392 +S315080088F003600B7A012B04D1036843F0200303605D +S3150800890003E0036823F0200303604B7A012B04D1AC +S31508008910036843F01003036003E0036823F01003C1 +S3150800892003608B7A012B04D1036843F008030360C4 +S3150800893003E0036823F008030360CB7A012B04D114 +S31508008940036843F00403036003E0036823F00403A9 +S3150800895003608A78CB781B0643EA82730A7943EA6E +S3150800896002434A7943EA02530A88013A1343C36128 +S31508008970036823F001030360002300E00133426823 +S3150800898012F0010F03D04FF6FF729342F6D14368F7 +S3150800899013F0010F03D00020704700207047012014 +S315080089A0704700BF70B4837A012202FA03F3394A8A +S315080089B0D2F8001241F00101C2F80012D2F81C12D6 +S315080089C0DC432140C2F81C12027BB2B9314AD2F804 +S315080089D00C122140C2F80C12817AC688458845EAED +S315080089E00645483142F83150857A8688018841EA39 +S315080089F00641483502EBC5025160027B012A16D1B1 +S31508008A00244AD2F80C121943C2F80C12817A068845 +S31508008A10458845EA0645483142F83150857A8688C0 +S31508008A20C18841EA0641483502EBC5025160C27A5F +S31508008A3032B91849D1F804222240C1F8042205E0C7 +S31508008A401449D1F804221A43C1F8042202892AB922 +S31508008A501049D1F814221440C1F814420289012A97 +S31508008A6005D10C49D1F814221A43C1F81422427BC5 +S31508008A70012A05D10749D1F81C221343C1F81C3233 +S31508008A80044AD2F8003223F00103C2F8003270BC5F +S31508008A90704700BF0064004000238371C3710372EE +S31508008AA043728372C3728370C370032303710223F4 +S31508008AB0437101230380704710B401F11B031B01A6 +S31508008AC0C35803F00403DBB2137233B901F11B0375 +S31508008AD01B01C3585B0D136005E001F11B031B0165 +S31508008AE0C358DB08536001F11B031B01C418C358A4 +S31508008AF003F002035372636803F00F03937263680B +S31508008B001B0AD37400EB0113D3F8B841D472D3F817 +S31508008B10B841240A1473D3F8B841240C5473D3F813 +S31508008B20B841240E9473D3F8BC41D473D3F8BC412E +S31508008B30240A1474D3F8BC41240C5474D3F8BC31F9 +S31508008B401B0E937421B9C36843F02003C36003E086 +S31508008B50036943F0200303615DF8044B704700BFC7 +S31508008B6019B9C06800F003007047012903D10069EC +S31508008B7000F0030070470020704700BF10B4294B6F +S31508008B809B6803F00C03042B05D0082B06D053BBB7 +S31508008B90254B036029E0254B036026E0214B5A68E4 +S31508008BA05B6803F03F0312F4800F09D01F4AB2FB3B +S31508008BB0F3F21C4B5B68C3F3881303FB02F208E06D +S31508008BC0194AB2FBF3F3174A5168C1F3881101FB3E +S31508008BD003F2144B5B68C3F3014301335B00B2FB3A +S31508008BE0F3F3036001E0104B03600E4CA368C3F374 +S31508008BF003130F49CB5CDAB20368D3404360A2681B +S31508008C00C2F382228A5CD2B223FA02F28260A26896 +S31508008C10C2F342328A5CD2B2D340C3605DF8044BD9 +S31508008C20704700BF003802400024F40000127A00A2 +S31508008C300000002021B1054B1A6B104318637047DA +S31508008C40024A136B23EA000010637047003802409B +S31508008C5021B1054B1A6C104318647047024A136C0D +S31508008C6023EA0000106470470038024021B1054B22 +S31508008C701A6A104318627047024A136A23EA000008 +S31508008C80106270470038024030B585B004460D467C +S31508008C90038A9BB223F44053CA8813430382838909 +S31508008CA09BB223F4B05323F00C01A8882A896B8958 +S31508008CB0024313430B43A381A38A9BB223F4407355 +S31508008CC0AA891343A3826846FFF758FF204B9C42A4 +S31508008CD003D003F580639C4201D1039A00E0029A0F +S31508008CE0A38913F4004F08D002EB820202EB82023A +S31508008CF029684900B2FBF1F107E002EB820202EBB8 +S31508008D00820229688900B2FBF1F1124BA3FB012309 +S31508008D105B091B011A09642000FB1212A18911F4D0 +S31508008D20004F08D0D20032320A49A1FB0212C2F320 +S31508008D3042121A4307E0120132320649A1FB021217 +S31508008D40C2F343121A4392B2228105B030BD00BF66 +S31508008D50001001401F85EB5129B183899BB243F46A +S31508008D6000538381704783899BB223F400539BB2D7 +S31508008D70838170478088C0F30800704703881942CA +S31508008D8001D0012070470020704700BF82B0002341 +S31508008D90019300932A4A136843F480331360284BDF +S31508008DA01B6803F400330093019B01330193009B76 +S31508008DB01BB9019BB3F5A06FF1D1214B1B6813F4C6 +S31508008DC0003F02D00123009301E000230093009B9B +S31508008DD0012B32D11A4B1A6C42F080521A64194987 +S31508008DE00A6842F440420A609A689A609A6842F4AD +S31508008DF000429A609A6842F4A0529A60124A5A60EF +S31508008E001A6842F080721A600D4B1B6813F0007FD7 +S31508008E10FAD040F205620D4B1A60A3F580639A6892 +S31508008E2022F003029A609A6842F002029A60044BA2 +S31508008E309B6803F00C03082BF9D102B0704700BFFA +S31508008E40003802400070004008544007003C0240C9 +S31508008E5008B50F4AD2F8883043F47003C2F8883050 +S31508008E600C4B1A6842F001021A60002199601A68D0 +S31508008E7022F0847222F480321A60074A5A601A680D +S31508008E8022F480221A60D960FFF780FF08BD00BF70 +S31508008E9000ED00E0003802401030002408B5074B0A +S31508008EA0044613B10021AFF30080054B1868836AA6 +S31508008EB003B198472046FFF771FC00BF0000000089 +S31508008EC05C8F000870B50E4B0E4CE41AA4100025F2 +S31508008ED01E46A54204D056F8253098470135F8E7CE +S31508008EE000F01EF8084C094BE41AA41000251E468B +S31508008EF0A54204D056F8253098470135F8E770BDE5 +S31508008F0074000020740000207800002074000020FF +S31508008F1002440346934202D003F8011BFAE770475E S31508008F20F8B500BFF8BC08BC9E467047F8B500BF48 S31508008F30F8BC08BC9E4670470502060206030703EE S31508008F400803090309040A040B040C040C050D059F -S31508008F500E050F050F06100610071008430000003F -S30D08008F601400002000000000C7 -S30D08008F6880F2FF7F0100000002 -S31508008F7000000000010203040102030406070809B1 -S31508008F80007A030A0000000000000000000000004C -S31508008F9000000000000000000000000000000000C3 -S31508008FA0000000005C8F00080000000000000000C0 -S31508008FB000000000000000000000000000000000A3 -S31508008FC00000000000000000000000000000000093 -S31508008FD00000000000000000000000000000000083 -S31108008FE000000000B18100088D81000827 +S31508008F500E050F050F06100610071008140000204E +S30908008F6000000000FF +S30D08008F6484F2FF7F0100000002 +S31508008F6C00000000010203040102030406070809B5 +S31508008F7C007A030A00000000000000000000000050 +S31508008F8C00000000000000000000000000000000C7 +S31508008F9C00000000000000000000000000000000B7 +S31508008FAC00000000000000000000000000000000A7 +S31508008FBC0000000000000000000000000000000097 +S31508008FCC0000000000000000000000000000000087 +S31108008FDC00000000B18100088D8100082B S7050800800072 diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.depend b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.depend deleted file mode 100644 index 1d6278aa..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.depend +++ /dev/null @@ -1,1159 +0,0 @@ -# depslib dependency file v1.0 -1452178431 source:c:\users\voorburg\desktop\test\stm32p405\src\main.c - "stm32f4xx_conf.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\stm32f4xx_conf.h - "stm32f4xx_adc.h" - "stm32f4xx_can.h" - "stm32f4xx_crc.h" - "stm32f4xx_cryp.h" - "stm32f4xx_dac.h" - "stm32f4xx_dbgmcu.h" - "stm32f4xx_dcmi.h" - "stm32f4xx_dma.h" - "stm32f4xx_exti.h" - "stm32f4xx_flash.h" - "stm32f4xx_fsmc.h" - "stm32f4xx_hash.h" - "stm32f4xx_gpio.h" - "stm32f4xx_i2c.h" - "stm32f4xx_iwdg.h" - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - "stm32f4xx_rng.h" - "stm32f4xx_rtc.h" - "stm32f4xx_sdio.h" - "stm32f4xx_spi.h" - "stm32f4xx_syscfg.h" - "stm32f4xx_tim.h" - "stm32f4xx_usart.h" - "stm32f4xx_wwdg.h" - "misc.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\stm32f4xx.h - "core_cm4.h" - "system_stm32f4xx.h" - - "stm32f4xx_conf.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cm4.h - - - - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cminstr.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cmfunc.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\cmsis\core_cm4_simd.h - - -1452177724 c:\users\voorburg\desktop\test\stm32p405\inc\system_stm32f4xx.h - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\spl\inc\misc.h - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\misc.c - "misc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1452177724 source:c:\users\voorburg\desktop\test\stm32p405\src\startup_stm32f4xx.s - -1452178104 source:c:\users\voorburg\desktop\test\stm32p405\src\system_stm32f4xx.c - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\misc.c - "misc.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\misc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cm4.h - - - - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cminstr.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cmfunc.h - - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\cmsis\core_cm4_simd.h - - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1452177724 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1452177725 c:\users\voorburg\desktop\test\stm32p405\lib\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1452177725 source:c:\users\voorburg\desktop\test\stm32p405\lib\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1452180083 source:c:\users\voorburg\desktop\test\stm32p405\src\app\main.c - "stm32f4xx_conf.h" - -1452177724 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\startup_stm32f4xx.s - -1452178104 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\system_stm32f4xx.c - "stm32f4xx.h" - -1452181962 source:c:\users\voorburg\desktop\test\stm32p405\src\app\assert.c - "header.h" - -1452183117 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\hw.c - "header.h" - -1452182905 c:\users\voorburg\desktop\test\stm32p405\src\header.h - - - "os.h" - "hw.h" - "app.h" - -1452182352 c:\users\voorburg\desktop\test\stm32p405\src\os\os.h - -1452182938 c:\users\voorburg\desktop\test\stm32p405\src\hw\hw.h - "stm32f4xx.h" - "stm32f4xx_conf.h" - "led.h" - -1452182185 c:\users\voorburg\desktop\test\stm32p405\src\app\app.h - "assert.h" - -1452181838 c:\users\voorburg\desktop\test\stm32p405\src\app\assert.h - -1452182352 source:c:\users\voorburg\desktop\test\stm32p405\src\os\os.c - "os.h" - -1452182871 source:c:\users\voorburg\desktop\test\stm32p405\src\app\app.c - "header.h" - -1452182499 source:c:\users\voorburg\desktop\test\stm32p405\src\hw\led.c - "header.h" - -1452182499 c:\users\voorburg\desktop\test\stm32p405\src\hw\led.h - -1477496106 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\hooks.c - "boot.h" - "stm32f4xx.h" - "led.h" - -1477219754 c:\work\software\openblt\target\source\boot.h - "types.h" - "assert.h" - "blt_conf.h" - "plausibility.h" - "cpu.h" - "cop.h" - "nvm.h" - "timer.h" - "backdoor.h" - "file.h" - "com.h" - -1477299308 c:\work\software\openblt\target\source\armcm4_stm32f4\types.h - -1469435361 c:\work\software\openblt\target\source\assert.h - -1477573960 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\blt_conf.h - -1469435361 c:\work\software\openblt\target\source\plausibility.h - -1476731768 c:\work\software\openblt\target\source\cpu.h - -1469435361 c:\work\software\openblt\target\source\cop.h - -1469435361 c:\work\software\openblt\target\source\nvm.h - -1469435361 c:\work\software\openblt\target\source\timer.h - -1469435361 c:\work\software\openblt\target\source\backdoor.h - -1469435361 c:\work\software\openblt\target\source\file.h - "ff.h" - -1469435361 c:\work\software\openblt\target\source\com.h - "xcp.h" - -1476787065 c:\work\software\openblt\target\source\xcp.h - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\stm32f4xx.h - "core_cm4.h" - "system_stm32f4xx.h" - - "stm32f4xx_conf.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cm4.h - - - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cminstr.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cmfunc.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\cmsis\core_cm4_simd.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\system_stm32f4xx.h - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\stm32f4xx_conf.h - "stm32f4xx_adc.h" - "stm32f4xx_can.h" - "stm32f4xx_crc.h" - "stm32f4xx_cryp.h" - "stm32f4xx_dac.h" - "stm32f4xx_dbgmcu.h" - "stm32f4xx_dcmi.h" - "stm32f4xx_dma.h" - "stm32f4xx_exti.h" - "stm32f4xx_flash.h" - "stm32f4xx_fsmc.h" - "stm32f4xx_hash.h" - "stm32f4xx_gpio.h" - "stm32f4xx_i2c.h" - "stm32f4xx_iwdg.h" - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - "stm32f4xx_rng.h" - "stm32f4xx_rtc.h" - "stm32f4xx_sdio.h" - "stm32f4xx_spi.h" - "stm32f4xx_syscfg.h" - "stm32f4xx_tim.h" - "stm32f4xx_usart.h" - "stm32f4xx_wwdg.h" - "misc.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\inc\misc.h - "stm32f4xx.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\misc.c - "misc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1477495362 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\lib\system_stm32f4xx.c - "stm32f4xx.h" - -1452181324 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\startup_stm32f4xx.s - -1477299248 source:c:\work\software\openblt\target\source\armcm4_stm32f4\can.c - "boot.h" - -1477299255 source:c:\work\software\openblt\target\source\armcm4_stm32f4\cpu.c - "boot.h" - -1477299262 source:c:\work\software\openblt\target\source\armcm4_stm32f4\flash.c - "boot.h" - "stm32f4xx.h" - "stm32f4xx_conf.h" - "flash_layout.c" - -1477299348 source:c:\work\software\openblt\target\source\armcm4_stm32f4\gcc\cpu_comp.c - "boot.h" - -1477299276 source:c:\work\software\openblt\target\source\armcm4_stm32f4\nvm.c - "boot.h" - "flash.h" - -1477299269 c:\work\software\openblt\target\source\armcm4_stm32f4\flash.h - -1477299299 source:c:\work\software\openblt\target\source\armcm4_stm32f4\timer.c - "boot.h" - "stm32f4xx.h" - -1477299314 source:c:\work\software\openblt\target\source\armcm4_stm32f4\uart.c - "boot.h" - "stm32f4xx.h" - "stm32f4xx_conf.h" - -1477299321 source:c:\work\software\openblt\target\source\armcm4_stm32f4\usb.c - "boot.h" - "usb.h" - "usb_core.h" - "usb_conf.h" - "usb_dcd_int.h" - "usbd_core.h" - "usbd_usr.h" - "usbd_desc.h" - "usbd_bulk.h" - -1469435361 c:\work\software\openblt\target\source\usb.h - -1477298850 source:c:\work\software\openblt\target\source\assert.c - "boot.h" - -1469435356 source:c:\work\software\openblt\target\source\backdoor.c - "boot.h" - -1476731756 source:c:\work\software\openblt\target\source\boot.c - "boot.h" - -1469435356 source:c:\work\software\openblt\target\source\com.c - "boot.h" - "can.h" - "uart.h" - "usb.h" - "net.h" - -1469435361 c:\work\software\openblt\target\source\can.h - -1469435361 c:\work\software\openblt\target\source\uart.h - -1469435361 c:\work\software\openblt\target\source\net.h - -1469435356 source:c:\work\software\openblt\target\source\cop.c - "boot.h" - -1469284606 source:c:\work\software\openblt\target\source\file.c - "boot.h" - - - -1469435357 source:c:\work\software\openblt\target\source\net.c - "boot.h" - "netdev.h" - "uip.h" - "uip_arp.h" - -1476787063 source:c:\work\software\openblt\target\source\xcp.c - "boot.h" - -1477495891 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\led.c - "boot.h" - "led.h" - "stm32f4xx.h" - -1477495732 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\led.h - -1477495891 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\main.c - "boot.h" - "stm32f4xx.h" - -1477496458 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\boot\flash_layout.c - -1477556427 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\boot.c - "header.h" - -1477497091 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\header.h - "../Boot/blt_conf.h" - "stm32f4xx.h" - "boot.h" - "led.h" - "timer.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\stm32f4xx.h - "core_cm4.h" - "system_stm32f4xx.h" - - "stm32f4xx_conf.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\cmsis\core_cm4.h - - - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\cmsis\core_cminstr.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\cmsis\core_cmfunc.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\cmsis\core_cm4_simd.h - - - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\system_stm32f4xx.h - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\stm32f4xx_conf.h - "stm32f4xx_adc.h" - "stm32f4xx_can.h" - "stm32f4xx_crc.h" - "stm32f4xx_cryp.h" - "stm32f4xx_dac.h" - "stm32f4xx_dbgmcu.h" - "stm32f4xx_dcmi.h" - "stm32f4xx_dma.h" - "stm32f4xx_exti.h" - "stm32f4xx_flash.h" - "stm32f4xx_fsmc.h" - "stm32f4xx_hash.h" - "stm32f4xx_gpio.h" - "stm32f4xx_i2c.h" - "stm32f4xx_iwdg.h" - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - "stm32f4xx_rng.h" - "stm32f4xx_rtc.h" - "stm32f4xx_sdio.h" - "stm32f4xx_spi.h" - "stm32f4xx_syscfg.h" - "stm32f4xx_tim.h" - "stm32f4xx_usart.h" - "stm32f4xx_wwdg.h" - "misc.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_adc.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_can.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_crc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_cryp.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_dac.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_dbgmcu.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_dcmi.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_dma.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_exti.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_flash.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_fsmc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_hash.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_gpio.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_i2c.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_iwdg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_pwr.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_rcc.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_rng.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_rtc.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_sdio.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_spi.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_syscfg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_tim.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_usart.h - "stm32f4xx.h" - -1453815142 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\stm32f4xx_wwdg.h - "stm32f4xx.h" - -1453815141 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\inc\misc.h - "stm32f4xx.h" - -1477497070 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\boot.h - -1477497111 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\led.h - -1477497368 c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\timer.h - -1477497519 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\led.c - "header.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\misc.c - "misc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_adc.c - "stm32f4xx_adc.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_can.c - "stm32f4xx_can.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_crc.c - "stm32f4xx_crc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_cryp.c - "stm32f4xx_cryp.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_cryp_aes.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_cryp_des.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_cryp_tdes.c - "stm32f4xx_cryp.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_dac.c - "stm32f4xx_dac.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_dbgmcu.c - "stm32f4xx_dbgmcu.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_dcmi.c - "stm32f4xx_dcmi.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_dma.c - "stm32f4xx_dma.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_exti.c - "stm32f4xx_exti.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_flash.c - "stm32f4xx_flash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_fsmc.c - "stm32f4xx_fsmc.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_gpio.c - "stm32f4xx_gpio.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_hash.c - "stm32f4xx_hash.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_hash_md5.c - "stm32f4xx_hash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_hash_sha1.c - "stm32f4xx_hash.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_i2c.c - "stm32f4xx_i2c.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_iwdg.c - "stm32f4xx_iwdg.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_pwr.c - "stm32f4xx_pwr.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_rcc.c - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_rng.c - "stm32f4xx_rng.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_rtc.c - "stm32f4xx_rtc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_sdio.c - "stm32f4xx_sdio.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_spi.c - "stm32f4xx_spi.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_syscfg.c - "stm32f4xx_syscfg.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_tim.c - "stm32f4xx_tim.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_usart.c - "stm32f4xx_usart.h" - "stm32f4xx_rcc.h" - -1453815140 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\spl\src\stm32f4xx_wwdg.c - "stm32f4xx_wwdg.h" - "stm32f4xx_rcc.h" - -1477498408 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\lib\system_stm32f4xx.c - "stm32f4xx.h" - -1477497973 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\startup_stm32f4xx.s - -1477497378 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\timer.c - "header.h" - -1477497850 source:c:\work\software\openblt\target\demo\armcm4_stm32f4_olimex_stm32p405_gcc\prog\main.c - "header.h" - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.ebp b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.ebp deleted file mode 100644 index 43a54468..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.ebp +++ /dev/null @@ -1,363 +0,0 @@ - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.elay b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.elay deleted file mode 100644 index bfb85e99..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/ide/STM32P405.elay +++ /dev/null @@ -1,34 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/lib/newlib/_exit.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/lib/newlib/_exit.c new file mode 100644 index 00000000..4ae9532c --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/lib/newlib/_exit.c @@ -0,0 +1,38 @@ +// ---------------------------------------------------------------------------- + +#include + +// ---------------------------------------------------------------------------- + +// Forward declaration + +void +_exit(int code); + +// ---------------------------------------------------------------------------- + +// We just enter an infinite loop, to be used as landmark when halting +// the debugger. +// +// It can be redefined in the application, if more functionality +// is required. + +void +__attribute__((weak)) +_exit(int code __attribute__((unused))) +{ + // TODO: write on trace + while (1) + ; +} + +// ---------------------------------------------------------------------------- + +void +__attribute__((weak,noreturn)) +abort(void) +{ + _exit(1); +} + +// ---------------------------------------------------------------------------- diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/makefile b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/makefile new file mode 100644 index 00000000..2c57d854 --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32P405_GCC/Prog/makefile @@ -0,0 +1,165 @@ +#**************************************************************************************** +#| Description: Makefile for GNU ARM Embedded toolchain. +#| File Name: makefile +#| +#|--------------------------------------------------------------------------------------- +#| C O P Y R I G H T +#|--------------------------------------------------------------------------------------- +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved +#| +#|--------------------------------------------------------------------------------------- +#| L I C E N S E +#|--------------------------------------------------------------------------------------- +#| This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or +#| modify it under the terms of the GNU General Public License as published by the Free +#| Software Foundation, either version 3 of the License, or (at your option) any later +#| version. +#| +#| OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; +#| without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR +#| PURPOSE. See the GNU General Public License for more details. +#| +#| You have received a copy of the GNU General Public License along with OpenBLT. It +#| should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. +#| +#**************************************************************************************** +SHELL = sh + +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| +PROJ_NAME=demoprog_olimex_stm32p405 + + +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ + + +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories +PROJ_FILES = $(call rwildcard, , *.c *.h *.S) + + +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) + + +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| +VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) +OBJ_PATH = obj +BIN_PATH = bin +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +LIB_PATH = -Lcfg + + +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +HEAP_SIZE = 0x0000 +STACK_SIZE = 0x0400 +STDFLAGS = -mcpu=cortex-m4 -mthumb -mfloat-abi=hard -mfpu=fpv4-sp-d16 -fno-strict-aliasing +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DSTM32F405RG -DSTM32F4XX -DUSE_STDPERIPH_DRIVER -DHSE_VALUE=8000000 +CFLAGS += -DARM_MATH_CM4 -D__FPU_USED +CFLAGS += -D__HEAP_SIZE=$(HEAP_SIZE) -D__STACK_SIZE=$(STACK_SIZE) +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,--defsym=__HEAP_SIZE=$(HEAP_SIZE) -Wl,--defsym=__STACK_SIZE=$(STACK_SIZE) +LFLAGS += -Wl,-script="stm32f405rg_flash.ld" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f + + +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| +LIBS = + + +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) +COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) + + +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec + + +$(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf + @$(OC) $< $(OFLAGS) $@ + @$(OD) $(ODFLAGS) $< > $(BIN_PATH)/$(PROJ_NAME).map + @echo +++ Summary of memory consumption: + @$(SZ) $(SZFLAGS) $< + @echo +++ Build complete [$(notdir $@)] + +$(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) + @echo +++ Linking [$(notdir $@)] + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) + + +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) + @echo +++ Assembling [$(notdir $<)] + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) + +$(COBJS): %.o: %.c $(PROJ_CHDRS) + @echo +++ Compiling [$(notdir $<)] + @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) + + +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: + @echo +++ Cleaning build environment + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec + @echo +++ Clean complete + +