From 4e6bc0ea53270759decf3c77dd5b5c338f8c0130 Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Thu, 13 Jul 2017 10:20:00 +0000 Subject: [PATCH] Refs #311. Reworked compiler specific parts in the STM32F0 port. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@293 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_stm32f051.elf | Bin 540756 -> 540680 bytes .../Boot/bin/openblt_stm32f051.map | 474 +-- .../Boot/bin/openblt_stm32f051.srec | 547 ++- .../Boot/ide/stm32f0.depend | 297 +- .../Boot/ide/stm32f0.ebp | 10 +- .../Boot/ide/stm32f0.elay | 2 +- .../Boot/startup_stm32f0xx.S} | 8 +- .../Boot/stm32f051r8_flash.ld} | 34 +- .../Boot/bin/openblt_stm32f051.out | Bin 219568 -> 221544 bytes .../Boot/bin/openblt_stm32f051.srec | 37 +- .../Boot/ide/settings/stm32f0.dbgdt | 12 +- .../Boot/ide/settings/stm32f0.dni | 4 +- .../Boot/ide/settings/stm32f0.wsdt | 10 +- .../Boot/ide/stm32f0.dep | 3091 +++++++++-------- .../Boot/ide/stm32f0.ewp | 8 +- .../Boot/startup_stm32f0xx.s} | 7 - .../Boot/stm32f0xx_flash.icf} | 2 +- .../Boot/bin/openblt_stm32f091.elf | Bin 609772 -> 609704 bytes .../Boot/bin/openblt_stm32f091.map | 501 +-- .../Boot/bin/openblt_stm32f091.srec | 625 ++-- .../Boot/cfg/stm32f091rc_flash.ld | 185 + .../Boot/ide/stm32f091.depend | 2 + .../Boot/ide/stm32f091.ebp | 10 +- .../Boot/ide/stm32f091.elay | 5 - .../Boot/startup_stm32f0xx.S | 245 ++ 25 files changed, 3507 insertions(+), 2609 deletions(-) rename Target/{Source/ARMCM0_STM32F0/GCC/cstart.S => Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/startup_stm32f0xx.S} (94%) rename Target/{Source/ARMCM0_STM32F0/GCC/memory.x => Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/stm32f051r8_flash.ld} (55%) rename Target/{Source/ARMCM0_STM32F0/IAR/cstart.s => Demo/ARMCM0_STM32F0_Discovery_STM32F051_IAR/Boot/startup_stm32f0xx.s} (94%) rename Target/{Source/ARMCM0_STM32F0/IAR/memory.x => Demo/ARMCM0_STM32F0_Discovery_STM32F051_IAR/Boot/stm32f0xx_flash.icf} (89%) create mode 100644 Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/cfg/stm32f091rc_flash.ld create mode 100644 Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/startup_stm32f0xx.S diff --git a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.elf b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.elf index 85a10a2106f85a95ba006f71adceff2b5114421c..da401cb9d8e9ad86768a5cf56d5be51eab13b085 100644 GIT binary patch delta 67730 zcmc${2Uu0twl=)h+H7|39UItk)_@{F~k|{-VElK`eVCQ%HM~ne_-Zo2iimJPq+xk_GYLIbF*(|uJvYF5nvn&rbh{dGvYrJYKcN% zGk**yPAvxZzL6}n*IRGiCBx5*I@(G1S40O!e0?3D)-YQGkGB}9e)fMyl>8x19EpVoUMnXOFc0VC7h*B+~BbM|#r$E1&oMaTs+BRke z2Q?R|7?>sc45($DN$er6lYcUa1H=>ZIg>b8TqV~vixtF?@-VY_NYn6R&d?gmwJl<@ zxKTD(#p>cr0#|%bt2k2>Ps=ZC;*ZJ{r=X6G?`RhrisH`r2nV27YZKI2x$WIQ_3xtJ z2yGwQl7FJ-$^O3tJN^Cg|HRzql5qbjL(;$gNd-Gef>f?Ds0va2UKRi=`V}1nj^u`rU-@x9HB~ivvO=odVA@NB!9mgq5dAcc^P->} zEXhFpH9da?GDM04qSjnmhhY4x57BFzH)lPQnBg_B}n|?llV$d?t)oe>H)lbv~&i2y~r6+d3R#Q453{lJU3-Cs2i)x z;eJvzINb?9sZpb~bwz>Azo3@TEkZW!FZE{U{+jBiGe;ivk~tK-x7H>;DkI0gS{bwXhz zcXj6F*sCUs{!-;qxvO&v#J^W32FTy5a}o$wNABq_xvLc7A`Fu#Vby-eRr*b$u3eM6 zJmmbnJk<3qPqe>OEHHO@D1qfk2FP2U`{?V#<=6gFVX?eyS4k7aweljB^lN9|oPPey zITeL^5aZuiWQJ!e@Ikqbyfq8+RG=m}QjWh%xjs!VmP&UZBgfgLoas_H2Ougur^O?C@ zI>90cU$6b&e`cNk-Dk=fHmSAGPy7Z+kNBlJ?qa{eQY1fK$6f3hWj{99k;RH2%oN7hi$yyE)}!WPyGJyNx)C`!mc4z{%Dte zF?@;#qUk$c5=4zt9_o;qs(c$+vnhU`L;6D!!{V#DrAAWDd@7YW^BJ2vpG8r~)!*>H zpU?k~+@@weX@@2!vNuuZj2wlj?@v)k5Rwt{|0jq4k6sRUmFnlZD?gCAaL}^Vv;*|uDj@SM%*lS#1D!H!tHJU^N1Dyzid$%bKP9t zQ&MUu7FtkB@`$D6fKpO%abbM@Qc|2j=ZiWAEc~PeRV9blmoERSDD%Ic^#5+ol@kRa zeqL3niIn3SPkf^ig)~2(X9$UiQ3G~!qJ5bjfSDev=3DQa0GT(!oTvyMa|!Y~GreEV zXWI(njJ2?Te57lx4B=f&tj^8l<({JRk8vlqKr~>{G zIS{=65jpk)@kI`?=073_CH{^a)crehkn?xspsp`+d{^@yk%JP59ESlAIi}S7kC9_X zEvc4Nh8~S@Zp5&}N8boS9FA}ua9S!?uKVy2eSRA;a%ivNBL-Kh*uVTAf5_P_q=A)J zNRSm=J`JCXw6x{!)1336Fs=#?kb7YEAB!Omts@mF<8z>#Jl~(M&CN!+X(}hrd&$7s z$ouO^1uFid-1^r|>&r}j?impFr6a%cOLO$9$;P@;(I9>SPoH9x5n)f=6=)RUt$<!0|u!%NZ@oSIZjt zRAb34Cdv;QOE`GS#wOBD@v;1(iPTQ=)64anN`ZtwHkC?yYv~1bQOu3FL%QKF2tg09 zlm+vMg8E{<=h2Ql9q3i(n%>z$_+FS%5L;G$Nc641sy#Wbht1>pqIBWMM3i|Oc`{13v&`3-@=?l zry0Z-=ZcSn+0;C#=eZrs)(4$EX9|zx3StV~l3w1>Oe!RPlutIpV%0LpPnt<3rDg`% z)?6ypWSK#LTX5&jr4Pgc-Hb)>0>Q|Xir%UZtkIq!S-J_w6YiMi#6|K2$(dC zDH>1zr?Rf4R8f2`*J&x$6yL~WT1sDw@8k_Fr7}{6K~8Nc1@+4MTV(^L++Q+c;W2nY zW#U(!z+4G_pygOSO%W|nhE+kekuOaxg{~enGoTpti6T~@H6h|3Aa`yh4bDmaiMdlC zU}Nxaeq-FbaSHyCZ~ljMP~_yAo>0!M1O7hv_>TFCoV=5h@&75|oAa{G@Epx*a);JZ z|1SA4O5bLUDuh8B-hfFGhbMj`7>U;dt|uO4ddiP&-x7rUK7x554!Giv?STvgG3V=l zfFa8e+4h}O{2O0PAMVa^zLL2g{iTH0r%7oO`g&i}gGg%8E9URh%R{+eib&LbA7wugHvO!y;2oY$Kf(|Bz?4 zl?sRx-pFoqi;CY5|XuX7{$dk-hCCPqi$_`~Vvhb6z0%QiVb* zm<5}kNl*{TF`q+578e{RuomKIy?nNv)WTa3B4Z$;$%*tz#X8*3`G)63(gL$1-c}%o z2^)f?e6B)`|D-Tp@H7H*BCL5@J$CAnz_DI#YVwZT;II-zr}Lmg>@y5uM(CTABZnu0HV z%`^S~MKztfp?;#Y-#=f{DC=>MW&F%}J3kJ|Oz>~Obtr?rQ1~nC?jQZJ1IlnaHUz5D z%E29_;yJ?{lsn9Uxx-9uD+o(D%zsB!xnYmP{10I-j05^pk6~5=Q;b?C z2(=M@fOGfy7e?nZJJt9h*qefKH8nam0v-rdj5@MB@(-P)&N-i83dou1;M`A0 zZzl*{&?lT1|_bG{AXvWX{mX5quV#6+jy!M0c9#sFF~gX zI)W;jOYlRq{JgVN$Q=iATW&QBQpaS!M=1mf`onUOE>f`qyrwdpU?!S-7J^h4qg0Vt z8F6Kb+^>sNroesB2Y|6I$AIFk*hVL|1!ya%AIqD%Aaw}M|a77lgF z1OGW^L?kP#0N+5q|4bao*u)b4#*PYsO)M$+LDo;GiAm0_aDFM2vz}?W!`{ zZBr24&tf%sNO!47$(Hzp949wi;uL&hv>OOwPMS1MB2gp$5x=XuWRt`x^68$qJ)I+` z^_22^=Rl5MB9@i%Z9spON)YnJqO+Nu9eJJO=U4 z{YTB~5a=wY_L2$|kA+ z1c-t-MiSh-=;yCZHArYIzN6h!pbdiEd{!`gpP66Q_mMpA;=pM;U&UO8;JyOcMsn>w zlH1!NPr6gCSUD_J?sVoG0@^h$(jU3Z{1ebQ3rYu{R6A*eB;=c!%M{#iVVA#f1#(>9 ziW4E^;kDroj%yPb9GBoWfW#leisFQm(nqqoj{|wg_nb$EV-?&bAahs#f+5y^2;ys> zVto+#%bIUm-M}d-xBo#3DpdxDm@G(xr&MG!A_@5= z(D<&-Ids-B1+DVpAEfePbo`kgq)?-Lc7#+)UN%C~#Sa}O^;XEU21pLs+gB~pWNtXd2)GY67snY&kd5GmTOIr0_DCFB&#>6MV@3{ zJ)T!|=hfPIieJ<>ka^y>t z=KcXXWABuBagta5Z1e#r?@XJ&p|?*M$!?U5FbqYJUoh1lpqZ1j{odG_fUc{uxxa++67&&Xr2 zPY+?EC*;xDr$?~SBiPQP(JSZ?Z1e~=dITF6K2M*0)(&i3_dI$vOA&k}2y6p$8y$dc zN^WZ-7n>nRFTpKH>J*Pf_2h zEU;aUo`D}u93M_NWAiCJ`j_abFgV~3rTog0 zg(|{`YP1a+w@MUH7VItem?_=#s!l+{&yNnQ6sk|)Q=G5BvnYPxR|@q<@VWE>pK)_= zIu-hkVZZ4vKJWOEGB%XYF(@yU!nvw^zB@q+-&JS-8@yL3eAz-uvn}j@{ejQ+ANVeB z*)C+5Thm;bh9r$bVWl+VyRoW+&G?4{J2oW$p% zYLxLjCVk3lN+y3t%JkOczT8Z@==;1(@6Tk9@QpVG2O*`fX9b@F@b4Cs!cqLls}vsM zpFt>vZ--IsqK_-oBzMUyQs!6Wa}jodQfM4c`UWGPpAS$g8TXk=VO|2cH6PJht9ax#h^CaGg0*(ILxWryaH z@;rguQ>mm+Si=6pbkhCfDPzDdxJu#owe0tt!hU2~J|`~ZGkY?h{e2X_w(RdJN8OE2 zC|?HN?^Oyx_@PKCG`dLAoL|`wyUUl2Z9#<|71=lEXMaRdJ{uu*C?B4Kker;1V7~}g~7W?|NT2YWzMTt*ms6VW@)ND@=diINYT^3 zQLRS@_TzmnIaf={HxemxW%kSMV88JkTId^1ihUmKTyl~A{c%!O_!jpd3U=%c-< zVHPSBFG`9LKcpxHC$1i_Kt8X&Ux&J@eA}$nJJQ>uu2c#MzODGk=MyClQR_o*(tn9$ zzrq7P%MbJIUA1pZl)yhdQVJzE(_E;IhA#a=N*5C;Wqq#q=^`n6eK8|*8vE@R@OjoZ z&~v^G8B&k0Nk>wKonQFu-JKT3px%Yw`0TV9L;Kw_MEZYFSt2ETuPj}JLZ)$4=!jpV zpn!TqDU9}oj>18d{B{HBANx{f!3%N=m*%r%FFxBwlKzt~pfxW*O0_nm6!FbXS^Uba z6xLlLy%6RA{=c>Y&2=rw=NgfsSxeaO>vOu05u_|CMN0Z8KKHoz{5YM@L%tVmyUu>E zU3~s|fzPpLs3opve|;4D?F0CnJ&{k{P7dPQG7Q2i^fz%VF}^QLeoJ<-o1~oI!~W4> z6#X8_O)1pt#;2(Z={Ks-^q`~U_Swp3cb_-t!zt6;7ZrMMqs(JBxjzhLzyD13<#X(t z@uMI7KgGBGeh8t_d#O}b`n)>dG*V9a)PC{B!6k82I6aRtlQ+}QC*O)jo7ne;lHSnE z{ss*tdnU18aWCz3_EG9~Vn519so`_OcyA`v?q4QD=li}2_1T~7dun{n;vPqt+r3G* z#L?*8NK%IRUVOTXQf? zU&p-xVs!-B!6VpD@NJ~9bL8%DQ{jVev04ovrQP?WEL=tTa=u)5Z8IsOo0BW1lm5aN zh;A+>J=qtwLw)nQ$ERx=>Wlwha7a}OTOLzk?G3(IhhkMJ{Dxl>5UYLD_3Mx1p7urW z{NJ$ujnC(Y`lfWaZ-ZG%Q2xAcLv|{FgvgqrKr&~qh!>Zp%3|$jL9>MMbsn!(Kkomc znII^OC-=v*WYyL9XrJO4CZ1Avxfi~w{X68!3@(LdxpT{KQuIkIJ_vJP59*9(1^Zm^ zDsA}x;vKkyTmiF&5`HDcf5jK|Sp{+>W%L>Jzp+$8SiCfqE3{t+Nn z41EZ2K(sM`92Cdjg0(|p%@lyc;^gVMg9+Tv?Dp-Cb_lgRaRp{t9qxwycgwTz?B;C( z@$Q|O#QL2ZovY*LVCeVy%P8fPsxu#j>qq^VOlcjtiy7RnA>v~= zfd53XBunH;;_^NCXP%SA2Is(=A`Z3!ixeMV1(Z=@CnoAQ;;D|1{3vFIz;>|o@j9@H z(%Q()ctmH%K=W(BCZ$Ln`piwP_CqtqdCV1<$Whs$osO4TD~< z!!;p4Zec4>7K(S7&Nqo&{{$^j%zTPrUJ`>)CirY<&#){qyXa8??*kwjv`dA0%)i=( z=8&wuj?WtW2SNTdyI|I&TKp$J{x#k2LV+>srZpTM(6>?J%0F6RslFUcwSv(_X~#*3AaYrM+o;#}5_bH&IM zfF)wh`~WM&x!*xDM%=<_-YHHuLgRo~FBmIvNc4^Pu;?4|5z#m1qoQxn$3)+#kBc0z z|7nq<&-r}kevI1j(^i=C44ndN`TpQ^dV|suffU;?66_MsIJaewPlZs0UX?(v)ROaD zeauI2YoFW>ZrvlC^F|V#srh0Y*?i_*5nO`;_qYT_x^V?cWnlr7-z;O+t7{(%Zu2H3 zL1{0o1s7i%2xOkWcJaswU^~Q{bb@$s`2&Ch zVwFkIKPdhnf_F%4Hw=17;;QW+o)QN{fp}WHG7s2g@!dx7QpFgY9hFza^)rAy5^L~% zk43w80%V?uf4&F!B#vtYnGEqsA%INr(kqBgm5l4b3zL+L1=FNJ{Jx=#kPLr;xJW9? znlni#P*I5R7@1nE>=NS=^(v1&gl)hNz2(VP)&_QIGVl+#NjS5E-fM`Vx2BmmKSjV|tE4>yY@4)dE=0CVrY!(F zq!UL#+$jYf0=7%)$=WSJ8hsvMk97PMz&^?QnnlQd>1{>G9F(p%1vn%PtILr{Z#nj( zQX==qacL>%`h?Vz=g%Z*Kk|$6lvIb_bVj<|10Y%2RUf=_lCc;h&r4S;085cN?*(>I z`mBY*Woi0h5L2Z?#X-C(HS+R6dQE!y66j6o;WRLBNy#$-?nv@65bsLQ-vYZYB~}J_ zAkC@*g-24Gqu@Q38cv1Lr&2LgF3M-pE*29nr8CTjucQcq*V6g(;JuNOs{(r`ePS*6 zUK-sD;G;u?V%p;&zl zV3A@du0NDf3P*Q{ELIeF58_hA8lHrgDJC?4m6ZyY2gFqh1M8W!ikrWIw@$I@19%%1 zwmQE2qo_3>%uR}szXNPmJmg)&7DZhILFHD(+YS&tqR@T~?5JW53&CTGHd`QaT#@dE z?GuW|F(4)>im=)^rTBg=c&8P%{7`tT@FoL$q6j_!?5UzqW^Oo2lNRzS<%v?>u}m_0 z!!|?poqq@muylW28L&S3pJ@&7$=~o9)-(N;KfzFzf0z{_pZyc&0DSSk&4Fk858<)} ztITJCg{a<+gI=hrHzU`0Rp091O;BaF25+LO0?V>Vs=KTcCac!=1aXS$ocB4XQ&pSR z1B9t+-34`;O2KcOjteB1nV}lbkU2|LnyVPDvR(n0ttzt#3UgEuZ-C8Jz5Eu~Jk=zQ zcfLwh2Vj9}Vov~BRhm{7s>-mEiBJux424Ci%UXaa)#z5NlNYOQd;)WcO5#alscOSc zV9Qi?)-}sjesLhKP|f}Xjg_iiEWTH(hA`-@QGLgKu~s#yBox-Ej;2l*}EDz!_)l^ox z$5o29z)q+Nx?-GphAmie%N(FTl>K3ZcMJo>Q6i0OwVS zbnFW%ze&JSRO-%n#YI&DiaO;bRTSO)vT8ObFI82p1Bh2tr-y=gP1T=go9n6?#lgFw zT6qAxo2oJ#(=FAD3=qAyRZ**8^p5IUEueQ*uerYWRQXqfcwd#kO6-B^7VDUYs*^mP zJW`EBQKWpLI#?8vPgS!SP@k#JT?Tlrdd*S1P#tH@_EPo!Ilx49E1n!Csb9wfOjehA z2{1*io(C}1tG+WDiec({^n@AezMQCVb*b85&Q?#11~x~%Xf(iF^~m`E^VGc=DCeuq zgE5MQYJo*Zq&j&HK$Q9oU4F5;`eR5gQD5elEmd#X18jx*`2%1p)eX6>tJJXrU}d%1 ztKh<}QMaoGnYHR3-+{VLUArK_di9fQAZ}1Mw?Jc~`r-i)qt#VQgBYVOe-qdywJi<2 z&FU_xz+%-s|AMhC>L4b(t?KeDEVro(GPlI3moVyYS0CfqYlnIaQ);|=op&qXPW5&C z>Y&`EK9>b-x7u0{q6zAU%Yf}s|H}BYU!BdJeo(Ei2kek~YZ+jN)f+nlJEryvgXD4b z=Z~izRM>nUpU1%S)y#xtRx zs;>DCqSw^>SV~-1KVAajEp>Gdz-_g5E+p@$J5L0-t1iQDx~I-!MRZ^N7Yo}5>X0D- z57mG1j^dHJI)3a^K33}%0z6T>aJ{X3syYj&z zy;A?may3mI$~5s>9n=rlJN0+IFsbgu@qSeI)PeX(?Fj-FqG|UYAXHPUAc*5NqZyzk zXlkR-R8G{4Gy$8WS;d=?$(prHT~jnQ;GJIOR86mYK&NXyPXcp>reQF^Oif)Ls%L5D z@m4Nevx$B&TVrEFo1+=S^fp(sk7072=8X=MAZzs8Y6~^JQ-DQi(pc6k(&%`@7OCmL z!9;12Shy|LeBdc*iN-Mkz`ImaoT+b_W`hl2x#n^NzzR(q)9Ol%RsvY1spN#w)tZ7F z+8WK8P9Uz+oLvOsdd=%yAa2m4mIt;`GmuLWqp73^ag(NP8YDMs3N!~V7CaEQX=2s@ zi__$P1F+qz*&Ymy9hw6;mnwH@%n6|G)|6L5F+p>aQDBeeeLrCPHE+8@GEq~#7KjHl z8;Bj$44|1qnyuW8hcyp4-XogoRRNA_@UN8Mof=~w@Q!P$bG1%rUNG97)U+H6EJ@=X z(HH0`%^cRk$(r6QIL~U@@L+aMQ}s5$1x+B+d5Y$%7XTMEb4!7ENwbv>m8u!?3E+yx zIS5v+Yubhb+|VS_=1t9x$&kFI8R-Y?wq|%kNZ!#1JU>6s?5hsmLrs=f2J?}oX-|O1 zn(vrtpJ-kk!<(LK>d3%eXoiIXywptKh1qM(1a9g#nu_#i9HQ;T`I?{|>W1P(t-!HO z(k9c_r)no~O2V{%vAmq7JyZnPbZxgs(3_=Ay8tX)J7*twv$ZW=!^#}(2?wzG+T#rG z3$#7x9p|x&?UX=FwIu^l;wJkXbOSJwhf|hIl$N|!cG;kv$pSb=TdE$gP1L~T7rhy&X2 ze83KCOLfLzj%XbdfF0Eqz5~f)+7?VlC$$H50VHV?PJ?$!JDgiIS$l=S{;akoUErMd zE-EqQdF}3r0N#t*&AipSq;2;nn3uK1_kxLNrY)O$K$U6rqZMd=0}0&lVIBI;=665Ty+uBE#D8v&N-ruze|)U_!Fuu509 z1|(POR`GbXMrWT0g|)iobgOl`ek`)q>qb@v*r3zE{}DBHPY2*l(YlUGfEeAV1b|Jt zD=gMF>*i!aBv#jg>1d1Y)eV^0svE(t-=-(KA_Mz4LkX}6)cU-z96AW`>fC=?Iq zI&Oo;L0w`!h#b)^L6O^)lf^00nFw~7b(lR5{(bCPb`DiBZUu7yD4 zv~GDiz!_bC)&j{oaTs`Kb$byz<C_SA=EWP2K2w5V@uMt|+kEx)&uk^4Hg?`HmyZW1%#W8LX8(0HQzrYW$ey4b&fJ=3KpLF2jZ zG;P1og%pS4OWl^YAimOtG8m@mHgk8s*7Yh0kvBRkC;YAMBy;6ET{74Cy)NH(03UQC zQUTI+b4ozrqb{G9MbIZ*PloLb-E23oOx;@+jaj;)ArSqn>%p1+qT5L)%GOmK3}UeU zmw8YK(N{PR5UQWw0KD;f9Y;SwU;QRTCh8;TCzJF|HUUi4H|14dn10_ zN0kP3nSLi@+j70r1^pHJq{gteQoke^V3ocl1J`PO`c?4O=u>9_tknno0pdD+Ob>`` z)IU=JMC&Y@jmZ1{cV;Xar$G` zp|M?Wod~c)--M^Fc>U^q5Z$TY$@{cj`U5-`?$)ch$rJR2q9C$IU;hC__Uf~km-gus zn6dZk3ovyh>i08j9?-jMfOt@U;5on{{kFFNhxNtFz{(M?eryfM9MxBe1@)MI1j~ry z`msC{oX~$_nmMU=20-MrK5`;N&gkzi0hX*U%4*`QehlaNoZfgH;Jkh<_s<2rFdup; z`c|CLi+baJ5HIQTapzvvH>(R`s=hMs2CnG6)3`0J>c1@p>NUOpP$*v4XTArxp}*b? z8aMURnWJy%3l|1D3JB_w{$_UJvxuc#M6hk6;FTq@Vu? z;IY2k58yq~e_jaQQ~fcX5T5DFdHKu2bN!{Gka?wVuY{R2{b1gOyw)dI1olS%x+k!= z`sA(v@AQ8~0=(Ck(m?NnejlS*y8Z?O&qsZEZh%jE=QD_8=<_rFWa>MRn5FN^dgZg; z?g99spS&0#TVMQ(7tCP8!VUl-hF~70LJd>z0gN{kp9Z573_%gVCK^fO;!WUFjRU5;!HyoM#0&Jro$mQ$FPxC zU2_fMO76dThN;}K^9^Cw02UaYaJ6Masu%hT4L^?tF~ZP+uD8grWf(xDL9+yAq6~$3 z5?gG@e+`mL3~i#pTWSzE-OCKS+dyQwp~^mptT41X3*JgYDii-ILpv6*s}0v03xc0= zjUk`BX{(gad)*G1jfNvUF-IGI-w&A>!;IxHv&m4Mv23$p#7bC;HT=xmxNU~8rx1xV z__4^{Zundjyd8#p?SaJ`IyM8?X(-H^Vwa)(7w~o)YA}r_7%F4mEB6@Mai{Jz6zl6 zWG46HhKJ06Ck$&%z)l*RTLF>`TQ`7s%Ah_7$&!8~$nu zksF4)J3zc?c)tMHEkl0;u-k@S+_!fOCwO6X*D(DttlTqnFAs3vFnSlSgB}%gFoZuh)EW-r3xm21h%XIKdBlEY2-pUY zW;jp|yw`>`W=OsFgC*>{FZ^pbSLzJ-wdXn1^+*Fm2Qh-lb3hV!LB%{0s_ z4v=N&*bm^dp&^T)FNUcbp_px0$S4qOteFNZ#Hd*Z5Ncdl9k$0CU#x}X1mk^%tBJ-s zRG4H`^KxjiF`S`rigDOMs82Qaoe707W9_BDywi-KoSNyzmLH)%!&s>gs56Zx4Is`k z2HyiQ+}P9#Fx&X51Hc@k{~3tPHELsEbe=IEBgA}Txg-!57?(1>Mi}3;fche11n=b| zjTJ@%i!x4I18lMJ^Gbjv#(S)^mwJtbc>%P{xR=)z%Z&wDgRC&-9|3Ho@nUE2RvE88 zgy?GHXC|OE#xm7FTx-0{nsJ?RB(Fu+8}BoOZZHn##ok6^w|c;$joVnc#u%%90N7+a z)&j)M#*IUu9&7w%4~Scg-pFMzv(+f`*s;yH%LpvaSe$3o?M8_?Zig{L4-ju0%vxfn zvCl(*1moUV5ce3XTmrV&xSKWhKI2wad;5*Q7XnB$7N(OQFqY*8IA}aE4#6~qG&bhpG|5=R0yC$Kp#vdu+Bon9 zz!~F65g^$(m1oVf#_qpB@|@9g05;DX+x`aP1>=kMAf^}}{R#Dp#!LL{OUAi8m0mV} z>*4-OHI_LAnJdPh8Unj&JhcEaH;fj>{F_GGw-CK$d_M#tw~gf%0J~$<@g{hQcFbtpmUw8>{j@<%#iV28d6M8LL2iW_wWtAfu4xSG{&}Wy^o04Q z86Tm)z;uK8Pd3$>1>!=}0$y!Lm^QoxSY+zL@+#7_xhW*0Ow->&WU;9l&oE0&q2~dX zo1QHKafL~l2+5VE*QbH4GDR`0uQtW;1h%G_sZuDYYfXh&v92=>8wYH?>Bud}Y%mEY zfo(LY)Qc4YBG%mcFc5%Wz})h;23}trh=uQf6|ow7Wzr1n!Nx{ znTocC!f8|6od9P{DLo;XY%28xl4nhA=YV+5)H(%{=S>fL0J~rc+5wRi(?)+U;6;;W zHozs*y4BFQY+Bw5SgL6NkE2&iA-{ll)s$ui@tUdHClIfj`p{)>nD#R+-!#qSG5D70 zr#;}^HcjDy`HpFE6cp~7;<%e0m?pCHd1%UDw0vY5cLdmD)9P1DRZmQdS|8!m!`Vh{;y0+e}rV3>HY^uzBYw0d%rPFsR!a))3K?*-kF|U z0C;bD@COt=m;xDR(oGH~(~qW8-vj$(iloP9n7SjSdzG1{Pn`TL(+CuEB%X6mz#G08`D^nViDR zeV9|HnaflHm~O7mLU)GwatLhBG~Z;=;hkmnG8ToKPqqScw)uA#usP-xYk|!*tD6DL zGoOD4{rTpf7?Kv41mnwp}()=)^u0B6iU_X0>Z_u`eyS@Vx9CC-_Ta7NFYBdy-3 zOOFBXlKC$!h?mXV=>Mtas@~0@UNNVvfXr3%ic-L?nYT@Y%ysjkTadY7p2o<3(_Dxl z|Cae~QDC>tB~L)%j(Iz`!CmtMX2E;rWPa{_^WEAYJ}{?rhOvj{x(v3D%x$>+9-BXx z0C-|fq{lxsuUB$Uzc80$ZhUDjJRQ_m<^YyXX=cl1V6V;9QvlwWv+a<4Yko8Z*4~*r z@dn_%IdBt*AI!1bsp;kgWr2M(|Fs*~Cv$TyNQSwC4ItAzq7STOnaeY*el}083oBpD z8R6X1+2%yfP_X4>3xE)d=>qgaEdf&?I^NRtCWsR(1tLJ4Xj%6sh?6X()(iH*_J_*Au`9(wgWE$=32s8 z#m=(~ngcN3QtKm_3oP?Z0J6pAyQZ^TW?d6uNnz=;$nx}8n2EG>&JPe}DR~ye#gS_-Zhr4+}dj` zO?kLlXW7TXalPd#$F#vRKR*;VS{gqEFWTbApFv_Q607}9maJbPvc(d{@?@*!6dib* zWeD?LoaMKRz_wdHv%1+~8O2Z*Z>cu|3Og-tn?Pij*HV)8{cg+fW1uEje&nt99!uGF zAnvtvV{y07^5-}Z_gfb1g+`(!sy?s-mOC3Ea?nzaXZAyua}$9bwj@mlcEsYX3GArF z!GqT^%W~F@$1Qz61Dvodyb3EPEv4zQNfvLHMu4X*-FRR;ZK=YN>x`ufQ+l#x#(bDL zYgtniA{Q(j&O;={a-RAZE!j%&E?Lg;^60XqE;ml9Wge^7E0)!C*{hbNbkb{=Mnr>M$7T8D2h>gHLS$3@k$gtF+2WDD+ zW@O5;T!@0+XUj~+i!YY)e?TGI;+2CAqFC9%usq&swE|4AW^yVgT9>nwm}K>@4lvmo zat2_ERZfQ9RO?7ah%oD%dXSuEy@V5{a=JC9F^Ds)MJj_h(|V^Z-agB^m6s{u)+@Yu zn{93O2)sGgnn}RsS|fQJnP=_mn^@Uem^JA_Ye`-NL|A`%2IeB`yFd^lt(AQH$2z?W zu*KGHjP*;b{gy#wsWtQih|8=&tf-e;_bmo*h4oGe5La3&v9?=f{V*29)z*`|D_dht zZw73w^{15(S!eaWW{_WR{ro4;4c3mcfNiv%VPO$%ZNm~G#%k*bY?Jl_d|f~ zu_i?_uuT1}`>pOe0EyP;be#j%{C@%*wAN$If5`fM3rHTe#@+_;h&6E< zz)@>FgY_|MtgooEE@UZv!dl@6D4ewRje$s#wFifN%G$F5u+vuMTYxjxueLC-C0pMq zfS$D`?gBVxoyVJl^VTW}(7#~)gU7HGt9BT87p)E^?@QLc84$T_ok_<}wMKG_-nCw2 z$#c(Ic?rOM>j4rUSzmtz@Yp)1Hzc1}0}EjkPpt_o&!1VRv!3@pxAva^>I-Y%mq1@y z+YErlE9)60z#Hpwmc;L^^QS=MqxE4ifKS#iep7}uy%a<;t!+O8WLet}h2&>zfnOl< z#oEdaEZbV0n=05A$W;!pSuR5_)Hc5j6vo?rsse06Gu!j`(3osH-xo4dY=Jz%Pqp>q zB|(@ig;8soEy`DG*;+GU&akEb0?C=S0lcc1Wh>81ws6~KdDIc9ls7B^*ize@?%*x6 zy`K!z%WVU>Cs){Bp9FEGtsu+$RkrYgz*gI$hC*bGt;`vSthLQ%LB7rwtpHeWyD%NR z4Yrgqz&6?r?gtia^DwEz*w%0mn`|zouUK2dO5km=?eQ`qZM8jr3+6W4>{wuNw)C$c zv)wj%5M*}P>M_8?+s5+Du+!Fu*V(&lPqHDo+qUXHcnP)@3=n&4mj2-FwVgHs?6ci1 z0fqgxQj8&qwuzjk1GYDerw48QScIOmO*qXm;j}G=$@HvkFn<#`XB*2{a^6#ny~JS6sBkGzYk3OQ!!{ww3Mxg{!ue`v7j(-0#49Xq(8ncx0={o%Yz)HWk<45P4=R84lueTZ=;cM-wk>1&)E4X4@W(QM|V82mtoR_7!8vTU&$jAilFb zVlIAf`)w4!2ipOL{B+wn*5w~<->{zfWUI#Pn`Nt92_m0uPm93H7u)2{AZFVJa|wg( z&6#dP?Bf`KL+!(Gg7zxM+x0v#O|Z{=4lvmsw+f0=>|feJbgKQrQea{B%j3YCW1kxg zY_9z;R@?LJZFYdTz#cRYm~4;Yhc2`q;>jbzzV`*xBkk8&1xDFtyn*Cm`)yvCEwyj@ z8N6loQM|?QF1IK30d<9az*hh(?I)QpSKIH51h&S0m^*E)J%kgy&Yoh0;(GhbMIdgp zYgvLv+fz8E82fD=^*7m;XFog$8vE`2=p_g3H4MOx*gKkl9k;)ifhF0C`a|!G z-SG&-WcxN|j#PVP?$E3DEB(N`X0Oi@{JMQBuW)bJ^RaxqX@7DHyjyl}FXpe?_AM^~ z?%2yEK=iKtT`yqw>;)Ub%zgX4zR-AJZ_RIdXy3~FgGcr**Fk)2-_6y2VoyH<-cx(^ z;lN(lzmEm>(mwk*L|)qsRe-&*Hy!}Jw|4k1{K-CWFNp8$PrRJ<5B9(hpr+fOvi$sL zcQVd>vj4RXybOC}0hsx0KOF+%7kghD6tnG<>2tx3#qR;8I=1$JWSC=cO-N32G<^hY zy5lBu{|rY}-Z{;5=vP90mg6cHE!>gR61>@tTWvVAa~vnNV9s@<_J!y?NAv|?^BqMT z;4N^Rcm<;D_{36ep<~cV@FEC3= zWUU4k?RdkFiE%_PfXF7tZ*PEYcKpa?j&%fu0&H=V>k7%O4pjnp+Zs%Rh4e?Q~4M40M-c(hGpyj`QcBpWx7b4~;#J0o#G?b-Y;(-abb- zgTa1BWga9F9o5$Z9B|Cy4cI})G+quIaja!#KkDfE6ZDQb8qvv*JMRAsy%UbnJW-x> zY~zt5$>GO2^qz9eVC{3pvAQrslO30t)XqAFUWA$Rj`x`$UT`$zDIvvChdJhwqu^TT zUv>;M0!wuq;yLK5L;nPNHyj(OaN9AqGO&A&$Hk!c%rTs<^V|_W2fP=Ko7;iCbZj~g z?3LG%#v(1vvBC_auN}!@(0Jnr>kgT>j;<_$-Z|7a0Ny(~vatW)7{lr}-4Qbe;G@G) z9K=r!*Kp`%I2ODC$aI|V4D~EW*&u+=j_17A`r=qnA0XRdybir!=YeIwLY&@=*U%4j z_T32Tc;~F?piXek5Q( zna)uxNM<<)9R(KdtepvLwsVjlMCLe6)nIzA)3XNHJZE>-c=Mg_y{VusaP~V04cQsa z6U;*A78Z^X&UUo6$oXS85F?!qX6PtqkAlD!J0J6IZ;3ONmD^J1*@oaPb1r4LTJAg? z0BnWxm%|WQ=^RuB#8u8?4**s>f7l7)8s`;W*Q|BwigEv~bEdNHUGJR2Dc#^KRSaOG zbL}qhqMdD67j1UVX4M_*yvn86;_R3WY^!r<1?X*ajwl8Y=UmEb%k9q9r=hUJd5-}# z-dTY0VyAN}!`v>X_9nn?XB>}q3C<&fcuTy;S^Wg4d!4Z^fPKzh5diz0y}2C{o$u=b z9B`IrS~=*9VS#bTSx1J*Vdr<8^<&P`eSjTzejX0q38#m=lg{#qz>=K9nQ~4!wHW}X zo%2|#opIi(3z1}J!_@-z-&yC`u|Ur`AG7p2@3gV%xZs>*1xRs@xCC&~8Lb2FlJf-1 z?aNNXa}ZOVuPOsvarWW);HtB22(W9;?zJFt-MMleh_{@f69H~JyPOB{j&smUh}?B* zc z{OEP=VJY;Y4IsO|=Bh1py=6>@biJqnu*AjxEd=Fq*H<@yt#EZN?5SA9I~&!Ft@)g~9KH>*F{tL{GXFdu8{+AOkT`zfS``T57Ipd9M6o;ST3ZDaFrfbGd zfGpQoZqd)Kr3`*wTqQOFWV;$O{RX@LED0>c-J6ju)IFC6xAE@U)xewJUd`A$(LIJq ze3Co39f*_NuUJt`afd5;nw#qG(g)Nqclbt#PIFHv3DN0p|7c({+~d~+%yhq?;w<;I zsldYB^}9l3wtLA9n3?1Ll?Cfucg=<1&2#_R6WDxrjm^LoxVzHlWcL>y4HvrCr@%^t zyWd1$i`*ss!1G4B`wRdY zt>-!HhgWxEe7f=sZdBc~+9 z^X5koLp>{4+m83VxehSF6VM98iJqQ~flcyQ7~Us)uGIx`il_E;fTt z^PHRko6|j;c^xssQz(G@Z>DFz2ZNgBIiUhG+%xS1u-Tqay3icYgW6D>>nT?n#Ce|i zJiE;IjQkVW0#9mJn2|ld@F>5~^V4Qv5gz+~fJL6gEg%xJZ1Is#kb zsl&9e)Kl&)M7%3J!-oQ0>B;yBGOIjEWATdBo;?!48qZ=LTGo1sM?!R+=c5wD^`2ME zCmTFNcz3qZ)0)*vwC8g&L}EOKHUOJEMOc_@_6$7wzb`aU(DOMH4t)5NoK-}ip z%t|KC>lqdTjqM&Q56U|{4>iEzJ>`A@*y-tDfXpt>5H7`T&u3=%1kY6-LH2kSSpfEW zQl~+3pJ(Dg@b-JAvaU+>?B&l62RtDR!3RAxdHOx%IYNcQ9t*Egj(869KJKW;+w=)! zj(Li6(T{ruE(Z04#}*E7((@SwiZaRbLIaUg9yKf0)1Hw@AfE9w83mB+ncok*vz~EN zft~X_C;*WZPp5BzUG!vg|6KB zxxk=s!}Ha4fSaD0e}Q<*Q{Xd1ZhI=n0^IRzX3DwiDa@dI&-3jU5FdJKwuZGwo+S)W zk3DOcFrRpu(i5I~9wh)g^Hfd;cE*PaWF z0p55TzX0*AN92ItdB!q)eKH$YUpc4X$hXPCt=vEdolLDSI3QP`I z&r9+t0mZ5Vn;Ni&@i8o52`}rW1&n$RFg@VcB#6ui2%ijWX21z%rC9-^7J?WaVCPnu z9Wa+GIVWJ?Fko{7yjQ`S7f@!mB$QCj4~U%v>VkksUYE%M+dDyYQNUvso{<3u-2hPm zGvvT4xcUxU4QyF}(uP+o5Ab^d$rS;=@eHssU`ZWVSrw4Z8C@N4las$DV0Q^ft_}Fn zmyQC4@E&n}z)2S98v=GS!^Q-pFl}!N=vV{T=76u8ffpN)#@M+fVDVgFTLZe?25(!y zuU{9K;r{49|7ALu#;u?u7HZXf!G~TmRFn!|A)Qr46EvB+hu0N8NUY=shbuWgIyjnNz1DdxIReQUdze7e*&pagG}p^JeGaCZ+-Hj!=pnZWhj633We-rE^5rw2<0JQ)52i&vWC*}l{>v#aH_5Hl zpf<}RDNF^(i)hN^VD6WN%9<86(!4C)09h*FQY_xLSCGW+dnP$q4{)HzGf?^OY&@* z)!Fimuh3|YybaCYD{{a6fUEL48L-QfAE#JbAQuETbLt&090Pa-q2ylZGhZ+Kc6@&8uA&P|ryA)3T zfZYnO(P$=A@ra7&FhztlnBfY)0Kh&)6E8rd!uTT~N};EW9<6va7fr_~244jnP@JJD zAFHq(3zaxUTgpQ5ig5Fnz(a~EwBeGV_?D`xM8y|z&^e+wL#xlDig22b#}wCohAc_Z zh3fkhMadOFs^aFafa8i>a*h*2lK9CXLm5~De9i4 z3iZAsr#UPhDUxX2SELB1oLa0Xq-~I=iW{%Ne5RNY4tTD(H5c|T6&)#imM8`~fO@4E zvl!HCMZsVgyiqt+0rggqJ{7W3#iZ}Se5a^EwbFZqax7Z;pjb@-+(AiySi&rIRL86~p z8{n=ql8bIo_M~vqZ2Mtgz$Om(a^5zD>R^^xk$O4tkDSB*EKAQ#Dp?pJoi#wHm zMnI5q^+2cuE1jD_7NVRc2XmLQ85Nc;RhsWmwQ)?DdkT=GoJ{p!va%;tS1HPqMle&AHj7|? zT-le3q!UU`Z)Z;`TU$YPO4*_hWT%y*kAXU)JW0iVnsN+ffV0Z5M}Tx?9L2YD%AsaZ z8OmC;5V)vpL@S6)kd@w1^)s?4RB|4v!|B+TC{Pszyt zJ}AE(3UY<&ay1B7svc7|U9HL=jMmnuEG}R=tL{?;wN{l(U9wJ<+Y*$EYEDCdtLg() zitANDjnImlD%%f~hw9>Dz($o#H1=^SD_XN3Qn^OLAVGD6GV~EuuXxCgs_Zv| zc}#WpB_K()igt#QRfSU^OHpmf2QyVQi*|30n^l7;6raF@0#2&>FNDr1)d(tq(o`d9 zKBud`kwNvGs#6a@hU(rtXr5Pba^nlCm^VVN`8TBsUGUU5fN zFbd4ODi2!h-&4)*2hIDcu{28_sO%|%KU8(1V(F1;8YQ5|su4P9K2cqq2hAeYav7*+ zs@`^xJy$tKfqJ1DYtDl3rD|{-xFxECJQ8v|;&HHU2f2r7CNB z&=0Cb1IVj3s(YwOJTh&>#-4m#O?+nG8 zj$8`Eo$7;oU=gIw{{j%KHa-J{sN)r|*rkr_36;I-^+r&k>b~TZVd^n7=fc$u$kQX# z$NPf0PaQ4=M5O)Tf zaq75@5XP(V?^Q9o)f2U#64W!FKz3N|{u7vq>Q2F69#LO#0`sW4Z77(>)Nd)%CaI%n zw3F2hDdwlBv#Cc@)sa-%9#=PA2kMl1SO`>3t6gZ5+_LEouVn7{BLrvFVNcOgx_UO< z^Evf61E>u3yd|K{tIsuo$_2H8^6^DAqjEk|y@2X~OX^#c&o8TMQze?ER?>iHqXTfw z9Q9InFt4a5oCfP3ntw5YhR4!8<>uKP$Ga2)VheN~Fao~X?iVP2$G zH31Z>J5~nssXCO3p=avWp3r=*_S;L7uteP>4CE_y{y?a{RZsp5%=c>bM5umHTPXZG zXe!aBmZK(s3d~O zY@Mb_FF_lE8XRngcA{G|I=&ao2RU2W-$(O9OalQqrKhQKR|-hMt;V z0zi3b-dqQGYvSF&^wIFEFt=#3cEG?_)1e9|KTUUvss5V7=Kz~DCn#WU);wGb2+*8$ z18mXcU?}iwrJCJK(M+HwAs6=BH16*}ZP(<|wA-O+XaoD5n!4YD3eqg4%50bB%>vl( z)wof%3)d7i0y9G6MUf{;lS(5Jt#O$QYQJVVt?Obmy(vf>&~$ragb6As8lV_L7?&(OjAcII6kb0xHKe%?^P|(j28= zoUGB)L`>1dY=A+kCgdelj%&7&U!KrRq;!5#^Yu?KIHegvh45)jZ7So>m^F)NwxnsE z(=<7!`GR6|hNhl7;Jl`GHe?qx+6ka8YC3!a!%WTISAa{J-F}c=)+AH?kfq5P0?5`R zUq&lAn(0(4U(w|L43(>zEULwFHNQ3mbxo7;7F3=lkoNxaH5TOqE;D~eh00A$78Q$y z8b2yB?`Yce1@o?^ItSd-w4rEyUo&7nWDhj!Yr*=VCZiXak2KlOKt0w3QyQY_MXR7v(^Xr1m&(KS+F?V$b<-yHhK{>-1x3FN+QrWS9@_7y zK-s8W{WDZNwR^{b^3pz~w}IZ;-L&E3qqSr}X3=hc2+CI**d8)Jt&TFEzt)4|$|h|K zn&_LgSxKO_YO}&YZP#w>Se6O2i)e3jr*=s?gh5*S&p-uhhr2*0M7xh>`X23*pKysV z?Ncq7`?Lu)z>L;D+X&_X?Jx=K61DqjsvOZy9|bt7-Pr+}$Fy^3D>z9TO8GNcJMASP zMZ579WU1PR2(@PEaqW!9AWvwW=;=>t`%~0Dr9DkS_O!OiY*?Jp*4YhNnl|M-$j)ld zjR2%;zl(+Jy!HjfwF}xPO0^fY&$0lS+J#gdUebEfTKuwhjuWUX?V5vVC0pxL6;zIP zUK(WPE7~#CHCMGY>!8tGt#lROnpR2Gbe?ujF)Z@6D`*N`*FL7Q@TPXjG%#;z7brm8 z)+%U)U8o)854fYPM=R{R+KI~{yQj^*4(h)4-2hMzv{_w2J=Eq!0v>6H*@F4lthL_; ze4^b+>(?T!y%nHXn?hB@bL}tf0VUeAG=^`qSyc1A)5bag9CYsY0FJt!CIMFHCemZC z)crOK;G}CnrOYbbY1-CUt^0x|#2OubLlE;(SNT^^YjszXL9Nr79WF!FMfV-WHCNqy z4p^_#6@j@y*EJR3p=(8Vx>0xUF2G+msvcmIZb{jM(gkn8{RZglEnsfZZT11RRX2{D zGEg^cG??3T8MMOPt~x+*4{cV2>6+6jD_j?|1rVX@dIGRd7eb{_q;4b?r%}2drD!Eu z=M@C%fG!~mcCosx7UL%}qcZ)tzVzi(|U_Gx##NI;pGl0#0qwqx*D6H zc}X{&>@Mrx-vu*E_d!Mem#b?t4BTtF540xE(=DnA$k)w00p@jG!yKp<=q6r<{Vm;v zaL8`!8j_0^>KZqI?2hgEkQ;ycKm=o;MuRivvj0Z^gH~Ss)IiA6u?n`cnR!R=-1kUTB)Bi z5B5&_?`b`^O8^gny8GwsEkycQy`aH@a>-96Kx^vUVjRv^u{pfo$8}(PNpfOK< zJ6fHYz4ZQ+IK1^4H0S*FUQ~u{(zl^PWV3$ABj^O^V)XJLNi=Hiqcku-i17MpT3y#bEJN7Yfw>U{WE_E zWA&A(?SuL;>7e5D4`}x}Uf*Utn1}RRQviwjt~4!==vQ5U;Zc2uP^cW!SD`BWxc=5T zsGQJSbqDjL-g-7PPwAU}4d!WmUFx87`X!X3GW4fYAv>>kqQrbbZ@%;r!i)M`YAst| zgCIwL!wouD^l5R>xvFou50Iof z=4i<7>2+nxeSKIVs0aFD%E6EI8rm;@p>NY1)Jwg;*%4TxpFsKVmHy^efYg&?d?VY|r3d0ZjA!(o-4Tqzlxx!GG0P~fGFDR+5HhA@hY>lDiL4dQtKNPZc zhT`dan4X3t>RB(tMOwys z8`k>(d<=a%1N;n6DWCWo;;4YxWKh#uZL=YPD#R^@;j}v$XqZdAw$1PZRfyXS$2tLa z80ddHNrMcZQ!Nl;xZaKYZ@}on&}f+9I4!Ni4L@nYj4*_+ z0yEN}*a3(#_=N*v3{xpU9Wbbl;}Wq34+?$<4K9=j;tb(5tK$uqbD??2kkT2DU>H9K zvcm@ZLV8!0Xc)T*0-@0ljXb;FU+nh2(vt)JItU^_Ruhr0_r0}^%l^4Y`C)vRFNT+7GlMQx>OlFGpG@Lq|Xg2TLNAf z9G-*u(lCzTmEkt6j7tsCj*z`GIJf}b8xE@h4o0V%kU1LrufWw;7`M~#tTfiW4{$O* zE&F@gtBp4(bgwZkqZ-lKc$@<2I^%EqVeevG{TSeCyhx+G-WWmPX4KKD(8IXa4Y1Kz zm;~@NrcyTYHd^Rre2h(BqfK9DXP0h^4MXw@8G{AHsVI$Mo(D0l@L zJ!o2OGrD=;@;i+C>3Me=56*{5u(6b6A;y-J*>@RBWl-5|yh^gY#t9~vM;KpGkl$w< zR|saLQ5*q88`ZbL+;8+e4u~=C836MGMm1d_)_8U|y;zDfZtwybZ|qqK%^Wh$k-_k= zv50DcM58?|RgM^&d4M`<9JvB;%;=Q{W{NTVOK7GVXKVv?+}KP&cEUK5%HEU4iKU=U z87tAM;e)K%jG6J&YD108YQ0;4Of?{66g3&`#mm)e7Q-x&EBR2~_-(%R;+ zu|CytPmB%i07XVm1vHC|ALzRe=BLIvejuM2+tC0$H-4b__QDuZ1I!ZRmN%eY8STko zUmKqv2ldt%9}FlpF8={4?~IDouzPRJp)UPk+(BvE!Sq50SZTVt6HF)5gb|=tndG#7 zUu|l-5U|EnO^#Na&8E$ivDTS97`QH`YP3XhH3c<7+v`o41z@_FdQSx9Zep&`+-N#L zg@w22C9Mp7OeN$17SlI0gn_1?#sIdNcGQDxyXhRYxx-{f$#AEs2Q8U{Obf0;7HnET zi=z;exk^uPcbPiTl;3SK9|P<$rPY9NujzJIK&Yv;91v!DN5LT6)Sea;5vGxpEB2YN z@rcQ0`j&h;%JlUus6?BlkaO)f{Y3LA#*{>^eZX{p=0&XOR2-;-rc_$e#hD(Pj{xIM z3qrs>WI9P34+*AU=(WvZ)4M>lcGNVGD)VC|zXM<T?Z{X8Mk{md=`djFc49O(U)V&Y8ZU z;mR-t?}pBKlimWjV47D4_7_bWdb^!z8c)IGlIa(!A+k;Lzkw{rG=K`JE2b)Cv(vQj zA!NCxM|3mSOigKc^GvI0zc$~*D63pIEzJW}V7eMc{&&L^7KJO_G;OCuf6FwM9QU^A zzz3)nn)JQV+8xue)u8U0PQqoR_e}lh1>SvAyE?FYV0y9v)I(ExFsMhS%9CO6*yK*L z+SZ_>~ynRg~h1YpIEo}y7+J&M3EBnj*!`*j) zKh{`;dG?wX*M2M_9!+m%iB!OEWhz?DZ)fdO z(8^AhkwouDBH3I!=tQwvv>e#a{8|GNSy~V*jxhJLusFu{2{6yF@OLmwV+SRm(%E<= zAe%KF2FPLQ!y&uE5_SNtvW4W>x$F(~{55uhb_DZSD=HB4S)0RX`Z~-08I-wzwWgeO zgGJEZ)=ic<8E}hz_Bn*NSyft_6tboD!MwvPt)Y3B4WfK?j}4@9{yuB+1k6V)&l%v% zM{WXhE&skMU>zTL1DY=UI7JCpKA-@ttmi!`le_U!x>BEnwY zZ2~MpdG{nR!}ydIfN=h~8nOuf?RyyP<7*#+8OcYJyG8L{6u6`Lpwoc;{3@0FFd0o395P;R!UEkMe!w zA;-9x;&(Ftt_tkW@+lPX)A@zDV4mZ~4$#ctvyGt6^A@xMzQ7;SEXm}-lR;hP6H7o{ z;a!xVZt)jxpl5Th;{eb23iMOigje^VFS>m>idID@*fD3cbCSKID4WI>(j%T_;j=(p zX69O>fmy85P^f0Jv$Q>y!+xM1yUN~O2X&V%rrx;6x~Ks6**FS}kJuh(z-yLD%eObo zGaKeB_>Sj*m3$$2k`pgHh*nndWGZ3S@Y>@c+rYa}XL|6-l(07PSLR0GdU8)HCA@ev zZF70^En6V;;lYKtgoQuP0p-horl{q|m(lA(e;z@0oA|ebp|Y75Z3Z)dH@pbg!Y7m6 zR-Q<9+j#3`(A>>;&H}ZEuip&V%Ma2l59O+d^nNyscb)+V=P?}I2>z%Kn%T$gD0oHj zHMI8?&o5O6B=J(p0H=8}O~o^O4h8bF{2|4u%e;UleHNchg>DXSPl@^l-@6-dlMg!s z*)9IM8sILUNde#LiMH ztw0?Ub>;w)M9f?;Pm3*!VRuH1mQ6Po!eB*A@Pu~L#ld zlVqKMY?GwtHpsR}Vkwtzl??R*6(|Xq32K|t?2znU0cNnI2Nl5~l67yu z+#^{=1G85WL_s)Ia)N^jle}yTm2io9dJf1)iSJZElq8J4h!rhKr@lBK@uTFHD7m_# z!mYQ{*ba(*3hCex6wZdUC-b4%Q8*53N6Wq8i82_CnA;S`k%d&nkIuA)=8Wm|lIDk9 z6triaqHdl4WEJg7E!abE-WJh+sK$SUnnVjhN4Dn?sFkb(b&4xnG6vLoHgYBu-I<#w zC_k1!`=I`;{#~eSW``)J1hHil%!646DlK-g0rZTK?6)_7c-E^3aEML61xR4+$;l2g za~)cjB{CfafFrDbJ3Poyrd)!ykFmsjP)RK36d;*7(S}nBQ&s|%%BJpw-Er2v8|+Rp zlzfy$gpg{rl?Ec_Sf++%U%v-jEPsh}RR5tL>hv#g%Dq6DXE;R~BK_h?nnHuM3`+YO>x z=4+ddICki?4m!O?ZNafO3x`ghH`F(MtJMRizj7gNqr91TH?Z4G8BWa{qY&M$tv8Ne zizFP|x0wL-4(BPbb+jgD>YQ$kqkU!%aC*wA^c!fQ={YFZ3&+7N8sM>pBu#;IXw#wK z3`?iHGu(x8=g6DGA^q;K3RdQ+{r7{I#%WZhU!yheoNvZMX|5}6X3g_jhV%K=XW)E6 zJMyiCjcEsI(PNtLi#<-lYRS@tXlNCyO`&l${(lf8&WzQsc(OGb@P{kcKxu@8789fP zM&SHAt9{^)p4yLYX4(b`j^A6q!g2cJUm>2+?F5cL1X0wVXP@g5*-7g373|PFz)E(6#?pyZdje_|`}{C8(c|lIwKeQK1qWx=qy}Iu z{=FJh)|pwAHL!4DUn~WzVU92;JBQasqdjP5b8?SgTxs2lJHyHo^zcj3>+4 z2o*1O+X3Ls#{UHHVR;m>eObpyw0V$aP^^e!2in6fp4AP4EQRUofSJn7L3H`!>}yCNfwUtfI=^A5AG(F1l2r*foIDiuSW`}PN?%isoRw(E+Q z;Mfn`g=04ta`NsQKF6`g&gYPR6Gijdxc1iglqVwVLtyP;h474ae0svcu=V z^kge(;o-$@qyv1|0$Q|Pl8%ryT0(N&L%x|!yRxr59{AeM6i@mpj>^3_^fwPc}!ul9tw6N^YsNQ9} z27$cCZqWMZKI?J-jXq%WsWN!TibsHY#8jgpd(7$`1@(kwlAjf^8Wi`7*?wEdp0XOW zlzqmA(M)>IruKr$3uZ^lqnB()A*d3zVGO8OtZy=?*DPf&rMEY%I_+b;Wd-DV?^r2? z=nw46FQDViCl!I|!!3@WEIh*mnJ+K20r>H-1z`H~_cT76cq^KMn|ZItU!U7a%&1JfqX1E^fsO~4$SRby$nqA4qoaC?oK}BH6Vx^&q5f?3nUeBX``#wOc_&@ejpN+0R!@26cv~ zt*vm2_Va0%q{G335cdkEa5CLx7<6XD(sJR4U+5Wr9Mm7jnQJECnm-NU;Qy=$!Ex3k zDjj|~IUTmMul$1JoUaE#bFSlgoX?vb4E_1S1)K$aDBv!oFQ~ zH-^nU3VOR{z-9y6*9nvd>q`E)k#U;op6m!Eb1zm$2k>T>$HUx*HKh1%VSRj|;>!|W z!p@Jiry1kVj1(+4v7eFhPz&9o3NL_dUQWA2TUb~gxLa8ST{n;o@dCAtZ668P&aTi> zdIyWG3Th`ixgS&zD~tyf%sNqJ8N!tJ(Aq9GnX0tiY$PSnJ?wi5RC}4z5Ky6PGL33D zi)jayee5TC&LeDOA27{F+5Bd(PhrXJpn8r)(aJ7^8E6%Do;}Ni&Q*2;BL#PVPnqKy z+x`I59d_#&;4Yg%ssA4P$_JXy*e>#d=WMeSnlD(+9#HTlI2!(|v?{4fQ7ry0r>K+v1rYoSKkI|Cr>{O2;%K&hKBH|UxA9`p;S9Z@h){i zMf3Z#53!$jJr27A-1;=&An!I4%wv4&d|dD}KR5}RXLxQjm}z|5CNR%(a|+F#blzJ5 zIL8e%Ni%r%Lr~{=>%FkJz)>e48}V`EMVWj9&8|zlS2k2G^IHLcEPi$j?6SGtP{?w4 z9);XoKCUk)Z&9xoz(-7@HNQpNHNn7FI8!+F6PqT|UWdQ9`l`Ywy<#C~`DHGS?ToZN z(bIV>j>8*`0%zKfU*UYgtJXMoVuNf!gs>r(K<#34>ArTesBLI)51UFQ+Fmx+(zT7K zV}40BLIkTC2ZMcV%6!~HBva7XMzMpH!Hj0k17N#wj9>oJPqU(RzkOSmDRrv zDwl1b9jj|>T_AMwm=meyGym_vyw1M)0#LxdrXYBOb*4MK#r$b!`2(v%3$QhO674Fj z=dCH#Z{$-bqgwbhTHplmdwrp@gAbsD5W+JSQ}Oq(EobDl^52;o-C}7%`55 z>9{piB2C!;6F3X1w1#w{dn(QsdC?SFvh_1?RpwVuhS zSaD}Zz5~;P zCzeP%8mrmn@nCLX?o`cqu+4{{?#a>@f(l^$ufZ&s&7h(?gdMK}*v)F5g)Ewd(f-7K z);9s>2U!2I|15cgF^VCl*yiWpUSy+QfXZZp+r#1#tNIKUm)ZVWfGk!@`68QD+5lM& zlaQ}oVTUN%DEx0PN;zD*$_V&nOt|j|QGqx)z(nt!eYwV!Y83Mz(&Plo0JE;L{sDgPF~PsW!jDonF8`m+!v^>Jk4E$)9#Ty+J+U7NVZ=a4G>l@I5zR?jY7uYH}1e zH$r8Fn6@3%Dzi`{7nje{7PMCD;6U!t@pFnGou(Dw^53pji2o0lq5Oj;}?|FyYo494F4ENi=CdIHcb>=i+>tta(Mi@!UYW`Bxz+8*cRkoF8JfaZ`C+VC36yMQw+j!Mqq z_RDb`F|Q3cBb(4bkD5Zu-qD|vbj*j1IG_Hy2`k}zYvZG6$eEp@`L>qTtOLn9R=Wh?!k+d6xU$!UP+8Bkc2IF+V`*!^on=z` z-@qO>M1vm8G#$*1Y|(5`p6palDq+1?78NSqEGQe`!(L>;!onW(1m(xpZUeQO?V@0@ zhb^U;yqE2q4G3jxsdNly*FzzTXJ3*J9AY1y;4TuF8r34!XukA@Jdr*40&s-QO@r(x zt3=Lzj5VSKkU5FOXdM{?+k>^#lTOtvf@vP;b6J*Wa! z{TyUB*v&`Kyvh0u1a+G&Q$VGN_1+F6roxtRH$ z1fVOwsfM8&-`o{CzP#lQfFFNW6X4JLq(Nm9pYI8k03OyAjc(z^H9&3U^=P>p$ZctE zZRc(i0nt1z90vP2`xR6SSCV5K;5#Xv#PTXjK^^4t;PiM=V@`+w#&gpVKmxb935!G? z-wis+++7cgvpk%VemY;c5pa%I4S+0z-$a5!AlVTFxXSmF=j3uZ-Dn=yZh+=J-l;v> zywBf}Z$04a$eSN>Z7VPzanGZG627*qx_QOTG}T`7Gy7rxhQIcJ@GbwGDy~vK{0;2i z@m(}!-}Cvtpg!=BBLD}{J`Q${V$}j@t`y%nK*dQ!bO7ZhYE=g1F8nATZxD}Z-RB|d zw*YJuqpJWsg?A2ROfS*y9a{4iEog<{BaEwH?<+b`+SxAFB?ESd23r9;g*QEYkjS9g zE?B52UxbLuIY8Vy)0DXmkHE~0({V-?#sjk;wu+em3;4QqBC?QUQ@=+^yNn<~)R!3NUv z>||lX&~6YLvK+Et=0R0M1e*{IY9E_pfl4InGZn4Gv#70rWHvJ#vJ{p`QzMnF9|<_l zVq&0qg1tWkILU%fQU9M}p>1Jtn$3C-IK!6H_@%L)6mZY7drtxB?EMy8@ErEV0U2yG zwRVLKqd;(#Rj0^ z5}t3k0CEMdZGfQ@x8DxRov-W-iw*qwQ&@O#17+cj{6!PUJo!7SlD+sKJ;0mSw*ze9 zHJibFEAQg~%|L#gmg~EDpetmdyff|phVwWRWczsk&X7g%xAg(N_j5Oj5(jwW^0*M; z4{kj7DgZNq_ofRb@@wCNd6ZAhMl(r#Ank~z@ZB^sk8@K5R8I04me~rF#QwCw_?QG*Nup&{K&se9ne2qs{xZ$t_WZ>gv>2=HFC=nX>$$q}js zS4fH}pRSiIpk1nN5;F%0vN@es!S| zCdr}-Awn{C3++fnN}7KKZnPwyHf9b;5?VueOw!;mAXzeeHJGUq9j)q5N-B*5oR`d9 z4A})qi$-Ysq9lATnAarx$+z+($7u-jC97x^dsnjLSExLdxRIkilg#=OcF!dRv@iQY za(^I|#4jb8qaiGjMAP%G#9!KNt=g)a+f}(!fAuF)pLM{v}hlg z8>KC&i1oyOrG}=LwCZ#)y`}0}Xv{|%b{t@lPO1&?m9}~a6+dZzYpD238;ysZd6RSi z?KuTVH`1KjDP8FdDoomD79d>u_Bbpeq=(x9_DSE;=5eG{rGjRZ^l=~{TG}ZLvKZ-j zJID@5lgJI?rPmQY%j;ZM6U2*m(TrN!g%(hb>?!4m6>N_qB<7W@>MY2dn1=GyDmIy# z!|`6dMb?~yxrNR2gyvRuiORx2wv?Q68(Z`vnA=$*jrtDuZYpFu zSy3XGL9FMGpn}=$5I_jCCl}wvCeggwZD#SbTG_+)yTb4Y%W?ttC~KGx)nhD#E`Nqy zqpBp0oy>;jS@z^4m|2YV1~Z%8BS*+#1HHh!$u^Gy++sBzL3W!pqa^=?<$D7V#I8fL zm`$T3|CH_O0p>Giu0IVH&sivyBQF?}f_lkPgP>EwifE;{hVMKN%9$@525K!oLz^X@ zyyN!(FW$Hrz?<@_J@3ufCm7kD9cAQJdNlx&t-$Qnu2h+s5z)NTb zU*z9YmdNDGDQRBfPuyW}nfF`+DvN)A8!Fj6k*01AKR*j_h3B>-|Ig(E&1mKh4>AGn z^0*pc-s9~k2R`GgzlPy+KKU`I7d&eZR6g(@T|qer2O3dF;Y)FHo#-P2(?#TZL&a50 zqpj`rqUklLxQTEoCH+L*wovgGJ1>HXzy98Y%K0Gig7R{(aI}I>h=>h`&OTAE52#3S z=nGI$A~F?_Ak;wyisq>6A_*&Y{pzkxa-#?rW+6474) zE{Q5{z`QJ$NuZJ?=D(wKmMt!P25y09Om*!I@#-z$rdaO`i(BG1Dtu6YP@_en)--4q zi^nGcPsLku+-Kq??WH{zEosH@Ld4&J!Amje1)xNPTmbW}=uIoMQn9%zsI`)4jB>ei z22`hxk74~5KyZ}3I|C}271B&O#WEV;suoSHt=UOZXP^+0+<_lVJXZ#`4S_{z4)p@V0v?}aTI@j_)#^;&3t1A z2t#?>3>b#-(s)qe{H+4AgM3{e?Bn=T+IxxT(dl3w;{HW|!`zV!j`2&hnVZ6&`vJ~z z#VbGtf0qF`&-eF+`33G(32=?qod|HXS~-P^9yt((343zrSmC-1)IkwR^;(>WI}Md& zaYcc)Q^dVnV5W-kC4h6{4AprV;*dQI&Wna_U|tpWG*NQJCE zOYsLag&WP~hoYbv$VVd3QrU>A#FnPJlcb3jvQ?62GjTz8No6YHHb^FZ0OcXsNEO5; zNw0ZeZkAl{1%m*IV+~MSB$w?$?UvkK0+qdz_m2T#5-HV0;S$S5K%7Ko1jI`uw7@+i zxz`FR36dhpkB23#&E!0%B=L^WIW5^(4C;)e|0zJ4By%fN&q_*WfJ&EWm4I`SomAOn zNS03lbzZWM3@%D~)dBOSYTcnMT0JciQ0sw*1jGHjnCS5>DYdgMc49y+V zUX-SGO1pH2EJ*4|g-@{bLPs!7(z*1!7o^^EKwXrk^#PSB4X1&*Bwg|WRDpDTPsnaaE72uxO4n=x z+>#o1fO%WmG#peRzT^Yyj`W!m4DL#ODWTnyYN`Ru#nSiRfcs8*wmZo8_=|n8_#oBw zz|A;Y51?{$t#!X3$ktigQ)TF7-GOqKxAmZ6fRFVZig*a}HhFhCFK}A^iI||t$YX=I#31;h5T5%k<9-0nrqP0DRyCc>~S3w=MPPYXd zvo@}VN|JTpCdiVlH&X#{*4l=iDZ_d?cH5-qt-m0@ziOSd8O&VkP%2oiS+C6j+_64N z71mwr&dGp#)=y}#pIg_UeUlf~X7_v0d1>97a)EFW`&IBLuaKdkhYqg zWDOdFS|vM7!?apvX9TrIcFYRkEUVEHOkbH>4D9@5Uy}R!%j~I^+9aza2XnLR%q1{) z$xhI+Ww*?aDx5vCE@oO>?3ZnzC0UGY%`(6NSyn@+#>(s)fjTJba2*gQJGTnW#LI?K zm3K(ii^}o@S${7u56k@K0TN}8+TwyoWKo|1j>=vQ05e^7hf3~jSwww6fy{nCWcOsP zXeQj34SiAOf3nm}2%pPF_X50-ji?0SOIa2zvrA;(Qj&Qs+ePb$RW>szG_1C9y8~Eb zv*|Rz*(QuKz*?IrM?kH!DY^y9#isT;P_8y=nvd&kGJAvRW>c3|%cdwco^?A2?0NPtaa__b z26wo0V^2tb`;NM9S^gj#otYzTLf{)Q|{HrVhv(p`vJi$IRy6m*q}wABH21BucFx8SD>QVELx`QXSTnfm1C?nEoPHg zU~R~f*$s-gXBo;G%I=|U0q0nl4VXFX7_Hr}unT62`&U_IDu!<}=QrRMvUxNdci6Pb zU>38IZ=v#(MbKK}8B5SW_MZK41Fd~vPiV#I!1vHsE7tKUYr%Bkg$7WryaUY!AKrxa zlPvst7-YVD36<14_+hGWck)W^H2y(+2n}a24_XGpNPesVgi-wFG*Hnz6j>()a~>!E%`gGG~( zCxwpnq9+wTZsJH7nC>E!!r=ySjj9h34vupU&AD2N7CEYfzv?x}bc2j(-g@aSCOSwnB3`S!J{L)>9`A*3DmQ$XlsLu1!D z@)0=Qme6o^pLn&Ru8k!xAK7~oj-za;cSrA~I5nn9JZz?R?tt@Iw<)Uq5=je***oW# zU5$5^T3N~9CYIXGM3;W{sEj0{Q+3(*Rp8~Kv$a%t^_;+2ml{%~M^oZ;ttnN$-p+Am zUrVZtf%gghXR;NfFVkYfL?RK|+EQgtxmDQIm)3iG!;;=ibZ9yOs}qS> zDwj5_`WR=j7Ff1RL?Ks58>vwXNo4c~;zholQmUF{8SlpPl(JLQssvGoFRfgDw^qW6 ziGEV4x|5|vi;q{SRCbj~Ek0eP+F!3y{V!LkL03_>6F*p5v=p<=<(HBz-$_?23v>U6 zUvoi+{{!E0ffN4Cuetm)-*Pek?XS5U{YSp#V*cA-bNOe!oNjUMzO@LSM7o9V?Tgz;P8-ER*|)I+j`XLRaCK)&J@;HU8o< zHOXZX?8RKmvaiJSalgAv(yos#^Z&nGgYf>(eYr+~q5UtvTw|HlR@7%#Evwu96HzdS z%T8HdwG&^N|6?zg|NXmV^Kx|If8^!zzkj!E{*S#}{`c>e&Hu5N%m4n}vbiPILjQ@E z%m4n}vbh^3{eR@;@-$4ee|@)1Taf>mm&>^Pzj?QeLf}92a+&sh|MlIn`9Jn@`QN`= zHvf;lT;@Xkhy2(AWh8w!QJ5sM-Y8(jZEkJxZY%2jUc3HZRFz9DrY>SaMO8T^>SK!h zpZOZ34o>rLz6Cks|NYk>$Nf)!4boE8UNm5bEk=7`{3i>XvTSJSCK{XnsZEZ*xyNDt zr#3nM<{pRnpW5U=PWd}~9Oi#&ljCphahTy(|HvlC-`wLsHuz^YIsWDzN1uOclS6n| zBt6AfzdNZ-#VVvy`6^_5&rhq6s()RDRQt;+q&lra_VyHcRI<8!D@J_z*S!nuSp2?s zfgOwA_b#ww@%!GzXV|;2)b1s|`s)sb&XSGT-`rN(@V~WF5#LMXRr|wE#qu_mpx)w} zio23k?5I@wcvrZW-|q_d^7~!c&|N9|{Oeu)H}_4F`;>Rgj=n<6FL$t1YbM%R-uD&N zD(MA53AqD z8&<#fEUbQi5%)saw`DxdT6S zOvAr+jO|}KMot~mebAq$&HFxT9ENwEN zfdqfS;t7sros~L>y1m<1LaO}T)Bf8dcWyBCcRS0Z5uYYvm5Sk${BMU3SBzJNNEq?2 zeITiLhX4H(rkjY}IzlA1vBB4Y@NV`CE6cHsqIO%WX3fUUn?HH(`~|Zo&YS;pt1rKA zv3PN_q0qsPl<&8(vJv=waIIFS)#$al~26SffRq+kRESbf^@&W20y(WhX6{EW*eol`W+f(Udz^wtVg@zF@hQQNE%T zpI^nY-d7m7YZXg0klnRp`--9Lm8GelXsGB_4K2Uste&=SC;AbUzUQBnUo_A%*-t20 zW~|vyv|x%{(rmwfgj5C8~C8RdIU^@;Fq~ zZ&F13^NiISENYFacut#IV3z!asfle7CM4{$MkH*B+o))%MQqP-Az0mZBzPy#U)oPS zW|KSK{=rv=t$5&ipF>%&%R_9~CILG=$h{Fb1cl!Yod$w$0akN;gWBoG4Hhb$b zR$EJpN;0)2XOpyMY@d3PZNFK*JZP=4Y)FW3?|wZrjan>--M>wm{mRnytwdt++$Pn>y82shQ(C+dtm|3w zyGWI>Lk?PxTw`g{U)GSfUJx77Mdnn^;-r$)wfq($YiJq!L|P?wXn$FqF|pnuvM!A+ z5&5#Zv3E0MGpZ=byKLZ#_SJ2ixEr%-fb*$%V?sWrvrN7yt8H<}l}V%ypnF=h=VkIr zq;eE0CoN&QGC4Wg>0Fsf>Rj2%W|$>1S5_asR_&V1j(n}nHJM83TE)s{T-h~hI!RWE z)pQ-r?~UBG%(*7hH-1&s$_C%K#BE62n0@t{SnQTvgB%hswyf~wkuo~aa`>98zBChT z8%sf!tiGk_noLrcyb*7Ht;oy>4fx8(%;B*o$<# zy{cPTgy&ey!1c1s0k<(Z6Fou2fYP0ln4AgQ?qzpQbfzT|>PGS< z8qf+gawDSY2}n%?`u7_$)U>i{WT{mktIu21vZ&6>bl;&v%H)>oS1|>9;MKu( zOUoP3DvBL^Lv~MNrdc`*A7(X(U^zY#t7mM`R+yIkd{P+vM}@(EQkYWK!VrQPWv9al zNMBgZHGfo{@H>-Pm9@3Fl9d?>^VwFM+5CnNvl>=$-A}rMDp-loNcpqYNst+3tU&~& zWv3$uBygrbKS>(6q{OXSY$be(j~Q1ae2!1qom5wu#y zQ}}qR)j=-Chg-GWO1QjC{PE!ift*b&v(>P10SS$S*22Q#3Me5KTftcxPlEDU{;hw%6^FThw&+w ze(Xj{RhBY?K?K=lr^5*D;`3+6ajk;+SFSwxlk)ICDu0?&pBf(WNq58_bw73B9$YFM zADPvBtFpcz;s8F(YA8WUh0IJHMbzf~5u}uzesm9#{>5NFSn*|ALkSY_5mxiq zRysLqsa46Qftgf}<3qu(Vjx3FjE{}gT&qP^x|WKMl8)dG!Uujg1TYLAVYPsLl#c^r zMVb8LZ4qk{K6C-Xg=OLq1dFSd#rcJw?8%m{{)a$EEGK-(o^VTU-2YMvG0Y<%G41atAJY*qE>*HE*bZKc~vjQRM;pj*ZuVj(`(V2}cx zFTn>55CmE#RkrzN3@t&5%ee%!`uOvhe^MCyM}?0AZS_fE$R8DkST1(F)#{nN) zl^_(KKetbu{pAV-r^`-<5zwmR&$?A>AXMUm#t6ohosJ-wiqF65HmCtNL{4t-2aQiR z-U8gN_|U)*j=;wneznj_v6aq8;bT)y>pzXK0o}j6mI8_b@)l5}fh5VrLhfLX-e9q#fX-qfY7v7>PMsT0~<-vss-uqKXcE0XRj~m zB)c=eIcLs%&%HDE-rXozEbqk_?2$KgSj=DE=VGu&-h6&iN`)3i!D4xj#$b=Uttl3- zUils2q_5DfB$4-84ED%-J;lm9nquXBkYeQ>OR@4kNwM+<4Ud(Vx0s)li;aXI&^lX& z#_?BZLeqGoWmB58b(5M@Eqr5as{GDOxF$u(+ZcoO9{J7Iw)E0?n4gZ&*47bf`TD2$ zuk+IuZmB<}z;~k3n)VJBQHAd_p||v1B6VYsIT^W&A0}4)F$LB}`Bm%jWP@v!nbO>| zWGdzUD9-C7JdmQ~WrM>K2tP4TaP)DPGE$c7YE!DA9a4?@y^P{rT)g>IxGLJpM_`v{h2h%p-X{Mq7aUp zm~6r5AK~BIM5kRot`sifw+`MS!hE(Fo_DN{>36L3`}Qqbtynem{^;7d;4hkSNA zb0E|nGn|=oTi8Xcafvt6)Ix8%^zXoLnf-c}0iSQ>1>n1i(48Ah8BEWa2l#Z4u`*B# z_yUc~yHCYCazX{DIs4MTBV$H;YO?;UNp#-ItM0io1HAN`7clmDf%_dRgU;MSHEeBR zZ+FtyY)b{;yORMK9B?dyydE|{KG|_>fdVhhTdY0s9&!-tRELKmvBj1}x$-CCSrinZ zGYu)c2=kJ6O$E0EVi1=MtV+P|YT)(q+*ylt7Yf9i)dMQfD~|b-g=%n9L4m*QktdM+ z4#(;OzN8u;-{e?wJ_yR?Vm>C$S@!sLppx>Z08(HLAE2g|Cv~ zbFj{1?OG8y6EL}2Ovh)&-$1_%Z^-m7()`mQmMPqi!o9Hel4fwPlgnT{ScwTr;ZgJt zA%Do}-@-OJinD30qYz_NaD(Hz4C*++E4<`ba&6+h{3x4HW68CZH&zDSYzd7e*B;ea z^0iH_$AxE8Ga{~pdmPJvPUyXXzZQ7NX-zrbu>|qMB;ZL;wAbsFZoWMZ;&yzl|7k7f zE4*6DpgrtHAWjNNzSoV3{m9MPn9w7G6qg3sD~?s;k(}-)R}F`J`g(SK*5ibvkPK*x z>{+{P&w9S+Sx@&o4{@vl&;^;RhJU&OTx&JZvkEhy1k)X>3%VUggN`NFvkafUw=sBh zWmLSqRRFIV=TE26^teCbu78I^hwU`r4)|l5!sdAwZKFQ zbQ3%eJ>6>X{chL_YvmCuy%-PI9{AEQ@SnK{$i-2d>2J3AV7He~IR&ojLF}7+scUlH z3C^80xlR~TWU9bnr+-fieH}qaf3WJH8q97?Ix8Cv%bcwTNV~028L`sZeb#ozo6r~g zvJdCMQgYSs%NAwfv5=Mh%W<_?+l+{1>v0CQw=l4=pugF%x?2y)QUOw3cQ+=DrLGd` z)WnMpJCC|=&q2H#k6JwP*7GmdsFxgMx_`Hp5=)dL?v2Ss$Fp^@|gyq7d-WTkz> zMpySYTGahqmw__mE4Bd{PS5Z2tKsl~^!2py&;vayA)lazS@3WoY_cwJa%G?gex|P) z-{4sCRgNWJ<5+S%5X9p{cj_#K4Hf}Q;U345AF#QS>Cb1!Of)W~|9QSK;%dUU6H5V# z_K!82JbVVZSPvVS`f2j6aZPlZ25#nTZs{N>MU_Gqa?dIt$8DLbhFLAr-@wyNOMgvM zUMKt11*@Eb4Bl7Zz@yOQs^QY6fjqq)pC);#@!kS6M)LGmHh7+;` zv*41GUk(puLwQ3cUk;CV^4s8(9IuB@b^LvJCH7+hwHW-Eh_X}I0-sD~_!c}R%Gu_q z&yN#v20K(Mz;LQ!2Tz^I$HAIlYN4U<4ERB2u+~#m;4=79m*I8rtFA(~!d=<9k@VNW zr%PYkEEQlQ5v$!O{VDu@wnQkw7WnfngWtffyDr%Y-_EqM8vY4hK^NMn{|j8E%kW}> zIj9U5V~;oMQvn#^xvLQx23K8%8y0*}G`8K%maS^B5p8Lk5C zj>AH_cuyvO0bbBiPb-%O&C5iPZ**En4#Vd;1H7;j&T!nxr|`5=K268M=4u3{!eg9# zAw1aeD7v7Fi?O;S*63Ok*oRln&3H9@FJodiZLAj2xA#|LKncX(g!yYY`80e0=Fihq zCTH?rz|UcSNXEZ~FLMQW9f1up$u_(I2vPhtL#a1r{)f(sqr2Crlm#6il!u@;`gJhp}95%@}4$foTR@LZR^ z4>ngL@C^JGv!pW{Lwk9*AqdA(2iwdSmO2_EHY z_%?is z;N^5-%#bm|%LHK|_N|5Qmi|~$l;ZXO$cYDt_=3xTWi_nEz~rm|PrzNS2HRvHb2a>D zU_VG-fQLGJoS=jw*&tSnzAa|uj9sAXzb?%4T!J&Wm?h`(cazg81yH=b5)}66%zam-bn$h&%*i3c)|VV>u>z@OYToU zJEgLv$}_uL=Tyd)b;;~+JUFNF@6z(dy_ZzRmo98G-g+H7|39W{2v*dwvV7JKiB#;%Da_L!Jxj2kSd*ig|WiVYPjRuB~% z7HlZkQL*>l1r_DK?*{UHIp_TU`R_gVK9}cNYs^vCTyu^&#+Y+$lPSSP&jl9^FH$t9 zwptJ*K^Y_z5fnn8U>7WcCn{aKxwGCH1t^`~= zaV6r~gKHnIBwPn^9maJ8*D+itaGk`Jit9A4v$)RVx@Zt=>Pu)`!F3habzC}Qt>mNRe~5<2}&Juv^UBQ5a)UXV#$Bgn^EnDoXM+02hlT@$7p4!(nwVi{c)Y)$ZzYrvUP#&UIgMCO zw9BGaEKsO-b`TUsW#?p$$*x@}r1&BsvhmJ_VxZ!5PG(=Z21GPTA1h<}b23-S-L+yN z>Dz31tXAA1wfiVn)rq%C_x(r@8^#~ksdcHwNMueZ@i#kf2}Ng7%|W#BX{+5yo|cpjK=C_n&z4oivpBag%av6=5~HTQQv;J;@>p5iGNd}2^X(+V(y|3=T3{eKA#{rlnnoxxwMg1Qv0XBI)-3-I6Na9 z2*NqU6#$`gLXu1T_+Z{4sgmDr1f$u@zexxZrH!IDWV0aXi>63|>553nfBZ=uzLNy0 zLJ)`wQC(z#NO1En06&#(kOG+hWnz*_Gn7F2F51USuOnp1hRf527@EDf{(Y#(n_Ozxt%znm~W~D?h1Zjc^GPfA}Q66qGw*R+pB9hmV#{p{*A=A}a3=jF=&z zi-dbj4#T;zvK;OwRTtOG$NZ#*4VTsx1z!Fo?E8jD0xwl7(RMgl5_tI+vq`adYh3=t zg?%vNClrE7KhZZS^FAstDRMidWb^)?&4UyM6{$*Bbnc&1A}t}~>tD(6Q~l@J@oD@Q zvy(qLU;NwbjE9lD*@?)tH$)cwrJyo-vvVE9zh@^F$ltSb90+Ge?%^-Ft2XB(jF2c{ z)wba*{UTAiVWRHA~5o7$sk_ zN`K_Ore5^ReNA{1{}L^NP;vGD{59+R&t6mhV3S(={KRjtbRYfl({UC14UrZB@z-$` z`wf+L08#7UCnM~6e&RR6Ct=moPyBxI>DqPl6Tgu@j#I~F?Dwlr*R8`c-e;Fe+UX~L zfA}QeCwpMmkS1r@r9p<52|zTF6C^>@80Fy(sfo(BkTo$0dmYjrlDIFSnp6&Dj;pwfD~AB=!9%3JXFiLjHf}@c+@v;jU8s zJa=W}m(;%4@V{~SvbjE=>*(L(;rWgpj`rVT^wj%rUziA$E^A0J2yb) z_b@jqg2!Bfyv|JTm-|{}V9hUgE*hbk7bpFg^riaMNKse*hwtPD$lg@T4Uo+Lez|_b z{7=7;!)pF3a-a(MSL8tO{#WGK2gDaS#9IG~9F+Jwa!~j0$U)BEk%PLv$kC?Ozaj@E z5IGJ3AaYEp^&cb0_HU$bq@ZXC9TF5q+;dZROIzNm%iZS*_rJzQaQ8okR`#na74PhG zhuo~)56}4L@G5e%wwH{5WNigUT2Y82{dXE-KJnF5zLhC^>q-t|ZVk0GSAU2cT~{hm zG)^t3xtkQDj0k4xT|ld%`-18o`YcY}t(NcCMbf#Tmh07%?A{yTV-pAQijdNswnC_V zB{XLgh4wvgTLMu(L*sik-l5TljSpy`-VuzFMo|BO##KDdB(@bRo5XfvWkKx^`a}v? z(O_RhAP`X0YgWP}wwKiV1*5wpc(Xva=kmlVg1TUyp1K$s3qd!RkhAJZm6Vm|2tsAK ze0`}+4TI_Lsn~AMor-#SQ&ATgcJ^Kj+<#e8$unC>#ed?g_#%VuZU&oZBBYa+LNw2$qRx7|>{e*SCsoC3TQsngW^!G}A&fFm8frI~p`<*{hMSH$Z^R(8%u_ zNDZV18o5qGsj}!V4{sf7Zxb8cG4;H*!it zX}tJ@+@=w92K<}OZ$6zr{-t9XrIo`QNp9VINU;a*7_GdmkyK1Nr0pC`cWsJZz2^T%xof+ z^+xFgbqNfWxLvyHF9=2NVwS(iCl-DQETVv;kO=HmXOyxT|7n`2z!(zv4LZZbBS!hz8F{MI`CH65q(BT1vIV z4|1QDQbjRap3_n)CrL(me@m&T+0U4-vJO+{ua#f6lx*MV|E8WK)e4jmR#2_ybJEM8 zX$Q^hd)9l31OTlC5&vRxy;jnY+f`W9zYVRYK?3QUsNJ@IS7NW3XtbVRiga4jWnQuZ-_9?i9xh9gH_^cVUULjgpri1$x)&jF7qK;B8G!*JF&Z~n3%R+feJE@?!Qa;sA zDk8?o&)Z3b#Qn0NJ%}mtm+cWx(&gsBs(yf(?_lO1oUr)S(r5u=@(8WTzOzBrxuAZk*^FJv} z5IilxoCIs0_IaAN9(m%qw&md+5a0&OlRHSgn~VdCgYz)o(qN@fMDWZ6Ih-~&=J73O z&{zbD8y90VlVLlhVu(-tnq0A?6q)OLZ9TcZ*E!GkZnecMCI7wP6ivXFD&`#~{CBl< z-r5_8Is50@Q`Uovi{;!H3j63ZgAc!*bxb;9{GE8|L<>4u3*0- z1`fuvasv!ckCeXvz0bxdG}vk77<0$mUMt6e#9k}MqhVts6pb(V9)7QBtl<>kcA#RE z&&EukWhgfXjUYDWqk#iJLAg-=?R#lL-kTN3eX|95Z&szFAROVF{Wp^%{h!CI|1eL! z(4zP~R{p)S6x1sUopSqneFJxOG*BiJbqpGf(GXNQoTWZ-z)Se#D&rM2ZW4cDQ>oaE z#h~2{emkyLkaS$kqzd{JS=U7>S%`tnbd0%vZaM^MSBz3E!mNrbGUQfWq;ldDc}y3n zK!F!v|3bdik0Hy}kus;dqM0Rc?t&m^mM?aZN}B_50G^w0zl5~9f^7Uj8ksw!Rq}>3 zJ#R=4brOU=NB;-TqGBH^SNi*j!RU>$Do}=P!LK??mO9me2jupMWF@L5HBN=#(d(-U z!g@KNt5m3p?*w2_;oK>Ik=MUV-wDEN_V2%(a_&Nk$YEV2W4V7TOC&vvNI?@|KkuYH zZ(+_O1zQpMP*-V;I7F`74N3{F_y zO|nTyC-FUSa=K7X?;#Z&ybyBiuvlIyuodla#PS)2h-SZpu01m)=S>s6PfH6`C3n8va#~Vo>HlRv5*Xe{i2QF zLDyjze{ODEn2G|UK)izI6bR1a7MzX-pWM+WhG$Abf%$n%!TlO$W8_)A zC3nB=AhNH5+ki@dU(ibM`K?8KQWZP_pk3ti)4;gLCtUv9NNMo+<;_a@c47wQgFt&r z`Db9f^qH*>Qnqa9Be|UtzTNSq*>81aJhCfz<>Xp@q@s=>@Uo!*o>Gy+s3a8B$|L$n zHZee+)JLi$#wBd;BaO$&@1K%G?ln*AAs6XOV<{Naye{{N*2{?8ZSyG2r&fOi9IijAIG6as|K*!-cw5Y_;82F%~i1<9u;NRA%8o8&(!e`}S$ zqoOST=&O=&_is7ws@C{8xwn=+{_LjtcJenkbDEmF0k~@I;HESHoO1eopAP2~f`it*3SWbe1?lVf4Tm`*+6NFla z+UFDU3y=JA0!qF=T9bry6b!kF`8j`zTzL`^FFF`6Iv6iH7%w^&){XDCCv4Nf zc)`Eg@usGO@uGwIoD47s7yT;#L2T2%c+rpY>GYX5xbhz$r%jUzdbxe&Mc>GmWSc(1 zi$21O8$DihjeI$_#W%hzT|waQhxN_&46PqZj*LrO?>d-xO?_l*0XJ-uvS> z4PdvTf(1X3Pznu3P)%6Dwor@Q`Ljsb`W5dBu{M-~D}nTNM&1JtQ1S?l^OVB$L~@7U zr?plE*nV7=^vQ`{g60FsI5e8=gZTD>LB@teDLlh5yi(|Sp6#y7sa78g9K#;P2VC-X zy7W9!IyK@w_8jlCWZsijQ}>pN_kD{=nHIzQ!Yb5lJC^iO2P7}RljUS|Jw#IJ{bUz@ z#D`_gCB?Oy+$HIxZ(73kn@rL_Z>NkBUw4(l(A8{zH-+uF<$2%i8?(&GZ1?a{vfHx# ztO9jcJf!^hSPx#P;VXwy_~txGM~1Q8?G_(4vN;vHf5o=CAlrjW@ZKA_Ln*jtke)J| z^0pt@b`9fwZGTbWn(HFv_>gjBiNqfZ9>pq|8evn$W-lQ*E z#CE^Cya$f(tzETmNp!={lAyea=Em!2NH>_2b|z9f`&_TW1yc6-Vn(IuY)_fbyVB?9 z$9xNNNj*Ns-i7xugLv=UjTWY$ro{{R?6e(2`{5r%`hU^#L`wS}*{e8(RO6@+hA&)7 z;cHYJ7%pGvC{~n`!RttW@grqsJ|(wqS>7A<M;i zG{U`mSKd`!C}XWg({+-`t>Saijy`Yj3#UwdUsRa4jWQ41l#z$C-ESt_DW}^tzJrrF^;0>|4Minvr|<9qD&{fylOm zl2?6UdyH>fU;1<#4)?|XDu0qa;QU$mP(VHj_o%0=1oGU|9`*TNi=pVPb!AcG4n17m0sSLL6j58xgy z9zmk_J>`o&FF|Pf5>=V9WoSd>r{er7 z(94n*?*%Jb5scy~x7XgDU|0IR6z*Sj_j0m=8p2>Mb4nVNN{?s{YK3War~MZH$$dPMh++)H%!32%TA`XzEvvVK6ukv=nfsU1NY(E84!QDhVfF4Dz_N- zKNMWmVrY;r*CbREVHiiUFlheYr6yv`JP#9Y^6fgM6ElPNx81miv z`Q!f6vC(--e$AN){12e8Q>v1)prk&n2SqcoSl&bytw9F}+>(v=4;EdBk;)Kp7u>;r zlGuR-bEtS>H+~R0S*&>)yeVR|71$y%0rR1Z5?g12_{u9D>IBg&u@Z~uV5#_3V3VYb zAYkEAY!*O-RDr&|P}=wcymiuJ1@w1G1Cbbfb7G1^pOr<2ea5{a3OCG2a2WJ@?yd#- zaq+D{Ss=Q$fV5F;KNht8;>kzo<^{1%NvuDg5pD85h?zeug6)7W3qZE$78Rzt`nqP2 ztg(jon*7}1U#ovMe)RJVe;njryA4V>|2nNI!f4$n6?E$@s&GU9KaH> zNBk(~^82SkrgB6T(5r-SoNJ89 z0=M>ocyR0P;g~lx)0dj79gAzqN`>i-10S>r&E zI+R}x_FQpM5g-xbrV-%G6CbcjTQ6>8Lfs%{tpXM++KPe~FTOYiY`b`YPLLo*-UUb! ztA;}T0RDLfcn8JF%%Ug6QSl(Ah;yPqJSpzxF7KjOEVBX3bn*C4P`o5!7bz(3i(m19 z4@CP!$UGEVzXfxLL^?Q zxdmXmlyn$G?+&TOL7+RO9xUY&r4eTUc1!!81MHQI2&l?^(&MinazHxQ1mK_)Q1RPmu=FnNCTm-2qai3-!S}Eg4Hf@{E*O8Q3|m z^yMC)=cTW7(6}f~JOpaGbg&eNm!)sGJ-s6Be+KNDbZr`V*QFyf0d7hIkAQefy7L;? z9qDQiz+Gu_RVdt-iY0^hK>A}UY(A2HNCbE+ZDDEgOghEv_*{x4cqw^RXTf|WrB(y_ zMyhZI*js61Q-Ca~H<$N&DZVunKT5%jEZNe>lE8AL>vXta#g1o?oUd>(A<2pj4MB`l zq+J16sQ4C#A<8I4^KKAXtZ4rh#HETWtAQ<3EdLf(Rwztf52z~@hQ1J8t+0&*bB!YE z9hmDCx;o%(P!ygA-bTf5qX0H3?x_K`C|V&1Dz_@kogjHwQI~a5vSJC#!Xt`eTOe{& z@!SpdV~X0bAf8YZVC|8jD6<;8lZvJVq3}S_E|vA)Lq$BJ%p*m|k9i^eg>=#nl!r=# z3;b99)20Kw_K)8L8*lu#vo6c@uU8HtS^kPP0Pp>kpYWKE{>p)n%=RB|g~%uWdvgFj z`yb>RSJp#C#kxzTnklQX8kZ(wYmq0 zQ&ibcK%A<&v=$&tWxNIAG}Zad0Mk_)ZLl&!wS%E^mZ~ggGhB5i9bmTV`;AbTqZ;%I z*j&}U7QiA@#4MehK%OjVcV&T>_aI1r;%v)@Bug{miO@>Qx8jD9hyqFf-WRVxCautv3y z)yP_ve@Cv6^{Vx($u_7AEEHl@w<)txrMU{lO{(-C05+@6aLc$$wK)zTQFZDeh`Uvt zrb2R$s?H7&_o{latlFmt?u`&3odXJBb67fKuDX_Z+Ia7Oi( zE`3&&Jqg%3mAW&Ya9)+@MRljVpo*Y_UsNS?xYAV>I)Zpfb$B?4S5!T?$;nU+D+S(F z)o)4QT~n1{pRTJuegN@?DqlyrbI28tks>A`6&%s<+&x z+*b`jIi!53I#7b1{YW*Nq4cq8#YKQ8s#4Wp=Berv%e7}J#Z!Pu>PFl`gsKa23MZ>O zJ_DGdt`Y$-Ref_b^upA?(N|}vBRNjt>Y}y5o2}l(#5G5qIvQZEdL3*22z6(M$a!k@ z5PWu1E>LGN#x7DHnFA1|HsLFzahGB9wyFbJQ*KjtU|NY&&u6@kS4VOawq5-TE9(UH*{uLO z)D{sUJJtT5fbCLOtOvPC#v7uAhs zLOoqQ{0$_ps2{S3$WZTE0^)Ub4G+K#b=|p;ys4fs3E-AjU4rj)Tdif>zN6mClJ>58 z`%r*;>a9GixUa5(?|aGz>Sr>*Lv_n&5Fe?NxCMEvHvR_nC+dfM?WgL>y+M4Yo_Yw_ zb9H-egkGq}Fh9Li7ySv?8}&qATvShEf3wuy9y(Cpt6LWZ8lvg-7GS*Qn=e3|pjpX~ zG*MHVCFUf}5EHOaO;iBDWK9OM))YF^e^#*a&Ffu{30V3C>!tY{W$>eYwFB8``w ziPD^4&9+!mb}WcXG|hhnSgHx);4RavvjHsE?1}`4);wfFU7@Kf0j$(ial+;*jgwuA z(Omfs#5J0u3sKoB*J=vx0(G6{Z6#pqHKY8YAFC;=2XUjO=L?8#($s7Q-eyfNe-O86 zR>c5|)7W1D#B1V%p|D-E6Z=!;PK`Pd#9f-7l+a7mTwoN~t@*Mauzi}>KR|N7=DTk| zOwz3TL4oymK+}_E4r*(IIF46M1D?F>?y!`&9pKgUeL^2z@2Tn zX6SopT+*}{3^N&;&~SjOnzuB1O|x+_M6YYsG11=8jO2dzrsm8}fV-OQHNd;4sk{KZ z`!s=Da!5Jd(EW=;C;}9F}ZxwM6Ln&tXWCP9L?y5uokQx_Yfi>TKww{_^Nie z8+wzpS=GS{)%tw^n5zAbLlUN4!@_c!_F!>f)3qJ$LvNN>d*Ce4aP6MGV9wTdehD*k zv`G$N^RyQj-sfwl>;xujcl{2qKpS5WijmqoERmzMmbDOBtPPO?mT3K1{w&vSVSl5w z4QoSkh1SCwa;0`9$6~cMo(pG*J^*B$!cYtHk7q*thQ)9U>mjP??7ggc5Y!{ zo3+u*HQTf?ivZ%Z+1xzDYybKRB0IE$`S_h$sJ7G zwBZ{dc~|?IIpvXEez@tt;8=}Pqo!< zLG*>T+GK#2+5%l5`bw)V4$;@z@_fV_ZLP~7zSVx<4(*+`Za?T{YH!5=%hG0k4e(y; zmjv)Zd-nx+AGMB~0NL8+%t@cLg{A>~*4iHdgy{O<~Un4xnf***p zbn5=V=IB~B0dKC(!x@Os4dc7a(@i|ZllS?$wB4Y}Iy;Zb7U*5+GLBED>O%?lh~hO}em;5ZSCN z&xEr@SK=DXY}NhBx8J6l$#dH{UF!k>@w#F>N87HOyAuitI`3jg?$CMhn;GR!-L_T0 zcIo;Qhe)Ds^?P8J-MV#U0QcyM3<21yn;1q}Mc3wBY$W?Jc*W(yuF6v_C`myNKIj+7dI&CQsGjtVB z16hG zm97a#_O&jDY445h2(#W>UG+8q?{tIH0Wx)SN<$$_*Oo=(d);8h-w(QEH?WVoz&!xj zx`H8){G^-0@%^lON@vN@RU86hu)cK!OW6>;;tare{qb+XoS;{*`xEt*uR&&#K9UX* zs&_MTPSw}td0m+P^-@Sq)7PTsPuFKY12#k7_%Xmt{j743oTVSe!4KE>V}hHlFVCIX z9R22Fz~<_2TmTlKFZ3gq$~^sD3qjSf4W`Tb4WMGl{;OpQm)R$#$Sfszh zfFGr=!dhdozUeq%OY~lTJFLp`XXw84ft{*`zN&5MZ;uDc9x} z{ZlT0t@^(A@W5^QbQThE`s^A|h}Son1h8G-*a67|{qK7rxkLYyt6``9$qq>F(%0r< z_9p6GQIOfKx7~%z9{n4pn7#T$Cf|Mfnk;Vi>mM;bCh6TZK|G+J`vl;ie$s1zL;9}e zVCJxXWKD=9>($(|9?`F5J#bXNxjMix{Y$2g<9cHNL{91#O@hcNy=f`1RImOW(@2_r z703CszD5SX8GQzq%vpU_1QgHd8*xa_>l^F?@q+$oJQObK>(vD@T|ba<`I3G=SH)$0 zgOVU#(SIHWy$t>9w*Xi58BL*ZO`pVUdtG0w7_b}q_*>xJ)b~A?0`!)C6YKKZ`r5Cc ze@E|H2GP6vN>f3+rys;%eP7@IKEMNg-#*|y)W2N--Xr}!*7}e2eJ6nUM875(BG2_L zl(6zbKZNHQFZD;{%3H9|do2R?R^J1GQTa}v!Z?+w|G)r~r4OXXzt=x_1d$JVJLAkp zeM=Iv_2pPO*gn#0n9M;cnso9!yrbx z*@m$rAvwpef(KM{4OLeFi!g+6h0ZgKzXCAdaFf$38`8O?7a02R&@|G(|K@{op<(R^ zFW@3Woh49=GSuZRYO&!N*TE9QpBuniYB+Nb*fPWOwh&ovsIV6z(S|?Lz*}KRXGULX z_!`Bha+M*g5qL3%wjaP-ZP?lk#5IOgC5UScOZ33j8P3_kTW{FI4e$m-(|xR&V+{wE z!}dnQP)4*(hQTYKvDwgv2W#65-8lGhh8iqd;|&2N!P{A$A%9 zKZCc+pl8-jGz4+=?>4mOdfj7ic89UOhDp~UxzF&L%V59Z_P5N5Ne1n8h#oKu(?I5+ zVeckogTb$h{dn&C8eU8fB{c7Vtk!_I2pdCwbuW=_3exD*2FMT44^VY*@a zVPKaGrDs9rvH?p3>&KAB9a4tjx0VpOYPh@|#A}8kGO+80egiO*lk$A*~< zP`3r~nE^j0#cDFN*#_{!u(JYqFAez7J#v#_29H)>8?0OhZwza=G&2pa zxv9u9*ceLQ8xYp8nhdHhLHua&9xDZyZ3z1b;FIAG);ymLUDrb~$8b>v5NtHCxC=2} zSq(7W7+wQrCK!ux|1i;bhf!;i(M*LmL?jXz{TeTK2EmwU09#sdaWXBp#fgBoreYXz8XEYS&Ij`1@GVXiS_1B^x(3;X~u z&sgdNi1Ur-7-b`kkD5b$p)s-(c#Di>Mgxm7c8>wJ*qFKkV2LrFh4oV7_dL*9W{l_Q z!*Zi@60>)-(f%vY6-I3rFjpEkaK)`M-asBw#u$4uSg$swvP4{C?97ABwZ@F$&|7D0 z#G|?O#y{!-+hE+rLN(T?c?Yo3c&9mtn~XPxLVdHb_HGcj7?YR5%2wknZX>oCw-}jd zsZ|FGY%~b zu-_O!Cr>iwZ~+`JevW|1LE{?+gG0vm+yosq7UuF#Hr8f*J7U~ekG}>vY8=U6eav{c zI>2#bZSFQt7>iqACdHUA0HP<2qn-ksGOiN=QjL2#_i4tCgCKd@*f0r3&lp300r9L+ z+Y!Wb#`|NTe%`o-uYJLIl)KD}#s(fprW;G7K;)9KcLQMF%f?OfA#>IEW+A{eW78H8 zy>5Iu6f!rAedhzaX{#lLuXn=dhIX^?;zR}3He_*W0 z1Cocvy&ph)WK3BJ;$vgcd$97vSamIUPmKjm0X*{>C$j{4ZY-Gr(HF+6NdPa6g?SqH z%J_(d<7?wL4BBsuOX5I$Yg{0J_|ABuBCKT^zhef-GCpRd_}$$Qxk|r znHIi=$YN6^?o^hTV$T39Hx*d~VzlYgK1i-Gl{y7%r742Ze3j`m4}e z{u2SVnr<_WZ!;aa2@q#0c^_8dP4&4@wwtWXa0#ZP%pyBXhdH@BO|2Owc9|0BDv73~ zO#r)1XDv*EdrYUe&)aJn#uLzerbx!T{idZH=YyuDg#Zqj23Ll)!=~i+z>-Z38HbOU zbfW-{nxbO?j+vZgpnlwR`Zd%~m}>O|NHNvt=cJRSW;*~*nKV5inQH3z5Rz%8W^)8A z!qcWA=OB8h2KK=8`*UCqO~al5JTeXB(aU2~Au2vGwPuigYU;&Z)HBo1i~-M0OZ!6d zg(>45Bww1guZ83*)0BE3zBXk~1@^}D#W{etrmKHI;ho9NV3S$jWME3oGClkr=zG&# zE`SfF*-Y#oP47AQ*`{%)06v)vtW`dn7N5ThJ%_|rRmYJ`GLVdZpCUZly`NCJ=tuPla2+5UZ)k%n~ zGN06e7h{f#23TvpJ{e%0ISAE>a=p3RVdk<8=J^cuvF5QXoHm-nnm}=r`MU^!&E~_L z`z_|nEN-@%|Kx(*X0C7+AkO?ndnm-4%YTC8cJrU{ASRgi(*t&xOT7WM(_G{vM0S}g z*+EP+PZ|m0ZgXKy{T}neA^c^_UUO0jQ1_XSdqLfA4#@$QWIk;IIACrT1jU2q1| zY38m=0ZyCGVwkQDN^z}#PYf6YdHCN!uD z_R{?0Ie=H@AO|F0o3BrSu{Y*Go&vlzciITzJM(z1&`k4*^1!mpZFT{BZyv`(j1T6i zHh_=j!M$N6+uW7m^^A5y@V04~kL>4sWTV}8lmo1f;9Tr$pS;s_LQdsINv=kl=#YL7G z^!zAGku(q&Tb?sDF0p)`3$WBO;0bukEZge?EVqn03=nM@cotSxSPq5&TWOIPk5^fC z@U3Gkv%Fl?t1UlrhqcDCmxbb5%O3V=9i9Zm^_JR?z}sNSjs%FceBc7uXvrQ1ku8?- zEJwCl4$(=sSyn8Bu{g`m=Yhpr-m;w8ZW+d)m0+p&D-?EE0-5@ES~{?t-(_iZguA~) zOJg2e@3xd|59%IE2NrXCEn~-lxX*He=R*4}QT2f(S@g_w2P~zyRX=DsHVN1v%facu z4qJY&1uWTe|2QO%SoXF7@u;QCCxBy?lb2!TxTTi}aKbXPAwY_y!(O2x7Ldimz4j?f z7v}O*%Z_<4lV-V60y1YUEzdyzoJDm7*m+B){Bl1$ce)WGmn>;?%gdG#be1cY%|=LO zSZ<0SUbWOO3*t3PnWG?Hw;bU(-LNDV2e@fj)&s_FS%zK!xNUjtE88s14nX0qrDu=1(Vb-vEkep`KaZ5Jc8rulO8P)` z1#yvefN%X+leni@Z0*1xzrHzGw-k~QYS?yy14p;{l+2IH0zF?0H>|TWN-iHF!U7oj-bcDyY#CfGu&0-I>t`xY9LZO4Cv z$P`;A)?rg^3s(b#*-{v_rr9EVMV4(4bK(r!a~7&IZBeW*X4!t~3(0WX9l7UWsf>3Y zKQAw}J?aMDGTYn9FumN?i%T@xR`3LfD{LKD$*;6c`2yG~Thwrf#Mnxlg2-xHGGqN3 z+f4<)THD#_;H|T1xZc;>BK85>U~4@LSgdUcJF(Fg#Dulk)}RV_TWl}A3{YEbMc;tA z&6d0wSe(sX7Bca+$%7%Y-PWB^Ho+Fd-N6o9Z=PcBv}NW%a+fXY4tR;Si+=#yZL{

Bs$VM?;SVzF_+R*lu?aof(5j58-~tC&91Y|){>PTN{B zmYlJb7zM?%w)VdOoU@Hg061@3)ePW*Z8`n_qODg)C|tIs?*+JOYsHtiXWPZGxNrN4 zEA4?TG#%JO+c71uN4B2*AoAGOJsiX*w&sQT!sbUc zuWdamf%wLDow@j}?Ps2azq9RR0?4%a^PRG6y;#A#w+#samThZS1tOnpkBY;}XWQ=1 zAm-SjIfcRY`b@VW_HCS>@%Dk(F?*F0?0(!FO|*wT0hnwLT?xf0_IK?eI@P{vDX=hm z>NxP`*yjcVn`{4zWp;$U>2?t3+x;Sd$@bHH(FOLbvjCCy2T!5C$i9)4UX*S=1$X?O~4rci11qLUfniIvH4^eJmfb*S>o&H1^pS(n}85YZ`zZwuhO39koB@ z`TPlcL4W9-vNyjEVyZnU8z9~8&lP&ve)=cyuGo7pfM?k6HwEviy)6sIYj*n$@UGjv zVJro1*fX91+_aZUgy=2%lb*nC+rMZ4Gk5H69uVHOkDd$SJ^R)y5bxV(GVMOFU*v2* zv=`%^?2*0lNMKLx<2M6)W=}o}k(YL5Rba2|jrv3HwH^Kof3nZr1L9lzLoY}Do!$Ko z)J*#=7Mxl3DhzY)?QPb8_rac42xdOn4~KyG+1||t#T@%q`dqN%%v*q|j;-Ax8Rm$t z1<7d+4|Cgehh`smGaLatW18vkTLJZ14ik4w;f{kX!JF-{x8umpaU9ozIoFZ?BSa${ zE159oIXbfxpYK@o97Ngio~7CX$B)Osi*z*Na#-jX@Bm4%oy3A3S#q)Bm_4<@(#<`2Hf$osP~=0d_f5XP}?xD9!!&Zbwu+usx1L ztH9gqh-EO?=kPxZY`;Ud79hznizi+O9NUxdq{EIg%bSu2 z{+Z)8-}AZGQ8Eqig(KPwqc0st!l3cWvAP>%UOURM0(#@PoB{CG5#}o@9W7Z4XF6iX z0Ax9umxB6xhiN4AJ~&Ri0{G~7-WlrIj`BqTJ~{4`0`aqBW_^Gh$Biq{3wAzP1}wzs zRlkD%cxP3fqEB#6o(}3nXDIinlbnGc079M3e*$l^vmp0vQ=C7Q1eoe9Q472hF&gIF#!ku4#1UB0_#t$NMoT}U|K!ZFg>oYodP`*sC!k<-8o9p&u)1+c}=LK7ge#QBz$+fwIIp64!e z$_!V_ox1~oMLU-rg2)Qz)N&xMbnd$gu*xa#05Qht#}lyC&Uz)e{?<6tSof}VPT`QQ zbCxLyu-=)m6TA)1Nvw-DId`+_-t63P6}&CZupD4poii#!Z<}*=Nq{(~JP;t>d6`EQ z+nvUZ5J_-47%z4>uQJT-bbifU>n>*;w|0rn4}*DdvD^9MF;Mq7*SG-oI>RCX_Bp@e za@g;DTMr<~`4iL10cR`=jDyaBGDHqJCvwz}IE(iNcGUT4BzVW14aqz1l=cHV;cUc| zlj78V066J9)*lL|oEPgtB-L4K73=IY=g~ibo_5+Y0M0mVtUAs*L#+VkoQ*F4oOiC& zfp@|AhUNA}=XF;5>CWdt0GFKexjne-Y!?FTinHT45Xo?+M}T5N|r` z@N?!ZXB}?)Zaamd0Ny)JV|T#2&Q;vf-gDNbf82L=c@N?Pr|uE3ht9#jL;sP}{urfo9ldb|+A$rO+;Rf_mU0#3i&bZbxQ=WIFe+`jzR}ACaRaf`v0M}fL0w8kJWt|0u zTbL1myRJAo;yqXE=MZ`5I#M3QN3P$QRi3&=r}87%Ggmf`YhStsF=xDTMYH=KTqEaz z_|esK2SBzfhD-F5>l}mMXIHoN06DJOOuxbIE!@3^xW8gr81Mdx`?d-0AF6{l(Y=_l zcapm$lX$3maeELayGwilg(>bON*)|eb&u)|YM485Jw&IuCk8@vy1ViQU^Cns*8miz2fVBzliKR{%*`|MSind7d{f_1LD-vaO=++%wHo9F&%6R`R2S@b#CU1m41 z1@7hNU?tMsokuzg-QE4b^Dc6C=?^r@oxZCnZBa(4pH zCZpX$<3U{E?okHVO80vvw^eRCmw1f(8Evn2UlU+#jeGxcU~Ap=DnosPd%`ggW8Ez| zO&i_!Yd~+4*F9?h(9P~XT&i2#zjAGFb(bmt#cl4fJY~vr32I4OF>}9|b-I+`hd)@n+LSdh~0$1aH_jWp2lKb*$h#YYLIujxX z-CiL9)I;w2+=m@@4-1B9vim?Xz!7)J_mDa2zQ@nx$J{+nf_U6rNCE7GyUa@{q_|IW zwokfu@b^lm+@F32G1dJwE95kHatDCZ?%&4&oN+7Y31{68YXUpx4q)s&?>2gO172_& z>Btw|DO{ZC?nhMtF1b}bAbQ!YT@LJuyE3bX4EM}7P`K(&V~KjrJ&s+w?!M18a>Kot zes$B`e;>dtcREA=ZMT}kb;rFf62!aiyM2M(bC082-FMF|?1h;JZs%`6AG)s|0eIw| zGX~(XyW2c~C+_hx0iL=;xz&5&?z;rwrF#tBz1@!TpJa<45X$9gW&!3Heg?cm$?~^^|dLT~m{4yP2s^`-l z5W_rgz5+JQb9@GDPWPIyK8PlF2RHv;Q5yY|nVQ&>T-d9VpKA zlqw5igy%T-F7rG?#sZt~dHVy*$euym$}jNr-UKYtqu&Rx&@-<&L>75Uu(XZxjQs}0 z#hyZ)fGzRVVOm(~>C0^9jrNQj4s?a*)j-Is^ax||h*h4KJlv1*Eas+VwWr-8h_3OJ zXAE5H(et_MJpC2}toO8LwX(qzm7mKVwdL=Gkl`w47VV= zJ@`-Sp=j}>O@riK&!hq1?eoO(``3QY9)4&@@`Nx1AMjM+?)RYQFcl7YG(1K*?0L@f zxMYvl^AIveJY6~IM?G^FgL=$UCmi6o=Pe2p8ZT=P`<3&iW5_Maef!_#v!z)epISL!X#n-vhb?Fs%2;yuss z*06TplgI$|z;lHO^P#6MJ>ik(dLqDMPq|EhC!SVZe@{K*D?sF#=kt8#zvrH;V4yEN zp+~@c={eg7;FYJtQxIQ!%CW<5JR_OF-g?TM2QSN0m{Iz@XBCZp@QAE$K6=(Sf@HR* z=eGc#JpGISpFOKtLFIV9-vWi;fXPJwLIQUCgE&6mQX|%%69V>~20AgI6n|kjDImN& zWI_Y(FbYf#_>*VmQvwRr05&yX3FBi}z;c=N9aOOLRE)3Yk z!gEo;0XINYz$CuY;()5Go0kNP<{&N&c*VrGETEhX3b??jhzWRJ8j`C6MhMVb6R@H!Fz?!c9MiJA z+Z=FUFNj+L&dde2H6Zi`c-sPo(e>g2;z|HZ2sp`2|BiqiEW&pNbUy{+u7EyeppY1F zqBy|rfS1fFdjgbCfb9*iBmhhD23(`Yfq?&uz4w5MD%;jZS!-2Mm}48L9MNu5t8Lac z=Y(0?*oJP~oNa9_P*6-{D8PUUf*6P@iiiP>sDPq^0tzZ(1`}rAH$k+!&$)NJd+vGX zjW^!!7`4AW4*tIK61w=PG0=wwfZyQf)UBL6&Aab{3eIY-@f4l`FOnQo+1x z+xj7x*KEx*si4ZRt=kI1OxrPAL0z}4*9tl}YUTw z+c0xWkk4#`4&rW}+lEX6ys&+}5r!{qd!~VUWxKN`RElj2tAl!NE0i#NV|$tQ;osU? z=$YQx`p|@bZyVPY@WIxz6PO=uk5WqiWE)RY+d(d+;?7YX+XLVv4>ZBtS-v^R3|u9z zx)3_6<%a1Hy2ux72dt4lqpD-Ad~gb=b@B=8aryOfw3C;0buTukEPmnw_HM@e~-|{X3AU8c)l*5Mj`lyyccbb zT1C|~Y=0Ps{km3G7mOObpY&5>vFXm5MsGp|VQxu@+#B;#XR0tyQc!1vpq&_!@P6;diNycGT2KzS<~68I=KQ$6OVs7trMRdMJ8EVe1)=s~wD z-rWNgqT(liU#EKq@vCeGw_rmoo2^r z#dC6VM&Wt`7H1Ws$KX!SDIQWICMyDuKz3fy#tE{E3b`w&6h#s>UaG>6YWOq-qY!#o zu|E&8bj5Z``&Sg}euKePMN7)b*Az{UKr>U(V?Q8E@y#`=KeH9}LLtmi^sfl+ErqcX zEb1O4w zn_zBH*6{!YDxKpY+iF%$qVTazS#K5~Nck)ts=>+wM!*i`@&V8ZQ68b87pj~r2Xm*= zL?vpNa=jePT}l@U6uXt3Xcf9wd1o>pT^_vQHM62}_QdPX(gxhc}6+a3bM1xCg$D{ zo>RIW2bruqGaJ--WrK@=3rh22z(r*g1-KODU`kb~%5tCntj&Y@*SrgAc6!|TdH4uBiVUvEP*M>&+zZmx3iWg0?xN;?_?x0OAc zL3l^`l63AWo6u4|Ul}zWa8G%MCRc$HKemmLue9j~c%W=YL*SwE{7S$hWgpsCf2>TQ zdwQb0OM~sHvak`Dg~|*ndWw{BI>??W_fc!VRCYQ+`R|o7;T^ce%JLMgUn`62!s3mx z(pJD*<+kO3cgpD5PLoxq@vhNw#e^efq!QhkfkHMf;sIFFmY^CZLCDT=^Yxa<> zR=KSM(?ykM1!|2dk(y+!D*by<>r_+g())+?s^Z7c*`T^!4{lslss5lmRLP40o~rfl z0Y0h`RDAfVB9Ft~qH>9Yrl0C#CMbW^A{t%+s&^-#vQdSsfDEr{`z>UfRTZaz+M;@w z3|XM+7KQ$;D*Zdiwy6~3ATw`Qsi}X1RFU-|3|2Kygtr|kBfTUDQH9fB4O692%Gsql zoDJBm+LZy7y{hhXYvC%{ZBP*^XCF{es=k!?qE%)Cs2EiKhetng17tIDkpIH+1q zeSb*x11;zen^mz;W~sTMe)T1NXuiK_gcAxl!7y$R+? zl|Sw6oKmgY0K3zwUQ{leQT1C0m9wh;R05q>4X5FJQPrNhDMj@|S3s&tH6NO3s<-r= zrAsRF{O>*@k?IGkmL98GQUZFSTBn2RQ&sXjs1~YXWT2j_df7qtLUkY-)JxUiYmmKC=?{Tf zta?nd__b09^LLJ@= zuu|RL1>mIii32#R{UV^UO6__aZd}#-$c>vionC~wtGm#w@KE=sS>>so+#V`k>e1Bc z-s+uHP5P)GH3rjH{fQPN7WHg9F#Xhy#W3(!I|kDCj|0^7TkFz|>JMr>#U`~4-S=ko zf{%bL>NRwwKsBdvwN?Gf1+YzB@mnyrs~1se9i)z03cFzS<6SV=p|05o5Tb7O91yCG zR={AVI;uNVcB`F@p!TS{(4^d}cEgav4@amU1VR|0zD-4Zq&i#*h*CRQLp56c8*O~X zs29zEw|(l_)Xw|Wk8%MA)JgQpI#zvM1vscKdu3u-S^e`M$j+;q{|4Cw_2Fl5c2T{7ZaYQoVE~n?p0)&3n)>v2P`RYG zr<{CQ-GD0kbhCN}6$4k)S(MYSsw;d8xTYRNot~i%u!iqUb%-07*VRpOK;2NM_&}DW z-rWw&Y<1onFmu%7Rs(LT&(J1Co_cLxsN7b&`Qf_x>JbegyQjXM2dY5b=LO)tI+6fbHy1^Dh>?QxKOQoB*mbFM^kKnm+5nT(3!|OtnFi#(5aa{*SfF>*Hof_9HE&);U-#>M_mx3SvLXHK23~0 zH1}(IQDiuvnehl-Vl^#jm48rkkcy5&n$6n)ahliBkR8^{=m9ET(=dW++9R4nhhdnY zSw>m(sOHKzz%h;O_s}`6u{sRugytX>Qi&Qljl3kyUUwLr)a-u+l~bAonvAD4-ju}8 zXj)H)!C6gjs(#ODdR_-JSu=}9$9c^Q8Wt&Lc&S-$3?JHUKT)2I))1sZD(xUXqMQTl;qC1sw6 znhn)p_(=1(Czy{lyPku3qS->l-BXPpt&R#c5flrGG+hS4;F+er9@dJdJD&lZwRM`nV3qd$5~!@!w)z>Ai*__kl{MPfF`(9J7bk#Pr>#jP=6Y>n z0W>#g=L`bVRr|abRNS=Y6%_T{wY^^eJhZMIQ?Ww+ijoNxN);DP@CV~po@(57dwGr)L7o_dA9~TPN zMqGq!hqjZsI>-=hzje?F)ke}--=)1b9jbe^542!LYU8Vb8KZsZ3FZOq8VL*&wD)PS z9Mx_b0XU}J)E1h@wOwd`_=NT><;_Ixm{))#?U6f>oz!Znz&@q*dIIXS_7FY&8EwB2 zR4AX-o}jpTPOGOBnXK&<2H|;a(nQEEXj6s(E^2>@g)B|`m_pelZ8l}t%UTtU+;r_s zDhjV?6HbG=s-5Zt>Y6tGAiQK~eJg^>)NVNs*>&w8YMC3_sx{#=ODlDzoSLoeNkw#y z)?5UOo7xpLgl=i`sT{nmjhGDP9qj@IsJmKw+DppUItKvmX=~BKx>yKaGc zptT(U>Y?^pM^KNnkx_uh+99@JKGC+O*F#UW!L({E)DEzsJ)I(LJQWWwwCmddinY8S ze81IliWDET5e@(cUEF7an^mJ?Tl4ABMpevx(77Y zU3C0+P-}EmDHg5OExroPbvnNVpw{ccIKaF?XIluayROwqfQPOb-KnQ8w*U~J8&M0e zQ8%|_K<`s2U33iG?9$~;1MJpqrm(d~cayyB)iKH$;kwmZ z01>+CrvZ_=P^y5Ubi=78jn@7C9$sQ}Q+9wlpewotyI7rtCeA@!D+cP2uCWy^n4p{U zGnhwphrR=KOlLk_9~Q@TooVcx(0S78HBone45%}@8#F1;>RNS&>N%aZ0J3DA{5LSq z>n>23yr7$90Ch>XcR1j(F4hWm>AJ2Pp?O8;M0Qto^{MZ!={}*fmuBe(4*_KB()Ph1 zN4Lma6?juO@ie%%bX_x{nyd4^3i~^{;Bd(9>gv)I&DUw`Kz2`ej&?d9>7Hf7;IS^9 zoIcULqi1@m)7$}7sH-;?P^4>+1~0F4T^hikSeI}D)N5TLRWfgM(G>jN>Ix$%|Gm?N zZi3K3pMMtMsNcT?_AB%WwxCw(=gfn>lYZkFP|kWA25PN-4W;dM`UF}zt=HF}NWMY8 zm8v>debh*Ro8F@az*B$eI-Ggwn^Xtot)EVr!$*IHhFpL?YA~%SH|kqd2f0bV>@jpU z>-SO&-J&mC1=S$^d3u;&eH?8b@6da<05e3tk1ijoKT7?(Q$LzA-d=sZY0wPU52VBu zp+815HBw(h89GY8syV1={lfsrV)f<8^+Ek0>`0lVhxGI}nxt|178}4lte=wvNYJ;U zVR=*^cL|2a^v(7_<+#2ht>#YY52Qflv|iZ-%rp9rl#|cu8?*-VoL-MfElttSr5u&2 ze|ZwJH2on;&6o6{uOPduPbX&?dKrN^Q-9qRI@k3q4mvmVQz8Lb`cBQk%+*V&!p+m~ zp)h${zho9I2*i<4WR;p&ZrV z9)^5kdK%0xieTYoD5jS6HYC&P)yLrM3-C4k+5zBisL~M-V2Gj`W}~4uedA=4p@?e4 zErzwf1GXAMsnxa_CftDLc0*2kK#+m{Mw4`hVI0*0p@t8g!Q5$huo_gDA($$eU51tB zRN!vI5e=N~HTcEBBHXY|3uc5N#2L&eLysUpv>_=Ru-`C=0@MLRtyAz8YnVvE@1S8F zC4xhS5PHHm1IvcyVMBZeK)hkh9LSCs7Usief}z7kP)7~h*Ff`_VNfGVM8^#+D43iu z9C-*T(XiN}eZl4C&2&%a3~VQu$p#-gQ0EQniMn8jp-FwwFp2VCis3^7e5V@p@$iyn znAILsx}i3e1Xm1;DnjL|q2d|Ht{Ey&AkQ$YrYxFi$WMcp>xMsQZrw1{Y759Q*uH`6 zrlFDfCy=)cGp7Uc49OO#-ZpGF3paNSd2%rG4UJ7;-ZOklOWFd1t{$idhQ}1(9vKEu zNP2AO(gd1M4AsLx6&iwRQC4KAMCHPB!w?EdFAN922fQ@we*xwzg9pKD!(E*jZr&RT z93lK**uM_&(eO+Sa46M9CEHHpdl^*1jF(Ba+ql$3ktxFXnnHi1adkepQN~6`0Wrp+J7DfJ zPCfnapRQpU?v$unnLrWvCTG6=2OOr0>abAiBt@qG0u1o>a5X3tBrHU@|U5SY+Oq7 z;Jk4@MTiT=tv`XfXk67EvJ_)8ng^*ySJF%~{$3s`myC=C=@nz>RxmS+{riEsVccbc zEXR1D9j=>ebfU%n9ZQR1Ud5bW9g@e!{5^W z(|T#W=>%n#4W^J>FkMYk#({D(y`fs$(-b}i;A8skBs6_Z4PJt>nCel-Z8c3F1=wcF zsAYz5yD6KT2AR~97=ulRktJrym3JnGNr3mJ1RB7fOmD_P z=3v)|GPk3h+b&Qm>@HE}UTHVl09a)=fGUR7cGe|9)y3}TaWGtC*PjykTDy*$AzWv- ztRJZLc9#Z0w!zLq1JTuPlrO-|?y&=y?slm(@ICC_Qr7XbOJ54g%Wm{CfVbVFonZRd zouLunYd2s!z+#uz58!84o_g8ePCd-(7eq|EArvz<+I3hA*kV_XLPwz83fkP=YB$pX z-nQAbo&##T-K)x=g6vvSybrd!OU3yPyXwCHLhNq(Ll$c1mI%$AcKN#jVRrhP(A;C! z+OqZ?I@{F?nuqOLGy^la5)LFZEc95O3>+}+?lkM8nhwOsg#G9Zl+TCakD#fmP6;Nq* z&&?E=((RVxU46-~?GH@~g5alSg*c85p>`fSWj37tqM@Pv>j(;aQ?qQr`EB|iIO2DY z;b7tPdeC2#`xfVms~yJqk}(S*UB%p-z*^0QQRZ=B&AI~Cu<~?c>zFlK-i*Jl@P>9n z{n_<6_};{xQxPA?RJ5Ys&YC8{O)%Sj0#p?H%?>KjtR3x2?qeR!0SSzSz~CsGZ~-dE zQHg+=%tAiE?mUZ>fV#+rDghZxI|Pu)9u9@-q1waI=9g{RvE0{(|n% zjZbg_g_O7pvTb}ig@@g|^H><{;WJNwxtEV>0tn~dsv(QuLqEbGlJ9#2W)!!l$rsI+ z)dDkyJD&sWD9z@gOQ*4)aFiK*jT4 zsi-}|C(-Mgqx{@!z%jm)CdhHVh~jo4pI8Cr7kG0D^cVTLxnQR7QEj1_%6~P2O5>Bi zr&aJJ{)mQ2I=?Xi)Kxx?Ucy}G^Od0P@RzQj?((iwH|O(>meh~f64opS^^mW41C>X7 z02P;y`N-ek^a*c!81R&rs|02dpFA4yoG(Gkl#Fn7E&9q^(-E{3I?WEbz|R?G+aGYA z?WTviz(Qt%y2=`j1YBd~217H0J)k|YOy*53bi>SE-U3;`_R{m;XY*Bn2h4-w;$wD` z%BD9g&kibY+2jn^uiy(_09NvaGu6Vxt#a1&rRkED^jhc}?@y1jhfuYhp;=m3}zygZdsk=(8=?4r4u zcDUmBmCAq<{31pCb3BnoTQZ+xrV8`|zeBO;D$k{HeU0y+(lwK}p&XpYmxTdt^S;TD z-QjO40Sfp;n&bC5r@JWPnY1bVhP#~ryyc4=VepQJ(C*56-jPCtlW0Rr180%99j;f2 z^EIHkR+u8G5LqX@q#(V-cS*4D7RB@wKH>pYl71p#DwzJF4^^<+#0e^Ewu{;nxr4+` zTHgeV)-?Y^#bg>6JH>MuuY;lf; za*k-Q5pYvHpjh!(e0YGnc_NIIRi28zTF9OW8(Qc;7m+Hcybu{BzYg(I9A6LBSE7){ zK(Xk14mxke-Xg#|5s(IOkO*pFN6B|IyjMtW^oGGoNgDNxv&1k8zFj3@ry<)YSxVK> z7D)u<>p+Q%Kd7w|pJ|}BNt%oVwOvx10zi;t+X^$dA(F0C(}qg?-h#VJGJyJGx8yoC z?H1uPB?k0o0oVcRz#F zzCXpNK^4h-@UCbahcuxjn|bJSDjtW0Ho$TC3#!RSrdvbx*KsL0PTfkec-jkU*ZBsj zrx$Gh4(E&LPfX(vIr%t(<;b=_2DOsSrbb!METceeU<0N>(T%P00_D%{(FSJ#>stVo zO{|a-#SRwjM1wGd&8DcjlTB+0)hIUqEg+6{ECd{8?1-H$k0XyUzj=*&no%l*E+fK%Hd%!LU2U#&?F@8TQEvaE=w9;;4pm zSQYBwn{28YaEndNfNCzYKLPtZHt$=&ZT6CG^bV`l11fjf;ZCs6XA)X<++#bbA}e6G zX)EVGJ4Cbe0dt)M>Jghq$@2+&(H&Qm;8fkn4>m1S3m|QI?IFD^YPFO~l-5@5aBTa- zS}64#xCO^vEvPc>-I$80K9y+Kw%?$I{Ii>B-N5PIoeH`EZZtdxn$x`j_D$;Gxds*g4DsMzgTWahC`1qS z|A^!8+e0CpxL*acN$Pz#pZu1l-7gV$aXx3s80gF$n~(E(XP4o8e&t_rzF7&ptVQjzM_a9dVCXlip*5^EEe%FojllWD zOA+8t>Pz=Bd5Z+cDb}xX{3ZW)NPnF{eKB?OVq9Tb>#8_kn%x1me=wRp%bX}4FK<^5 z9J2#$3OX<;wX~y|ZMOk)1?y8D;LLhbCwVjfRnYNacZL8g?8*0#1u-{!P{HhGSE%e@ z!$ZIfVJ|4#?_^J?)ZWj|#o)>Z*wC7wVp%eU%7d&?A((Nj-6_CnR)GfcIaW}qWR{}; z)z9BUF!<>K*bIF|yFkNSs3V3~WZ;kVpN-?FDs#dAX&;sMqxaKx_1J>-@H6|N56FediI*`Wdl317BW|Ml4gb*%b@LYcZQIRoXkRG z08h5k054vwvKLgmnJoniALcn7;LFNU+2Lnq&7mI9_1^PokBH`{j+;LBFh;$#~$d4Sr^Mt1}Ru@R$Tw}ZVkQ{0baF15jp zVryyo#<15~Ks?*f5paarormuPmQTs}D9ff&=r~KEd%3{+(pN@+K zu6u*IUI8p)QVS>X#9K48^ovOHuSR4zPXMZ-WnW`ilWK4As4aq*ND^@6OB<(>gm z#O~Wd_KdZ80x!?m5E@A@m}5_RS@Dv+p@qsTwlNFy$sAC{(e1}!F&4zPF3X&1Lg###aB(EC? zGMc9qL1!NioB%4BC#@-)7PDwKs_oi?koLSm;pCT{v>p8GBU&g-{e?pMZ!`MgIL$m3 z*POnZgFnNb_CaROqvW;5tT$!pZR{wm zFt@WR61Waxu@ylDvk&_~?O-`^phDQ(5uifZ(*ii#$wpGK7RJ__DUa@AD=9SXW`_oW z+QXJpKZditt)LUhCef1}W%DUL9b@ww!9Iz-ZUxO0mQAa;RHmQ>R~mbm4wW0M_7KRj z*el8u*(~xQsC%p~)gJ}ySE>N+vxdIVe9p34Q;vASyrocm$tv##^@;sLGYeyoddQLY zaDl}-KA5J>dcK5~0~`3Y$^Z*rJqO^&ThVGNfVbWTDww}H2iU=9(U1(~qkjMu#p9@g zj^-`D0Tsj5n?dd4txnN2KfpiI;5o<_O#}BhpEMsAJjWx)Lp7OKqeeW>oi>7bfydKu zxyXAd04aRrIml9Z>LXBTeB5prT;gr4p?R4%qFIy957ThE!aHU_=uRFy-cEmML7G8D(eWALlZrcjhYWx6st*H9nB7w2Q!8_^oO^7Z0bd5?q|IT z4zNiyrefJ-a(0MKrE=&nd;JSEFSB>e0O_n@Bu%6%Y#eQCUS+@0P`SpI(Y<9bOAeTs ztnFk_*I9$@klkRNZ-L5UF0?z9%|>s9N)8)Fnm3u}L@;l$_Lk#SFtMiRf%%D5q=ndO z-t;M`4SZ@mym<1TDTP}27+UCT=GK&dgZS^10z$czismq$^bynno=Zb3mbaJx{pb>;ZA@38+V;0kP-%CkB9856T&MLzhx85*cl5spald9Xe)|V(g4uxI zcS334cuySd|F{F4K_fciIJip^bcV#~z#p1S>w{sxQ&b#2u{(|<&IZDEWDUw}qwMD4 z{HN*8&>S62CDGV@)4^F#uQ{X(C!7>kD(RxLG=!D}RtL+OUFis|)y$cK?Hab%QdCvc zw0SWR8XhdoQmLAdS2;t4mp^Oq4$J`NZ&}t+$ju#ShVN&mlK}@~oR7Vt#re1x1I3343#i(j_NXe3^M_Gku;A!qoG&b-vAj4|jtj12JM>U> zV$UN0tJtA2V7jv;Dp)+&%)?OkVqy`f&1`Wt%tBb3STIA`sS1EF*6jjhG0c1g)IQcF z9_9zw;=6RUqwGyhz**+~0^G}N!%I-);MqCTmR7 z^E!(;2fHk`yCJB@>~Te?6f@WNPK#j=4u8+`=F>}=53FDb zgdf=fimRVkxt6eZ;5ypJbL3hhELQS+G-rIdl@Uw}U(^#Sete!4nEt%`&!7VMavBaB zc|5J$Ht}Y*kZs}fyI6+nRUaqB)5YETY9!>-| zP;W%?hpixs;=2fg4)N^JA&HJ!|9dB0WLIP9^|zTff>htegNt`&-)oZ zFYubQ`*)E~p~g?)wyVHQJwG?ofC(}MyI^PlnohzKtonGZHtiZg+ zO&!3@;9IEvzRv%63HvO5leYUG^QK7fw5RC<>M6Gn^^AvH1K`hi=E2-SBv29NC@OnF zWrYZ!fa7cy4Uv6IN9kl*jCvu7A6T|;nTyN!Sf$3X=W7}Oz1(Eb>78;1=Y3Az z$FXl=4mkZnDB<w zu!Dym)PGD%hDygks{K0oG=}@mdJ1J-5*UtMB??Hpr{+R)P&{pQ4Q}}(I76bStQ^{U zIgZ12wg6|io;rHO&$QqjsUzvAJWrheQdEfZU!QG(&eW#V?dExtGC|D0xd+Du=M$l1 zwnqBF67uK~fFrYh16aY@P+7HsjUNTdmCdXUMK`9~3(B1Z7(jWl@7e);m|;*^_S*Xd zj9$~1;MjY?TiEwGv=Nu-+a?&t;a8|sFpqfC06s=~WkNja)+?}n@~MO4m_b#c^xKQ^ zkWM>u3!LeFuHbw|4()2qES?YjS%clcpY1UL=X0u1dYxOR0GxR<8{jzq2PHjImGU?) zw4>r`kzqK_7ym-H^}F^M{J5CeMjC!=Sht!Gt!3I`z&d8v53rsUGW+*M&z9_R}w5da_xwL3y!{-9dRXz8#bgOU(fIveVaKU}3krgYsuTZ37j? zE>q~(#mtcuqIa`Qs$uuAHDe$QXBGBB7{@x&lsL?apW-g!*^n7fIl}zt#diXG*bs1( z{dOL*W2^(s{p0NI88A<OI0&z> zl^;RovRfA+%wv@)Q{HC12Y|ZEqG{9>vibB(MT}EhJZDB)_-d#;zN%NKYv~o5WpAE(sCmY^P>2_neXigr(3v*x;&8gp%v~{ z-jasecD{QYAco%whs8erW-+M!d=Sl(1AG&Omss9$DX4>d9%2Aq!?;EO;`r+XKs>j) z4TA*!v@=u^`D#53F7Ob_`WN|3PpS`7cqb|sQ+b``fa^SC2jB+3PZKGNcc(ke;dR|% zai6zu1E&x8OPXpA`B9qOkGQrOn2-77V}N4rNcGHXzHb<0Z}_Wypx*K<56Ir}_f%uO z=l$No`~%;L5o^ZZj-KuZ@)Hj{3UCm!D1bT&=LJw*DSmQ*y_1M&3(8fLD-X&|T%`2u zF6vSt>>;W&0eA}g3IH#0j3$z|Xz~Hhe8gm`ZheK(8RmYX7bT(X;%FiuNc0N?n1jVR zdiovWF_m*6q5-9kP;rsw+D=i_7*v?3Ss(Vh#3vo7-6H)0s68Txa_3%=90O*!P*Gxx z5V16LBE@nV@=@Xks-mJr3#x8oM889T{i5Ag7$gX-3uH&lB5NeL$3$!wT=2NC{RH6& zF`jle5=CMg$dbgNYG9rea#vWK66Y!4o)*3p&TJ=j|0;IG6R?_D-GWLZtzz#nHTx74d;Cd-$ zHaZxX%0`m6>#Q#Yf*VXhktd58OY6A@oj~QV%ASzjWV6(OTWsD__|9dry0~s3v-uUw zBDRU1(2=`b0=0tIpuFJ3TW<&D#+UYjfjj5Vp@Nrpl#@NVxjKb^FaDCsWN$uD5Afm5 z?EqVN)kd%nl3S9`P!j0griX3oxJX`woDo+>36skk<+YRm3;Ydf^#wP7A%)-1Rh=4r1^vfTK9J z0I))2=stQYeugS$b@Jc!G?i4dy%0>qNJkZlwXXrOKqAt?SZJ=-}!7AjWE z1?&_ZS3o677#>@gQq(Zl=mhQw@qI7dX*^9FUIe%<7K8w9h;_SRm?g#y z2iz2#dhM2|^Z}479^?QXhy@hPABqo&FnA<W9v?X&uQq&yGJt1Q)3XoS$k zATLSk)q}Uo5{KPT&6cd9S(PI>Mg4SB;!I1{0?FLpq4G>Jp62LtNl;VRy^v(m9_&j= z-T=s6Nzz9`RxC-SCtQi2T2-jE8TGlV3Cfm0q~QejnN3wZq`r=#=3*mlzzX!qt z(l|>8wNRMn)0zpZl0v`=w#yMJE16^_44haUN=44B8QFQTR@A_rtj}Ov(2E^wK{vxD zaWJ>AiC)kQWLKyV+{)(B{M*K6{RZZCcAq*lh<%s@SuiU|0CNZH{u`(e7HbX#hO*W) zjdwC18Z%)mn${<~*q-$;JjyDr2lE(vm;=q@ERZgr%(AKQIM0q{K=T5#r8swuv0h+i zuv{ABnQVzSn75ht2*4edOD%erO{6^jl+~p9gE)1|4AmkwnG*RkmfaQH=d20^?HBAU zRUFtAmVkQ2EIXi5%nH5%wVE$X1LeYJ4gs}>TcyCxi_e(?@aAJ10epBJe}FGP+5(yu zzI`e*gShf%P{F(>)u=nT?;?8f7s9`93)N7*A{xS-ybHyY{d|fFmp{OzouL}byZ-^| zAlC)}4)K&NP&vtu${{<&uZDp-&09@@ERBcI2)e|JX{=u68xO;EI**~ec!k@#!{91k zx*F6q-s&!`I5PNo8l;)rdKTb1Pj3aCEZ&1!<{m#`0u=Bl+TFX)XN-i(bH1uIe81qc zo`8DEQ+7cG1q*ePgBU~|>L?N^>a7)K8JO!tx(`&=3wPSE-XK0w9pEaGsaiDqi{34v z6Cf5|26v+{e+NMQL8&)HI9fp`R6GiYN~CDk8&s4iYzQh^+iwfm1z1BP%JK80`r~dL~FA5!t7lUW$*q&PeXC6a&&7D7|e)Hg` z#)9d|bKb+;?8PIDu=nQ627-&P%8Ul(%MYqSZQ`eCWbWbRQen84e@}xcoIh7Uc95^2 zsB(xW(QZo|&$$TZVScg@aD)#ggX8?d98gJIO*uD(+f&v^<@M44X*{hL%rBX_cR64- z|7IL4a`<=w;Y~iWKHwHF=nq*g??Qc($9uH}^^|v^>bH;wQw?9le^~-}#~)sV>^)yZ zf%XG8O$4kKVU)66#Nwrptr1;^0ldUsiU-~zY7b;S!rY7j1BHp6cdIxx7T&fA4|)f< zTg<1`(H=1?6SBQxp&w+i!f6?(gCd_wvO{9sIjAIx>k9Zz5~{mko)puH0VyJxO1V@K z(+LJ?qQ5JcH^jm-fGpwr9L#KS-rO8IIU~J5Iz)#Xe>VxwW!>FEapZ+rC9iC zaf#O=sS>C+qRm{uO38qeP;rvzwJ>m&Je&p>z$62~f_wn!>;rWIG1Dx8;`4}|6gNrS1NE=q&%pb8}Mv5X+z@t2N#2bBQn zs0mQnD4mlG*(PbLXP)_98i~~Nxea(OGBt*u1F(3fyy;YPtYbso|MrgZsV6o0e7UM zg222hHH3r8mpW5|xF>z+1d9Uc1xj=Gr9&$Lilnc)f%!qoDRq9t5A?y{lT_CgH{)X6 zoyyBK){Z+MTWdXlDnW1SW|Yu;teuMhzSicO6bH9j|3t;bHtYTKVYuCT^=?pmtb0;> z?X@150A{$gy%(qmYsX`d9k$+0p*i0A2(2`ZSi4*VGr@W~#lWN1#Wz45vleo|acku& zsGP7)-UwNu^=YaKE?C#1M@qHsZFYz6H0w`P7~Zfh-UM!z^&To?vaNs01l+SeNEKFr z_0&Ybed~MF+b^tTv}5wpdcu9Eys}~oU1{fbwd^={9MDj*D&K?aCrhNoo4>3n&EEi7YpSp|$~w?fY?5uh0_INH z9a^x2$vmj;*(Ga9>xX?Z7g~z#mzkFV4#=+6g=Va5Y&}p1WzB8@4#^~|;3ZBr*aX>O zSw||v<7F$nxg4!0yEqTR1liq|xZqLQuIhkevW5dJ6Y8Uao=$rf_hsKv=Rc73c?rvh zGD|xAypWCT33w^%UkR3K)LI+)T~O<6daebv-ljHg?+_rP_xv7%ZZ^)qE+Pn*d< zLdVNyWe8NfZ9o~H>_bTX_~LaJ1|h@b!^5KDEczhFVL~Do-~~N*f1Jn{>;}65X>?ttnOfW z`v4*ANhs_ine!r0QEW8IEWA8(cnvCs%^VGCAFDnKUXC*@ty@p9D^zYIvfA_>`2s_E zLs|Z8O8}PSc{bo?GOuS)z0S^2sK3E}q}X$pxx584pLL_|xW@v@gIUC$_JGPW7D|hU z=PX_W*+(`Z58ghpd$f#n;C~zdb1fHZz+A`k4WQQZX4L<_Tu)m^7M?-7xqf`&jx!*G z_@XnuP#s2HBr1F{7ET{7S(|BkM7jC&V=I>)_f zEuG9a*8+8(%cwW5@GrXmFhp9zlT9 z;(!It&WQcZpmJ6;G)F+^oVYdwkSs=xfX;c5K!v~skw&@fqEOU;YKl1H2P###(g3_9 z!jFQ=6iW|)$`!AvMW2didQgR;BF*O_u_X+4&qTvGFrSO?4rQ~Y4{g1)sa6@%wlO2} zA#JyhW_f!n>YffqAA{3*3FWFTYj2d*tdD5w4qv_z#}OaAAsczi8Rw%!9Bd}dYm4)l z*%X~-<8NugqJ3rAlnR)uqJy}N?8honWjMBiB%)(gsj?B0 zkwkQ=CRHX{rZ*CGxO}H2d;>RD7=a8ZY-&quHMwoc*}&U2Xp&9VOXbqKIgfEBYl5}4 zMC5aYwB8Ub=p{1x*>-W$PAOISSd@)L4*zt<@}aS?75E~EWp+!U|Ln6|iO(%9#TTEI z{_eB#-+WdfpAk(&ruh>>k3=F{9!yYD)Z-f_c=-QkzhI(6!}_qRV}xaDMN#4ZeA5K^ z>Ho*yG#U86_@)UzjA=tFU@Kw8L|>^?jW3>9s&x7?JIa^Lj`p3t&W_4|pB+{HHan`) z>@GYNo2jSV=V4+YuObGei*ps|2`y6wajcKW>c)a)k^$e{x4qs{-@sk znwO*X|H;eW|J1u*^MCR3_doUS*Zg0+{H03ZKk@F@T%T&4e|-6i%l}8-{hB-DO8?~L zFUqL@$h%+KKKy4dfB#eOezE29PhbB2r{4XV|BIKu|EYJs_W#Ap-+%qxuO+)Z{-4a^ zEX%Bx!tiejm(?wme-vZO66Md)UlQei_cg?S`?nDL{?ERK`2U%2A@aNWKP5kVYpI%c z2|g!3OYKfV{<$0f7o|=nB|LLyQQ!Qp?mhgQwjRv?>fXb@Y3srKukJnko3`p3{-ASb{cT)MwouI)!-w7Hl(PHfTc%k6~5d_#V>b)`%J_Zs?b-Kt)T59nHI|wNy!Eax9MuZ zf5`4U60Q2#Y56jzaP_(G;p%fk!`0`@AD(S@NZkDRUL@SG8 zsHpU>w4c)e@k7Kf_+HBDS%&xtBcD{xveZvB;05I@ zVSb_^YiGIUCz|o}@|KGJ!oVMuw=@N^o|fVMVlW$F+3hdtDt1U&7?IfE=2qP-<97*@Wqi1(Vwrtf zCTVPCHF4hj33KN+8f=BlY^+OpS2A;EOj}Q@)oFD)E&ds_daanyNR+c|+#tHe_S-0o zj6Jf<+ayf=UXXr1Hp-NmFYWQ3cy8=3JV|BnVi_|vDZ9an#5s#AeX*+Pwk}Wof8T z*4;-MnT$0VBo=GF?`zVuL=@$Fl;v41?`^#=ojn&yy6+YWDoha9+iKyJJ%;i3d`yNsI+YFL=F;=_mNwbI% zg!ZVc=__iqYh0%P+LE?M)T~vt8pOqnA&ylWQTAZ_31_%`Buru))ttzxT% z3&9316q-xvX4lty+?uf3TL8v>>V4GQO5fY8l&FVjX+mQxdbs;ywg) zg(uQ#z6Y(9v73IBdQGtC0<7&UO`cgxVh3)MUV0tdE5Lf2(z;8h{^PoTZ>d^GrjAt} zv>v`X*5gOnic0d%0|pEkH>}&B!Mz6bAJ=8Tpl;*(_3A%hkfvU<1(vGWl3KAt`^hSd zviyA0x|XGWKUtmF@u9LG>&I?QmHknH@3XO}vShW4GzWDU!JR5sb&@!XRosQU zlaMU&U;J$Fw$rMUB`Q}YFLw;AdgZKa+F6cg$!gQY^v#l)XksR0$!sw(GqYrN?bFL! z+0=m%(ySF(&_AN-L-Zra@fEJWTu}2_*3;5HTc*b(o{%l8U7sc~-d&a4PKhT>kLD$o zHcoV#Wmjl!*_JI+SEHFMftc7fm8`5fTGGJAd@IV9HMX?Mk@fw?=1@>y+FK(X+`+ZHY{kNu_^vf>OEgy*M0xt4C z={kYC$PXC|{M@s(j?Wro6h7Kk&OEPR;Nkbl%2 zSjJLeA{g-blNQa5CMB$a1fxq%hY?J|=dVxF031@{R!ss42jOFeFTx@Cl-x<#wa9!n zK4=$$TP3H%2@3G}tK<3LEGtp?a;q~zX5hoEz7HhKDG`5pxJZzx#4=kAxdcov6<-CA z_MaXw8f2+4z7tpak8a>YiPk^@2_`oT2&_v^2NF~*KE^}r7)z#3i zuI#_M8v50h{a05*$zwZws71bxi9eZA=IUPbk?nEKcWO1f ztoeq3HLO%hP%0fkK%Q)&zmBP|jt70! z9rkD4uMJopm#U19%xb<>Nm~$63m;}Rn7~jbt4R$-l&Mrm(A-kh#-^s3Zg*%2cOU_h zGqoVW2qekBKFC*Hd(sUk(H%xmru+3dMu9uMMBz)DkyCuJ0{#aQ7~r3^`5FpI{5Ol5 zP_ZR7tHA^ce1z3J7Dy*ZWHvT+%%oz%hr(T1*Ht7jJ~mc!trl77zE^yaY{E4nOKxFk zG+-Y-!fFBgB98|nuSEW3o)QZ+LdgXPua=015oA;-iR=r%+LJ9^{ZFBdSakchJ)l*^Q;I{@j>GeT*9ZkRmEeip=LeXN*73sbbMsc zEnyIG6(4IbNP*6;;{yi-R+a|kZMs>~%iDA|(~1E<;s?Vbfk0AD;{cH$qg;!8CME|r`PA;`ezi*ku>%_=A@@xlEN zOe#4YMlcba!5A_h?SbVH8r50K#0_l9bC9Q(ZH|7R7ZH^Bv zItVbT^t9xDa3H@+N?8P3OHPLp1ebCN-j;F+s6zYejS{CaKJZUa^KS}hrLP+Hf7bZ= zD7373Sn7g+Dzv{|gA@u%6zmDg6ux?JM~xMQ4{n0s5~luPhODVJbVDVJa$KBW%nEUQE?kRY$*bO=G+8YR~!Xj*bQguvVvhf-5IvoDbh zB*1?#p<5x?U&6r)~l$;JBcwEXQcwfpT_*lv%kkl-3O<=YzIUh(+ zzLZTc0-w^$l#K-Z1@wR3gk=g({%K2=N&k6^TG1DC=^r)i-2bbsvw^YdsN(oM_ANXJ z@E!;jTClGair7WhvQS!=FM}2$B@n5_WNZ0$6WI!7Ns6EsOhbV*sUPSA3WaKkl3Ild zfux`iS_Lf@EU2`QLTw^QYl5xTT0Z>!@7%fX?Db7x@BPg=b7tnu$GtQ6-rd+&>0Ov` zg^$ul1ZI!{zJJCurG9$hoQf)J9W`u(JA!;Z}Ht zVWnSC<;W?bwHgr{TxobY^2ZIYgVz{V`ZqKDmSNs|O+%gvJp;XXgD)^&P52rdG&S0b z!l8saQ6pt=#>kJs&AH&Qd@LWGa-DQt;2bZW$wL=R`nsHR7lZvM$$)RCQWq9c7@r#S z88oF_=WaqCSQ)5*wm^Jbo(9!e1t=T)(tjjjCI?@`BZ*72x5&2#X$S^*D>p5m4D#-6 z2R>+68I)2BMfXw-dq=#!CMRD2KJe&Z@T6fG9MGwwyuonnfeg<~J(hQT$>PNMI5+Rn zmRKH7j(PV63X0Hf1R zf8v3K83o?zP7|mAj~G@H@X1mK`8LCn^WjY@7xT?-%Cg5B`vspr1&{)hcn5{n4DUn7 zhoURWRY?dnUTE}XuavLa6A#ER?GdFJN@1{3Pzh)9nUD`f-!=(_3@2suU##z#;W@*y z_aDQ`?@B!jEN|0y!akj=EQNlCWuP&`2VLkBb*>SQh}HAMu$-kH7l)#oP{U(QQO4lJ z441o94bXwoka{4af5osW(5Fks3N>UnYRW`38&-{{7?#0lh9%!;3b>#~0cU0OuXNt(axx%1~QnLH`Hn&xapP^rve4X~QZg@PV1T2QKSMc)VrceAGc?e+`YNOxB;jzm3{)LZ3{n(NBq3HOoISnDn+cpVOt);z^ zce+-_mbG@atY>bP`xwSYW8EZEfDdaFK#v!K0XenV@YKqoo2bJy8-u+PU8{i{z?qe8O1ve29hRRMOSAn!Q z5cEHV{vLR+(LZVA($|hb&>yAzORTsg1QO^b zV+Q;joJ)9=>aPe6guLT=?D%umRRv$md)e8E>fg*eX{8-#)cpnMG zwnj8j5{m9? zoGZrTw?!x@VoI#w`*FK*(I?e`lMuR@Hi&#w&fSIFvI=<4q@P|R{ldQ0^wC2#6c!l; z8C;)X$H!AX6x{*m!ka3Pa`HVz3~TUNiaYY_do-KZ?hs*w1K zF{xH9m{xqPhQ2d$>326gu0AOZ2Q>;lirh&TW}`Yc2?g;i6v7?d`b?u?VYsH2K6!b4b;4NCaGa0n%8)VZ^B z_i>FKkjQ((s~C9d%1S>JKInXbOH^nS{A+H6bo(+0c{G`bJ!CjINiZGWXcXqcU0H_C zOXN%87mR!zyw&g~c)Q^pa2xhR0iTDrROOL7L`0<;;f}$_6PK2ly-vd?jQk>eF9yp= zf&QJ*zXnfdP1G}y_hvnH7aj>79SD!Xp89TYZCBk+#0i>UbdumR@JJLwg8SjgxL7Yk z$fa{6Cxakwhu2adO}3JM6aF$&O*i^qZ(ehHOw0}Xv_!rMzRJ2e_K3Etb`f#bxact4 zhRc7HBsd1!PIDR#+fyY5(%;}y#zXJJeJNn*ggHw3l9B5bnf@$mRRH#qbFP7iuw7Mx z?}jz(+10Zq2je-J)v3@(AshZ-SY3*VCLHc5XY{0pQ1GkCG@q)}|}1tP8{ z6O9raf?qWWPQdTL9Af>`oSb4mUjGlc2Td0A3tgRi#n|f)`@r}wb9Ors zKQRh-!=Hnjk*PqF;L|jbhK+a{Jdk1OJ5kO(0EbZKgx4|BAlB%yO}JY2C}6X$<1+XJ z5!E)WbDQDGWWX+AEdM!t)p%epyb%}Qn52INK4vO#PU&gmmlOFVxLSa`dmkoSe;=WV zB;U=s!^S`#c$eXi!_OMN6Aq!w$s~A>kB*_hpcsgwTdh!u+zDbQnGhv$!ubByaFu4+mQ zTqS}(&6G}!{n90>^L+$9MFukyecl9cx0pU~5Byt`{(kuPhFjt13@?YzM@@JaBKGJ7cqDxy*vsLn=pq@0 zVI$x18%9!vzVaoP{Db_^yf6qJNRw=U)kH&K&2(Tq(~X3mGzFRf_obHy`}%sgFYCA9 z!Itjyzj`xHA3`C7GAFCx17tXeb7lBATaBuk2WEeW_jqo8O z{{;LmILJrP{_Z?A{CrY?d*Hn$!5nzFx!5d*s|C7zeHlETCX5ZzXV$?xxYz_2KP7$k zdZ`=9E?;K|yVs5Vh)KmQ#y~?-fCKO_Q-hafAPHrT%=;ZW=_PoFF~}~9`ylDW@@OZn z!yhnnh9)S(r>pvryMu^53@b)o+yrCcz9bl(@D!LrrNi=T@M6=c+Tjq&obXbE>&38C z%c%fQ!9&m=obXRO=(7_0Rb~3{LjPgky0yjsTk`d#56_+7GW+4SxowRPE@^KYamRwj zmaop8+v48`-@ANxVMme2eM80+Zm!pL^GId#n8LfcrIj&b3%BOJP?#)0WltaJu!wc@1 KDKCu}hyMYf4i69j diff --git a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.map b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.map index c1cb9a5e..8e588cc3 100644 --- a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.map +++ b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.map @@ -3210,6 +3210,8 @@ Discarded input sections .debug_macro 0x00000000 0x353 .\..\obj\main.o .debug_macro 0x00000000 0x34 .\..\obj\main.o .debug_macro 0x00000000 0x3a .\..\obj\main.o + .data 0x00000000 0x0 .\..\obj\startup_stm32f0xx.o + .bss 0x00000000 0x0 .\..\obj\startup_stm32f0xx.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o @@ -3407,8 +3409,6 @@ Discarded input sections .debug_macro 0x00000000 0x1c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o .debug_macro 0x00000000 0x9f .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o .debug_macro 0x00000000 0x28 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - .data 0x00000000 0x0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o - .bss 0x00000000 0x0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o .group 0x00000000 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o @@ -3859,7 +3859,7 @@ Memory Configuration Name Origin Length Attributes ROM 0x08000000 0x00002000 xr -RAM 0x200000c0 0x00000f40 xrw +RAM 0x200000c0 0x00001f40 xrw *default* 0x00000000 0xffffffff Linker script and memory map @@ -3870,9 +3870,9 @@ LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none- 0x00000000 __HEAP_SIZE = 0x0 0x00000100 __STACK_SIZE = 0x100 -.text 0x08000000 0x17c8 +.text 0x08000000 0x17c0 *(.isr_vector) - .isr_vector 0x08000000 0xc0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o + .isr_vector 0x08000000 0xc0 .\..\obj\startup_stm32f0xx.o 0x08000000 __isr_vector *(.text*) .text 0x080000c0 0x60 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o @@ -3971,206 +3971,206 @@ LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none- 0x08000760 SystemInit .text.main 0x0800081c 0x98 .\..\obj\main.o 0x0800081c main - .text.CpuInit 0x080008b4 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - 0x080008b4 CpuInit + .text 0x080008b4 0xa0 .\..\obj\startup_stm32f0xx.o + 0x080008b4 Reset_Handler + 0x080008fe NMI_Handler + 0x08000900 HardFault_Handler + 0x08000902 SVC_Handler + 0x08000904 PendSV_Handler + 0x08000906 SysTick_Handler + 0x08000908 Default_Handler + 0x0800090a WWDG_IRQHandler + 0x0800090c PVD_IRQHandler + 0x0800090e RTC_IRQHandler + 0x08000910 FLASH_IRQHandler + 0x08000912 RCC_IRQHandler + 0x08000914 EXTI0_1_IRQHandler + 0x08000916 EXTI2_3_IRQHandler + 0x08000918 EXTI4_15_IRQHandler + 0x0800091a TS_IRQHandler + 0x0800091c DMA1_Channel1_IRQHandler + 0x0800091e DMA1_Channel2_3_IRQHandler + 0x08000920 DMA1_Channel4_5_IRQHandler + 0x08000922 ADC1_COMP_IRQHandler + 0x08000924 TIM1_BRK_UP_TRG_COM_IRQHandler + 0x08000926 TIM1_CC_IRQHandler + 0x08000928 TIM2_IRQHandler + 0x0800092a TIM3_IRQHandler + 0x0800092c TIM6_DAC_IRQHandler + 0x0800092e TIM7_IRQHandler + 0x08000930 TIM14_IRQHandler + 0x08000932 TIM15_IRQHandler + 0x08000934 TIM16_IRQHandler + 0x08000936 TIM17_IRQHandler + 0x08000938 I2C1_IRQHandler + 0x0800093a I2C2_IRQHandler + 0x0800093c SPI1_IRQHandler + 0x0800093e SPI2_IRQHandler + 0x08000940 USART1_IRQHandler + 0x08000942 USART2_IRQHandler + 0x08000944 USART3_4_IRQHandler + 0x08000946 CEC_IRQHandler + 0x08000948 USB_IRQHandler + .text.CpuInit 0x08000954 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + 0x08000954 CpuInit .text.CpuMemCopy - 0x080008bc 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - 0x080008bc CpuMemCopy + 0x0800095c 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + 0x0800095c CpuMemCopy .text.CpuStartUserProgram - 0x080008e0 0x48 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - 0x080008e0 CpuStartUserProgram + 0x08000980 0x48 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + 0x08000980 CpuStartUserProgram .text.FlashGetSector - 0x08000928 0x3c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x080009c8 0x3c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .text.FlashWriteBlock - 0x08000964 0x84 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000a04 0x84 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .text.FlashSwitchBlock - 0x080009e8 0x54 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000a88 0x54 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .text.FlashAddToBlock - 0x08000a3c 0x98 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000adc 0x98 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .text.FlashInit - 0x08000ad4 0x18 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000ad4 FlashInit + 0x08000b74 0x18 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000b74 FlashInit .text.FlashWrite - 0x08000aec 0x5c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000aec FlashWrite + 0x08000b8c 0x5c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000b8c FlashWrite .text.FlashErase - 0x08000b48 0x110 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000b48 FlashErase + 0x08000be8 0x110 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000be8 FlashErase .text.FlashWriteChecksum - 0x08000c58 0x50 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000c58 FlashWriteChecksum + 0x08000cf8 0x50 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000cf8 FlashWriteChecksum .text.FlashVerifyChecksum - 0x08000ca8 0x58 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000ca8 FlashVerifyChecksum + 0x08000d48 0x58 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000d48 FlashVerifyChecksum .text.FlashDone - 0x08000d00 0x34 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000d00 FlashDone + 0x08000da0 0x34 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000da0 FlashDone .text.FlashGetUserProgBaseAddress - 0x08000d34 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - 0x08000d34 FlashGetUserProgBaseAddress + 0x08000dd4 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x08000dd4 FlashGetUserProgBaseAddress .text.CpuIrqDisable - 0x08000d3c 0x4 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - 0x08000d3c CpuIrqDisable + 0x08000ddc 0x4 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + 0x08000ddc CpuIrqDisable .text.CpuIrqEnable - 0x08000d40 0x4 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - 0x08000d40 CpuIrqEnable - .text 0x08000d44 0xa8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o - 0x08000d44 Reset_Handler - 0x08000d96 NMI_Handler - 0x08000d98 HardFault_Handler - 0x08000d9a SVC_Handler - 0x08000d9c PendSV_Handler - 0x08000d9e SysTick_Handler - 0x08000da0 Default_Handler - 0x08000da2 WWDG_IRQHandler - 0x08000da4 PVD_IRQHandler - 0x08000da6 RTC_IRQHandler - 0x08000da8 FLASH_IRQHandler - 0x08000daa RCC_IRQHandler - 0x08000dac EXTI0_1_IRQHandler - 0x08000dae EXTI2_3_IRQHandler - 0x08000db0 EXTI4_15_IRQHandler - 0x08000db2 TS_IRQHandler - 0x08000db4 DMA1_Channel1_IRQHandler - 0x08000db6 DMA1_Channel2_3_IRQHandler - 0x08000db8 DMA1_Channel4_5_IRQHandler - 0x08000dba ADC1_COMP_IRQHandler - 0x08000dbc TIM1_BRK_UP_TRG_COM_IRQHandler - 0x08000dbe TIM1_CC_IRQHandler - 0x08000dc0 TIM2_IRQHandler - 0x08000dc2 TIM3_IRQHandler - 0x08000dc4 TIM6_DAC_IRQHandler - 0x08000dc6 TIM7_IRQHandler - 0x08000dc8 TIM14_IRQHandler - 0x08000dca TIM15_IRQHandler - 0x08000dcc TIM16_IRQHandler - 0x08000dce TIM17_IRQHandler - 0x08000dd0 I2C1_IRQHandler - 0x08000dd2 I2C2_IRQHandler - 0x08000dd4 SPI1_IRQHandler - 0x08000dd6 SPI2_IRQHandler - 0x08000dd8 USART1_IRQHandler - 0x08000dda USART2_IRQHandler - 0x08000ddc USART3_4_IRQHandler - 0x08000dde CEC_IRQHandler - 0x08000de0 USB_IRQHandler - .text.NvmInit 0x08000dec 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000dec NvmInit + 0x08000de0 0x4 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + 0x08000de0 CpuIrqEnable + .text.NvmInit 0x08000de4 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000de4 NvmInit .text.NvmWrite - 0x08000df4 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000df4 NvmWrite + 0x08000dec 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000dec NvmWrite .text.NvmErase - 0x08000dfc 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000dfc NvmErase + 0x08000df4 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000df4 NvmErase .text.NvmVerifyChecksum - 0x08000e04 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000e04 NvmVerifyChecksum + 0x08000dfc 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000dfc NvmVerifyChecksum .text.NvmGetUserProgBaseAddress - 0x08000e0c 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000e0c NvmGetUserProgBaseAddress - .text.NvmDone 0x08000e14 0x10 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - 0x08000e14 NvmDone + 0x08000e04 0x8 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000e04 NvmGetUserProgBaseAddress + .text.NvmDone 0x08000e0c 0x10 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + 0x08000e0c NvmDone .text.TimerInit - 0x08000e24 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - 0x08000e24 TimerInit + 0x08000e1c 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + 0x08000e1c TimerInit .text.TimerReset - 0x08000e48 0xc .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - 0x08000e48 TimerReset + 0x08000e40 0xc .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + 0x08000e40 TimerReset .text.TimerUpdate - 0x08000e54 0x1c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - 0x08000e54 TimerUpdate + 0x08000e4c 0x1c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + 0x08000e4c TimerUpdate .text.TimerGet - 0x08000e70 0x10 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - 0x08000e70 TimerGet + 0x08000e68 0x10 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + 0x08000e68 TimerGet .text.UartTransmitByte - 0x08000e80 0x34 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x08000e78 0x34 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o .text.UartReceiveByte - 0x08000eb4 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x08000eac 0x24 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o .text.UartInit - 0x08000ed8 0x3c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o - 0x08000ed8 UartInit + 0x08000ed0 0x3c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x08000ed0 UartInit .text.UartTransmitPacket - 0x08000f14 0x58 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o - 0x08000f14 UartTransmitPacket + 0x08000f0c 0x58 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x08000f0c UartTransmitPacket .text.UartReceivePacket - 0x08000f6c 0xa0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o - 0x08000f6c UartReceivePacket + 0x08000f64 0xa0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x08000f64 UartReceivePacket .text.AssertFailure - 0x0800100c 0x8 .\..\obj\~#\~#\~#\source\assert.o - 0x0800100c AssertFailure + 0x08001004 0x8 .\..\obj\~#\~#\~#\source\assert.o + 0x08001004 AssertFailure .text.BackDoorCheck - 0x08001014 0x38 .\..\obj\~#\~#\~#\source\backdoor.o - 0x08001014 BackDoorCheck + 0x0800100c 0x38 .\..\obj\~#\~#\~#\source\backdoor.o + 0x0800100c BackDoorCheck .text.BackDoorInit - 0x0800104c 0x20 .\..\obj\~#\~#\~#\source\backdoor.o - 0x0800104c BackDoorInit + 0x08001044 0x20 .\..\obj\~#\~#\~#\source\backdoor.o + 0x08001044 BackDoorInit .text.BootInit - 0x0800106c 0x1c .\..\obj\~#\~#\~#\source\boot.o - 0x0800106c BootInit + 0x08001064 0x1c .\..\obj\~#\~#\~#\source\boot.o + 0x08001064 BootInit .text.BootTask - 0x08001088 0x14 .\..\obj\~#\~#\~#\source\boot.o - 0x08001088 BootTask - .text.ComInit 0x0800109c 0x18 .\..\obj\~#\~#\~#\source\com.o - 0x0800109c ComInit - .text.ComTask 0x080010b4 0x24 .\..\obj\~#\~#\~#\source\com.o - 0x080010b4 ComTask - .text.ComFree 0x080010d8 0x4 .\..\obj\~#\~#\~#\source\com.o - 0x080010d8 ComFree + 0x08001080 0x14 .\..\obj\~#\~#\~#\source\boot.o + 0x08001080 BootTask + .text.ComInit 0x08001094 0x18 .\..\obj\~#\~#\~#\source\com.o + 0x08001094 ComInit + .text.ComTask 0x080010ac 0x24 .\..\obj\~#\~#\~#\source\com.o + 0x080010ac ComTask + .text.ComFree 0x080010d0 0x4 .\..\obj\~#\~#\~#\source\com.o + 0x080010d0 ComFree .text.ComTransmitPacket - 0x080010dc 0x1c .\..\obj\~#\~#\~#\source\com.o - 0x080010dc ComTransmitPacket + 0x080010d4 0x1c .\..\obj\~#\~#\~#\source\com.o + 0x080010d4 ComTransmitPacket .text.ComGetActiveInterfaceMaxRxLen - 0x080010f8 0x18 .\..\obj\~#\~#\~#\source\com.o - 0x080010f8 ComGetActiveInterfaceMaxRxLen + 0x080010f0 0x18 .\..\obj\~#\~#\~#\source\com.o + 0x080010f0 ComGetActiveInterfaceMaxRxLen .text.ComGetActiveInterfaceMaxTxLen - 0x08001110 0x18 .\..\obj\~#\~#\~#\source\com.o - 0x08001110 ComGetActiveInterfaceMaxTxLen + 0x08001108 0x18 .\..\obj\~#\~#\~#\source\com.o + 0x08001108 ComGetActiveInterfaceMaxTxLen .text.ComIsConnected - 0x08001128 0x8 .\..\obj\~#\~#\~#\source\com.o - 0x08001128 ComIsConnected - .text.CopInit 0x08001130 0x8 .\..\obj\~#\~#\~#\source\cop.o - 0x08001130 CopInit + 0x08001120 0x8 .\..\obj\~#\~#\~#\source\com.o + 0x08001120 ComIsConnected + .text.CopInit 0x08001128 0x8 .\..\obj\~#\~#\~#\source\cop.o + 0x08001128 CopInit .text.CopService - 0x08001138 0x8 .\..\obj\~#\~#\~#\source\cop.o - 0x08001138 CopService + 0x08001130 0x8 .\..\obj\~#\~#\~#\source\cop.o + 0x08001130 CopService .text.XcpSetCtoError - 0x08001140 0x14 .\..\obj\~#\~#\~#\source\xcp.o - .text.XcpInit 0x08001154 0x1c .\..\obj\~#\~#\~#\source\xcp.o - 0x08001154 XcpInit + 0x08001138 0x14 .\..\obj\~#\~#\~#\source\xcp.o + .text.XcpInit 0x0800114c 0x1c .\..\obj\~#\~#\~#\source\xcp.o + 0x0800114c XcpInit .text.XcpIsConnected - 0x08001170 0x10 .\..\obj\~#\~#\~#\source\xcp.o - 0x08001170 XcpIsConnected + 0x08001168 0x10 .\..\obj\~#\~#\~#\source\xcp.o + 0x08001168 XcpIsConnected .text.XcpPacketTransmitted - 0x08001180 0x10 .\..\obj\~#\~#\~#\source\xcp.o - 0x08001180 XcpPacketTransmitted + 0x08001178 0x10 .\..\obj\~#\~#\~#\source\xcp.o + 0x08001178 XcpPacketTransmitted .text.XcpPacketReceived - 0x08001190 0x2c8 .\..\obj\~#\~#\~#\source\xcp.o - 0x08001190 XcpPacketReceived - .text 0x08001458 0x114 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m\libgcc.a(_udivsi3.o) - 0x08001458 __aeabi_uidiv - 0x08001458 __udivsi3 - 0x08001564 __aeabi_uidivmod - .text 0x0800156c 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m\libgcc.a(_dvmd_tls.o) - 0x0800156c __aeabi_idiv0 - 0x0800156c __aeabi_ldiv0 - .text.exit 0x08001570 0x2c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-exit.o) - 0x08001570 exit + 0x08001188 0x2c8 .\..\obj\~#\~#\~#\source\xcp.o + 0x08001188 XcpPacketReceived + .text 0x08001450 0x114 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m\libgcc.a(_udivsi3.o) + 0x08001450 __aeabi_uidiv + 0x08001450 __udivsi3 + 0x0800155c __aeabi_uidivmod + .text 0x08001564 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m\libgcc.a(_dvmd_tls.o) + 0x08001564 __aeabi_idiv0 + 0x08001564 __aeabi_ldiv0 + .text.exit 0x08001568 0x2c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-exit.o) + 0x08001568 exit .text.__libc_init_array - 0x0800159c 0x4c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-init.o) - 0x0800159c __libc_init_array - .text.memset 0x080015e8 0x10 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-memset.o) - 0x080015e8 memset - .text._exit 0x080015f8 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libnosys_s.a(_exit.o) - 0x080015f8 _exit + 0x08001594 0x4c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-init.o) + 0x08001594 __libc_init_array + .text.memset 0x080015e0 0x10 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-memset.o) + 0x080015e0 memset + .text._exit 0x080015f0 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libnosys_s.a(_exit.o) + 0x080015f0 _exit *(.init) - *fill* 0x080015fa 0x2 - .init 0x080015fc 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crti.o - 0x080015fc _init - .init 0x08001600 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtn.o + *fill* 0x080015f2 0x2 + .init 0x080015f4 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crti.o + 0x080015f4 _init + .init 0x080015f8 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtn.o *(.fini) - .fini 0x08001608 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crti.o - 0x08001608 _fini - .fini 0x0800160c 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtn.o + .fini 0x08001600 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crti.o + 0x08001600 _fini + .fini 0x08001604 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtn.o *crtbegin.o(.ctors) *crtbegin?.o(.ctors) *(EXCLUDE_FILE(*crtend.o *crtend?.o) .ctors) @@ -4183,53 +4183,53 @@ LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none- *(.dtors) *(.rodata*) .rodata.flashLayout - 0x08001614 0x9c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x0800160c 0x9c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .rodata.str1.4 - 0x080016b0 0x2c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + 0x080016a8 0x2c .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o 0x29 (size before relaxing) .rodata.XcpPacketReceived - 0x080016dc 0xd8 .\..\obj\~#\~#\~#\source\xcp.o + 0x080016d4 0xd8 .\..\obj\~#\~#\~#\source\xcp.o .rodata.xcpStationId - 0x080017b4 0x8 .\..\obj\~#\~#\~#\source\xcp.o + 0x080017ac 0x8 .\..\obj\~#\~#\~#\source\xcp.o .rodata.str1.1 - 0x080017bc 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-impure.o) - *fill* 0x080017be 0x2 + 0x080017b4 0x2 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-impure.o) + *fill* 0x080017b6 0x2 .rodata._global_impure_ptr - 0x080017c0 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-impure.o) - 0x080017c0 _global_impure_ptr + 0x080017b8 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m\libg_n.a(lib_a-impure.o) + 0x080017b8 _global_impure_ptr *(.eh_frame*) - .eh_frame 0x080017c4 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o - .eh_frame 0x080017c4 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtend.o + .eh_frame 0x080017bc 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o + .eh_frame 0x080017bc 0x4 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtend.o -.glue_7 0x080017c8 0x0 - .glue_7 0x080017c8 0x0 linker stubs +.glue_7 0x080017c0 0x0 + .glue_7 0x080017c0 0x0 linker stubs -.glue_7t 0x080017c8 0x0 - .glue_7t 0x080017c8 0x0 linker stubs +.glue_7t 0x080017c0 0x0 + .glue_7t 0x080017c0 0x0 linker stubs -.vfp11_veneer 0x080017c8 0x0 - .vfp11_veneer 0x080017c8 0x0 linker stubs +.vfp11_veneer 0x080017c0 0x0 + .vfp11_veneer 0x080017c0 0x0 linker stubs -.v4_bx 0x080017c8 0x0 - .v4_bx 0x080017c8 0x0 linker stubs +.v4_bx 0x080017c0 0x0 + .v4_bx 0x080017c0 0x0 linker stubs -.iplt 0x080017c8 0x0 - .iplt 0x080017c8 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o +.iplt 0x080017c0 0x0 + .iplt 0x080017c0 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o .ARM.extab *(.ARM.extab* .gnu.linkonce.armextab.*) - 0x080017c8 __exidx_start = . + 0x080017c0 __exidx_start = . -.ARM.exidx 0x080017c8 0x8 +.ARM.exidx 0x080017c0 0x8 *(.ARM.exidx* .gnu.linkonce.armexidx.*) - .ARM.exidx 0x080017c8 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m/crt0.o - 0x080017d0 __exidx_end = . - 0x080017d0 __etext = . + .ARM.exidx 0x080017c0 0x8 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv6-m/crt0.o + 0x080017c8 __exidx_end = . + 0x080017c8 __etext = . -.rel.dyn 0x080017d0 0x0 - .rel.iplt 0x080017d0 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o +.rel.dyn 0x080017c8 0x0 + .rel.iplt 0x080017c8 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o -.data 0x200000c0 0x7c load address 0x080017d0 +.data 0x200000c0 0x7c load address 0x080017c8 0x200000c0 __data_start__ = . *(vtable) *(.data*) @@ -4259,13 +4259,13 @@ LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none- 0x2000013c . = ALIGN (0x4) 0x2000013c __data_end__ = . -.jcr 0x2000013c 0x0 load address 0x0800184c +.jcr 0x2000013c 0x0 load address 0x08001844 .jcr 0x2000013c 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o -.igot.plt 0x2000013c 0x0 load address 0x0800184c +.igot.plt 0x2000013c 0x0 load address 0x08001844 .igot.plt 0x2000013c 0x0 c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o -.bss 0x2000013c 0x50c load address 0x0800184c +.bss 0x2000013c 0x50c load address 0x08001844 0x2000013c __bss_start__ = . *(.bss*) .bss 0x2000013c 0x1c c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none-eabi/5.4.1/armv6-m/crtbegin.o @@ -4306,15 +4306,15 @@ LOAD c:/program files (x86)/embitz/1.00/share/em_armgcc/bin/../lib/gcc/arm-none- 0x20000648 __end__ = . 0x20000648 end = __end__ *(.heap*) - .heap 0x20000648 0x0 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o + .heap 0x20000648 0x0 .\..\obj\startup_stm32f0xx.o 0x20000648 __HeapLimit = . .stack_dummy 0x20000648 0x100 *(.stack) - .stack 0x20000648 0x100 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o - 0x20001000 __StackTop = (ORIGIN (RAM) + LENGTH (RAM)) - 0x20000f00 __StackLimit = (__StackTop - SIZEOF (.stack_dummy)) - 0x20001000 PROVIDE (__stack, __StackTop) + .stack 0x20000648 0x100 .\..\obj\startup_stm32f0xx.o + 0x20002000 __StackTop = (ORIGIN (RAM) + LENGTH (RAM)) + 0x20001f00 __StackLimit = (__StackTop - SIZEOF (.stack_dummy)) + 0x20002000 PROVIDE (__stack, __StackTop) 0x00000001 ASSERT ((__StackLimit >= __HeapLimit), region RAM overflowed with stack) LOAD .\..\obj\hooks.o LOAD .\..\obj\led.o @@ -4343,10 +4343,10 @@ LOAD .\..\obj\lib\spl\src\stm32f0xx_usart.o LOAD .\..\obj\lib\spl\src\stm32f0xx_wwdg.o LOAD .\..\obj\lib\system_stm32f0xx.o LOAD .\..\obj\main.o +LOAD .\..\obj\startup_stm32f0xx.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o -LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o LOAD .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o @@ -4399,13 +4399,13 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .ARM.attributes 0x000001ed 0x31 .\..\obj\main.o .ARM.attributes - 0x0000021e 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + 0x0000021e 0x1b .\..\obj\startup_stm32f0xx.o .ARM.attributes - 0x0000024f 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x00000239 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o .ARM.attributes - 0x00000280 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + 0x0000026a 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .ARM.attributes - 0x000002b1 0x1b .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o + 0x0000029b 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o .ARM.attributes 0x000002cc 0x31 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o .ARM.attributes @@ -4467,7 +4467,7 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .comment 0x0000006e 0x6f .\..\obj\~#\~#\~#\source\cop.o .comment 0x0000006e 0x6f .\..\obj\~#\~#\~#\source\xcp.o -.debug_info 0x00000000 0x6075 +.debug_info 0x00000000 0x605d .debug_info 0x00000000 0x264 .\..\obj\hooks.o .debug_info 0x00000264 0x2c3 .\..\obj\led.o .debug_info 0x00000527 0xb48 .\..\obj\lib\spl\src\stm32f0xx_flash.o @@ -4477,19 +4477,19 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .debug_info 0x000024d1 0xf42 .\..\obj\lib\spl\src\stm32f0xx_usart.o .debug_info 0x00003413 0x2e5 .\..\obj\lib\system_stm32f0xx.o .debug_info 0x000036f8 0x46e .\..\obj\main.o - .debug_info 0x00003b66 0x2a6 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - .debug_info 0x00003e0c 0x955 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - .debug_info 0x00004761 0x84 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - .debug_info 0x000047e5 0xac .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o - .debug_info 0x00004891 0x252 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - .debug_info 0x00004ae3 0x174 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - .debug_info 0x00004c57 0x5a7 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o - .debug_info 0x000051fe 0xc6 .\..\obj\~#\~#\~#\source\assert.o - .debug_info 0x000052c4 0x114 .\..\obj\~#\~#\~#\source\backdoor.o - .debug_info 0x000053d8 0x156 .\..\obj\~#\~#\~#\source\boot.o - .debug_info 0x0000552e 0x289 .\..\obj\~#\~#\~#\source\com.o - .debug_info 0x000057b7 0xb6 .\..\obj\~#\~#\~#\source\cop.o - .debug_info 0x0000586d 0x808 .\..\obj\~#\~#\~#\source\xcp.o + .debug_info 0x00003b66 0x94 .\..\obj\startup_stm32f0xx.o + .debug_info 0x00003bfa 0x2a6 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + .debug_info 0x00003ea0 0x955 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + .debug_info 0x000047f5 0x84 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + .debug_info 0x00004879 0x252 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + .debug_info 0x00004acb 0x174 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + .debug_info 0x00004c3f 0x5a7 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + .debug_info 0x000051e6 0xc6 .\..\obj\~#\~#\~#\source\assert.o + .debug_info 0x000052ac 0x114 .\..\obj\~#\~#\~#\source\backdoor.o + .debug_info 0x000053c0 0x156 .\..\obj\~#\~#\~#\source\boot.o + .debug_info 0x00005516 0x289 .\..\obj\~#\~#\~#\source\com.o + .debug_info 0x0000579f 0xb6 .\..\obj\~#\~#\~#\source\cop.o + .debug_info 0x00005855 0x808 .\..\obj\~#\~#\~#\source\xcp.o .debug_abbrev 0x00000000 0x1a4f .debug_abbrev 0x00000000 0x11d .\..\obj\hooks.o @@ -4501,10 +4501,10 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .debug_abbrev 0x000009a8 0x1b8 .\..\obj\lib\spl\src\stm32f0xx_usart.o .debug_abbrev 0x00000b60 0x124 .\..\obj\lib\system_stm32f0xx.o .debug_abbrev 0x00000c84 0x17f .\..\obj\main.o - .debug_abbrev 0x00000e03 0x10a .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - .debug_abbrev 0x00000f0d 0x231 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - .debug_abbrev 0x0000113e 0x45 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - .debug_abbrev 0x00001183 0x14 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o + .debug_abbrev 0x00000e03 0x14 .\..\obj\startup_stm32f0xx.o + .debug_abbrev 0x00000e17 0x10a .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + .debug_abbrev 0x00000f21 0x231 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + .debug_abbrev 0x00001152 0x45 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o .debug_abbrev 0x00001197 0xca .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o .debug_abbrev 0x00001261 0x118 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o .debug_abbrev 0x00001379 0x1ae .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o @@ -4535,13 +4535,13 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .debug_aranges 0x00000570 0x20 .\..\obj\main.o .debug_aranges - 0x00000590 0x30 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + 0x00000590 0x20 .\..\obj\startup_stm32f0xx.o .debug_aranges - 0x000005c0 0x78 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + 0x000005b0 0x30 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o .debug_aranges - 0x00000638 0x28 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + 0x000005e0 0x78 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o .debug_aranges - 0x00000660 0x20 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o + 0x00000658 0x28 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o .debug_aranges 0x00000680 0x50 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o .debug_aranges @@ -4654,7 +4654,7 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .debug_macro 0x0000c862 0x91 .\..\obj\~#\~#\~#\source\cop.o .debug_macro 0x0000c8f3 0x157 .\..\obj\~#\~#\~#\source\xcp.o -.debug_line 0x00000000 0x58dc +.debug_line 0x00000000 0x58bf .debug_line 0x00000000 0x53e .\..\obj\hooks.o .debug_line 0x0000053e 0x53d .\..\obj\led.o .debug_line 0x00000a7b 0x740 .\..\obj\lib\spl\src\stm32f0xx_flash.o @@ -4664,19 +4664,19 @@ OUTPUT(.\..\bin\openblt_stm32f051.elf elf32-littlearm) .debug_line 0x000023e5 0x848 .\..\obj\lib\spl\src\stm32f0xx_usart.o .debug_line 0x00002c2d 0x493 .\..\obj\lib\system_stm32f0xx.o .debug_line 0x000030c0 0x527 .\..\obj\main.o - .debug_line 0x000035e7 0x558 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o - .debug_line 0x00003b3f 0x6d3 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o - .debug_line 0x00004212 0x142 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o - .debug_line 0x00004354 0xb4 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cstart.o - .debug_line 0x00004408 0x1aa .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o - .debug_line 0x000045b2 0x569 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o - .debug_line 0x00004b1b 0x5c7 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o - .debug_line 0x000050e2 0x10a .\..\obj\~#\~#\~#\source\assert.o - .debug_line 0x000051ec 0x128 .\..\obj\~#\~#\~#\source\backdoor.o - .debug_line 0x00005314 0x11f .\..\obj\~#\~#\~#\source\boot.o - .debug_line 0x00005433 0x191 .\..\obj\~#\~#\~#\source\com.o - .debug_line 0x000055c4 0x115 .\..\obj\~#\~#\~#\source\cop.o - .debug_line 0x000056d9 0x203 .\..\obj\~#\~#\~#\source\xcp.o + .debug_line 0x000035e7 0x97 .\..\obj\startup_stm32f0xx.o + .debug_line 0x0000367e 0x558 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\cpu.o + .debug_line 0x00003bd6 0x6d3 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\flash.o + .debug_line 0x000042a9 0x142 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\gcc\cpu_comp.o + .debug_line 0x000043eb 0x1aa .\..\obj\~#\~#\~#\source\armcm0_stm32f0\nvm.o + .debug_line 0x00004595 0x569 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\timer.o + .debug_line 0x00004afe 0x5c7 .\..\obj\~#\~#\~#\source\armcm0_stm32f0\uart.o + .debug_line 0x000050c5 0x10a .\..\obj\~#\~#\~#\source\assert.o + .debug_line 0x000051cf 0x128 .\..\obj\~#\~#\~#\source\backdoor.o + .debug_line 0x000052f7 0x11f .\..\obj\~#\~#\~#\source\boot.o + .debug_line 0x00005416 0x191 .\..\obj\~#\~#\~#\source\com.o + .debug_line 0x000055a7 0x115 .\..\obj\~#\~#\~#\source\cop.o + .debug_line 0x000056bc 0x203 .\..\obj\~#\~#\~#\source\xcp.o .debug_str 0x00000000 0x4207e .debug_str 0x00000000 0x3fb4d .\..\obj\hooks.o diff --git a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.srec b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.srec index 4e9d46c7..e6bd7de0 100644 --- a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.srec +++ b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/bin/openblt_stm32f051.srec @@ -1,37 +1,37 @@ S02200002E5C2E2E5C62696E5C6F70656E626C745F73746D3332663035312E7372656323 -S3150800000000100020450D0008970D0008990D0008FE +S3150800000000200020B5080008FF08000801090008BC S3150800001000000000000000000000000000000000D2 -S315080000200000000000000000000000009B0D000812 -S3150800003000000000000000009D0D00089F0D00084C -S31508000040A30D0008A50D0008A70D0008A90D0008B6 -S31508000050AB0D0008AD0D0008AF0D0008B10D000886 -S31508000060B30D0008B50D0008B70D0008B90D000856 -S31508000070BB0D0008BD0D0008BF0D0008C10D000826 -S31508000080C30D0008C50D0008C70D0008C90D0008F6 -S31508000090CB0D0008CD0D0008CF0D0008D10D0008C6 -S315080000A0D30D0008D50D0008D70D0008D90D000896 -S315080000B0DB0D0008DD0D0008DF0D0008E10D000866 +S3150800002000000000000000000000000003090008AE +S315080000300000000000000000050900080709000884 +S315080000400B0900080D0900080F0900081109000826 +S3150800005013090008150900081709000819090008F6 +S315080000601B0900081D0900081F09000821090008C6 +S315080000702309000825090008270900082909000896 +S315080000802B0900082D0900082F0900083109000866 +S315080000903309000835090008370900083909000836 +S315080000A03B0900083D0900083F0900084109000806 +S315080000B043090008450900084709000849090008D6 S315080000C010B5064C2378002B07D1054B002B02D020 S315080000D0044800E000BF0123237010BD3C01002046 -S315080000E000000000C4170008084B10B5002B03D009 +S315080000E000000000BC170008084B10B5002B03D011 S315080000F00749084800E000BF07480368002B00D1FD S3150800010010BD064B002BFBD09847F9E7000000000E -S3150800011040010020C41700083C0100200000000030 +S3150800011040010020BC1700083C0100200000000038 S31508000120164B002B00D1144B9D46402292029A1A78 S31508000130924600218B460F461348144A121A01F0BC -S3150800014053FA0F4B002B00D098470E4B002B00D0CC +S315080001404FFA0F4B002B00D098470E4B002B00D0D0 S3150800015098470020002104000D000D48002802D011 -S315080001600C4800E000BF01F019FA2000290000F051 -S3150800017055FB01F0FDF9C0460000080000100020FC +S315080001600C4800E000BF01F015FA2000290000F055 +S3150800017055FB01F0F9F9C0460000080000200020F0 S3150800018000000000000000003C0100204806002096 S31508000190000000000000000010B500F047F801213B S315080001A09020C00500F034F90138431E9841C0B2CA S315080001B010BDC04610B5642000F006F810BDC04654 S315080001C010B500F007F810BD014B18807047C046FF -S315080001D05C01002010B500F04BFE104B1B689842DE +S315080001D05C01002010B500F047FE104B1B689842E2 S315080001E01BD30F4B1B78002B08D101220C4B1A701E S315080001F0802149000B4800F011F907E00022084B5E -S315080002001A7080214900074800F00AF900F030FE0C +S315080002001A7080214900074800F00AF900F02CFE10 S31508000210054B1B881818014B186010BD58010020A3 S315080002205E010020000800485C01002010B580210E S315080002304900024800F0F4F810BDC046000800481E @@ -73,7 +73,7 @@ S31508000460082B0AD00C2B20D023E0574B0360002022 S3150800047022E0554B036000201EE0524B58685A682C S315080004808002000F851CC0235B021A4202D14F4826 S31508000490684308E04B4BDB6A0F21194001314A4893 -S315080004A000F0DAFF6843206006E0494B036000204D +S315080004A000F0D6FF6843206006E0494B0360002051 S315080004B002E0454B2360002042494B681B061B0F90 S315080004C0444DEB5CDBB226683200DA40130062600A S315080004D04A685205520FAA5CD2B2D340A3600A6B8F @@ -106,9 +106,9 @@ S3150800067029690B43134303608268224B13406A6956 S315080006801343836003A8FFF7DFFE1F4B9C4201D18B S31508000690099E05E01D4B9C4201D10A9E00E0059E7D S315080006A023681B040CD576002B68019319003000CB -S315080006B000F0D2FE07000199300000F053FF0AE06F -S315080006C02B6801931900300000F0C6FE0700019957 -S315080006D0300000F047FF2A685208002391425B4128 +S315080006B000F0CEFE07000199300000F04FFF0AE077 +S315080006C02B6801931900300000F0C2FE070001995B +S315080006D0300000F043FF2A685208002391425B412C S315080006E0FF1823681B0405D53A07520F084B3B40F1 S315080006F01A431700BBB2A3810DB0F0BDFFCFFFFFB1 S31508000700F3E9FFFFFFFCFFFF00380140004400400B @@ -137,256 +137,255 @@ S31508000860FFF7DEFD6B461C71DC7100969025ED05E1 S3150800087069462800FFF77AFD012202212800FFF7C2 S31508000880D1FD012203212800FFF7CCFD0C2300939C S315080008906B465F7102236A4613719471D671694675 -S315080008A02800FFF763FD00F0E1FB00F0EDFBFCE735 -S315080008B00008004810B500F041FA10BD70B5551E85 -S315080008C0ADB2002A0AD06D1801350C00461A2378F5 -S315080008D0335500F031FC0134AC42F8D170BDC04646 -S315080008E010B500F08FFA00281CD0FFF755FC002839 -S315080008F018D000F0F1FB00F0A7FA01210120FFF75C -S315080009007DFE00F083FA0100C02280208005FFF7F3 -S31508000910D5FF0320FFF78EFE00F078FA446800F052 -S315080009200FFAA04710BDC04670B506000C4D00244E -S3150800093000F002FC2B68B3420BD869688C46634406 -S315080009409E4206D263001A199200054B9A18107A2D -S3150800095004E001340C350D2CEAD1FF2070BDC046E9 -S3150800096014160008F8B54F464646C0B40700006896 -S31508000970FFF7DAFF0023FF2831D0FFF761FC3420A8 -S31508000980FFF776FCFFF77AFC3D1D81239B0099460D -S31508000990B944012803D1FFF763FC00231FE00423B1 -S315080009A05B42DB1B984643465C193B689C4664449D -S315080009B02E6800F0C1FB31002000FFF7A5FC0428D3 -S315080009C007D123689E4206D104354D45EBD1012453 -S315080009D002E0002400E00024FFF742FC2300180090 -S315080009E00CBC90469946F8BD70B504000D00104B36 -S315080009F0984207D00F4B99420AD0FFF7B3FF002859 -S31508000A0001D111E00C4CEB050020002B0FD100E0C2 -S31508000A10074C2368AB4209D0200020C080229200F0 -S31508000A202900FFF74BFF01E0002000E0200070BD21 -S31508000A30600100200020000864030020F0B54F463E -S31508000A404646C0B483B005000C0016001F004B0ACA -S31508000A505B0298460368013306D1434608C08022E4 -S31508000A6092004146FFF72AFF2B68984505D0414674 -S31508000A702800FFF7B9FF051E21D02B68E41A2C19A8 -S31508000A800434134B994643468022920094466344A5 -S31508000A90019300F051FB2B1DE31A4B4506D901992A -S31508000AA02800FFF7A1FF051E0BD0041D337823701D -S31508000AB001340136013F002FEBD1012002E000206E -S31508000AC000E0002003B00CBC90469946F0BDC04635 -S31508000AD0FF01000001235B42024A1360024A1360C9 -S31508000AE07047C046640300206001002070B504000A -S31508000AF00D001600FFF718FF0023FF281BD0681EFD -S31508000B000019FFF711FFFF2814D0630A5B020B4A8E -S31508000B10934207D12B00320021000948FFF78EFFC8 -S31508000B20030008E02B00320021000648FFF786FF85 -S31508000B30030000E00023180070BDC046002000082E -S31508000B406001002064030020F0B5474680B4040025 -S31508000B500E00FFF7E9FE05000700701E0019FFF7F3 -S31508000B60E3FE04000020A54271D8043DEDB2FA2D3B -S31508000B706DD8102C6BD8FFF763FB3420FFF778FB92 -S31508000B80FFF77CFB012803D1FFF76AFB00205EE034 -S31508000B90304B0822904698444646002500F0CCFA89 -S31508000BA03378BB4205D16B005A199200294BD558A8 -S31508000BB004E001350C360D2DF0D10E3D47460026D2 -S31508000BC000F0BAFA3B78A34205D173009A1992004D -S31508000BD0204BD75805E001360C370D2EF0D10127EA -S31508000BE07F42002600F0A8FA43461B78A34206D1A6 -S31508000BF073009A199200174B9A18526806E0013644 -S31508000C000C239C46E0440D2EECD100227B1B9B183E -S31508000C109B0A9BB2002B17D0013B9BB29B028022FA -S31508000C20D200AC181C1900F087FA2800FFF750FB11 -S31508000C30042803D0FFF714FB002008E08023DB001C -S31508000C409C466544A542EED1FFF70AFB012004BC89 -S31508000C509046F0BD1416000800B583B0104B1B680B -S31508000C60012001331AD00E4B5A68986884466244AC -S31508000C701100DA68944661441A69944661445A69CF -S31508000C80944661449A6994466144DA698B185B42D2 -S31508000C90019301AA04210348FFF728FF03B000BD0A -S31508000CA060010020C02000080D4B18680D4B1B681A -S31508000CB0C0180D4B1B68C0180C4B1B68C0180C4B92 -S31508000CC01B68C0180B4B1B68C0180B4B1B68C01859 -S31508000CD00A4B1B68C01843425841C0B27047C04609 -S31508000CE00020000804200008082000080C2000083E -S31508000CF0102000081420000818200008C02000084A -S31508000D0010B50A4B1B68013304D00848FFF72AFEC2 -S31508000D1000280AD0064B1B680120013305D0044879 -S31508000D20FFF720FE431E9841C0B210BD60010020A7 -S31508000D3064030020004870470020000872B6704718 -S31508000D4062B6704708498D460849094A094B9B1AF5 -S31508000D5005DD00240859105104349C42FADB064884 -S31508000D60804706480047000000100020D0170008FA -S31508000D70C00000203C01002061070008210100088E -S31508000D801849194A002301E00B6004319142FBD34C -S31508000D90FFF744FDFEE7FEE7FEE7FEE7FEE7FEE7B0 -S31508000DA0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE70D -S31508000DB0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7FD -S31508000DC0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7ED -S31508000DD0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7DD -S31508000DE0FEE700003C0100204806002010B5FFF78A -S31508000DF071FE10BD10B5FFF779FE10BD10B5FFF7EF -S31508000E00A3FE10BD10B5FFF74FFF10BD10B5FFF7D5 -S31508000E1091FF10BD10B5FFF71FFF002801D0FFF79F -S31508000E206FFF10BD054B00221A60054959609A608C -S31508000E3005211960034B1A607047C04610E000E0B0 -S31508000E407FBB0000680500200022014B1A6070472E -S31508000E5010E000E0044B1B68DB0303D5034A136864 -S31508000E60013313607047C04610E000E068050020B3 -S31508000E7010B5FFF7EFFF014B186810BD6805002095 -S31508000E8010B5040080210A48FFF762FC00230028F9 -S31508000E900BD0A1B20648FFF749FC054C802120007B -S31508000EA0FFF756FC0028F9D00123180010BDC046EC -S31508000EB00044004010B5040020210648FFF748FC0E -S31508000EC00023012804D10348FFF734FC20700123CE -S31508000ED0180010BD0044004010B586B0E1231B027F -S31508000EE00093002301930293039305930C33049311 -S31508000EF0074C69462000FFF7A7FB8021490120001F -S31508000F00FFF71CFC01212000FFF704FC06B010BD0A -S31508000F100044004070B506000C00402903D97F2123 -S31508000F20114800F073F82000FFF7AAFF012803D044 -S31508000F3083210D4800F06AF8A3B2002B12D03400C2 -S31508000F40013B9DB20135751900F0F6F82078FFF7D8 -S31508000F5097FF012803D08C21034800F057F8013485 -S31508000F60AC42F1D170BDC046B016000870B5050098 -S31508000F70224B1C78002C13D12148FFF79BFF012830 -S31508000F803AD11F4B1B78002B33D0FFF771FF1D4B4F -S31508000F90186000221C4B1A700132184B1A702BE08D -S31508000FA0194B18780130164B1818FFF783FF040001 -S31508000FB0012812D1144B1A780132D2B21A70104B8A -S31508000FC01B78934217D192B20D4901312800FFF7D9 -S31508000FD075FC0022094B1A700EE0FFF749FF094B12 -S31508000FE01B6864330024984206D90022034B1A7002 -S31508000FF002E01C0000E00024200070BDAE050020C1 -S315080010006C050020B0050020AD05002010B500F0E5 -S3150800101093F8FCE710B500F087F8012810D0094BC3 -S315080010201B78012B0CD1FFF723FF074B1B68F53301 -S31508001030FF33984204D30022024B1A70FFF750FC84 -S3150800104010BDC046B4050020B805002010B5012221 -S31508001050044B1A70FFF70CFF034B1860FFF7DAFF13 -S3150800106010BDC046B4050020B805002010B5FFF72E -S3150800107021FC00F05DF8FFF7D5FEFFF7B7FE00F09C -S315080010800DF8FFF7E3FF10BD10B500F055F8FFF7B0 -S31508001090E1FE00F00FF8FFF7BDFF10BD10B500F038 -S315080010A059F8FFF719FF0022014B1A7010BDC04608 -S315080010B0D000002010B50648FFF758FF012805D1D3 -S315080010C00022044B1A70024800F062F810BDC046B0 -S315080010D0BC050020D00000207047C04610B5054B5F -S315080010E01B78002B02D1C9B2FFF714FF00F048F8AD -S315080010F010BDC046D0000020044B18780138C0B295 -S31508001100022383428041404280017047D00000207C -S31508001110044B18780138C0B202238342804140420A -S3150800112080017047D000002010B500F021F810BDEE -S3150800113010B5FFF73FF810BD10B5FFF741F810BD21 -S31508001140034BFE22DA7018710221BA3A9952704797 -S31508001150FC050020054B00221A709A6443215A5454 -S3150800116001315A529A705A707047C046FC050020E1 -S31508001170024B1878431E9841C0B27047FC05002000 -S3150800118000214323014AD1547047C046FC0500207C -S3150800119070B504000378FF2B1BD1AC4C0023637099 -S315080011A001252570FF22E270EF3A22716371FFF77D -S315080011B0A3FFA071FFF7ACFFE071FFF7A9FF000AD4 -S315080011C020726572A57208224423E252FFF70EFECA -S315080011D01BE19E4A1278012A00D036E13733DAB28B -S315080011E0352A00D90EE19300994AD3589F46457887 -S315080011F0FFF782FF0138854203DD2220FFF7A0FFB3 -S3150800120003E16278914D281DA96CFFF757FBFF2370 -S31508001210EB706378AA6C94466344AB6463780133D5 -S315080012204422AB52F1E04578FFF766FF0138854264 -S3150800123003DD2220FFF784FFE7E06168834DA96498 -S315080012406278281DFFF73AFBFF23EB706378AA6CD8 -S3150800125094466344AB64637801334422AB52D4E0CA -S315080012607A4BFF22DA7042689A6401214422995225 -S31508001270E5E0764BFF22DA709A6C4068002808D0C1 -S315080012801018002311785B18DBB201329042F9D1AD -S3150800129000E000236D4A0020D371002414725472B2 -S315080012A01B0E9372012313715071907108214333F9 -S315080012B0D152C4E0654BFF22DA70664A9A6400226E -S315080012C01A715A719A710721D971002119725972C6 -S315080012D09972083144229952B1E00020FFF730FF95 -S315080012E093E05A4BFF22DA7000221A715978597125 -S315080012F09A71DA711A720621443299529FE0534B59 -S3150800130000221A705A70FF32DA700121BB3A9952DC -S3150800131095E04E4B9D6CFFF7EFFE621C411E2800C0 -S31508001320FFF768FD002803D13130FFF709FF6CE0AD -S31508001330464CFF23E370FFF7DFFE0138A36C9C469B -S315080013406044A06401224423E25278E04578FFF71E -S31508001350D3FE0238854203DD2220FFF7F1FE54E072 -S315080013603A4BFF22DA700121BB3A9952617800297B -S3150800137007D1FFF74FFD002847D13130FFF7E0FED0 -S3150800138043E0A21C314B986CFFF734FD002803D1CB -S315080013903130FFF7D5FE38E02C4A6378916C8C46DD -S315080013A06344936431E0294CFF23E37000252571DB -S315080013B06571FFF7A1FEA071E571257265720722B6 -S315080013C04423E2523BE04168204B986CFFF716FD38 -S315080013D0002803D13130FFF7B3FE16E01B4BFF227E -S315080013E0DA700121BB3A995229E0FFF779FA174BCF -S315080013F0FF22DA700121BB3A995220E03120FFF72B -S315080014009FFE02E02020FFF79BFE43230F4AD35C92 -S31508001410012B02D11020FFF793FE44230B4AD15E1D -S31508001420002912DD094801224323C25489B2033038 -S31508001430FFF754FE09E04423044AD15EF2E743234A -S31508001440024AD35C012BF6D1E4E770BDFC05002007 -S31508001450DC160008B4170008002243088B4274D330 -S3150800146003098B425FD3030A8B4244D3030B8B4297 -S3150800147028D3030C8B420DD3FF22090212BA030CA0 -S315080014808B4202D31212090265D0030B8B4219D381 -S3150800149000E0090AC30B8B4201D3CB03C01A5241A1 -S315080014A0830B8B4201D38B03C01A5241430B8B42E9 -S315080014B001D34B03C01A5241030B8B4201D30B03D2 -S315080014C0C01A5241C30A8B4201D3CB02C01A5241F9 -S315080014D0830A8B4201D38B02C01A5241430A8B42BC -S315080014E001D34B02C01A5241030A8B4201D30B02A5 -S315080014F0C01A5241CDD2C3098B4201D3CB01C01ABF -S31508001500524183098B4201D38B01C01A52414309C8 -S315080015108B4201D34B01C01A524103098B4201D3B6 -S315080015200B01C01A5241C3088B4201D3CB00C01A23 -S31508001530524183088B4201D38B00C01A524143089B -S315080015408B4201D34B00C01A5241411A00D20146C0 -S31508001550524110467047FFE701B5002000F006F833 -S3150800156002BDC0460029F7D076E770477047C046E7 -S31508001570084B10B50400002B02D0002100E000BF84 -S31508001580054B1868836A002B00D09847200000F0A6 -S3150800159033F8C04600000000C01700080E4B70B5AF -S315080015A000251E000D4CE41AA410A54204D0AB0079 -S315080015B0F35898470135F8E700F020F8084B00255E -S315080015C01E00084CE41AA410A54204D0AB00F35838 -S315080015D098470135F8E770BD340100203401002032 -S315080015E0340100203801002003008218934202D0FB -S315080015F019700133FAE77047FEE70000F8B5C046F0 -S31508001600F8BC08BC9E467047F8B5C046F8BC08BC8E -S315080016109E467047002000080008000004000000ED -S315080016200028000800080000050000000030000837 -S315080016300008000006000000003800080008000046 -S31508001640070000000040000800080000080000002D -S3150800165000480008000800000900000000500008C3 -S31508001660000800000A0000000058000800080000F2 -S315080016700B00000000600008000800000C000000D5 -S3150800168000680008000800000D000000007000084F -S31508001690000800000E00000000780008000800009E -S315080016A00F00000000800008008000001000000005 -S315080016B02E2E5C2E2E5C2E2E5C2E2E5C536F757293 -S315080016C063655C41524D434D305F53544D3332464A -S315080016D0305C756172742E630000000012130008F6 -S315080016E00414000804140008FC1300080414000875 -S315080016F004140008EA1300084C130008C61300086F -S31508001700A6130008041400080414000804140008AA -S31508001710041400080414000804140008041400083B -S31508001720041400080414000804140008041400082B -S31508001730041400080414000804140008041400081B -S31508001740041400080414000804140008041400080B -S3150800175004140008041400080414000804140008FB -S3150800176004140008041400080414000804140008EB -S3150800177004140008041400080414000804140008DB -S31508001780041400087212000826120008EE11000858 -S315080017906012000804140008041400080414000861 -S315080017A0B412000804140008DA120008E21200084D -S315080017B0FE1200084F70656E424C5400430000004C -S30D080017C0D4000020000000001F -S30D080017C858E9FF7F010000004B -S315080017D000000000010203040102030406070809C9 -S315080017E004000000000000000000000000000000E7 -S315080017F000000000000000000000000000000000DB -S3150800180000000000BC1700080000000000000000EF -S3150800181000000000000000000000000000000000BA -S3150800182000000000000000000000000000000000AA -S31508001830000000000000000000000000000000009A -S3110800184000000000E9000008C1000008D4 +S315080008A02800FFF763FD00F0DDFB00F0E9FBFCE73D +S315080008B0000800480749084A084B9B1A05DD00242A +S315080008C00859105104349C42FADB0548804705480C +S315080008D000470000C8170008C00000203C0100209F +S315080008E061070008210100081849194A002301E098 +S315080008F00B6004319142FBD3FFF790FFFEE7FEE75A +S31508000900FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7B1 +S31508000910FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7A1 +S31508000920FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE791 +S31508000930FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE781 +S31508000940FEE7FEE7FEE7FEE7FEE700003C010020C3 +S315080009504806002010B500F041FA10BD70B5551EC6 +S31508000960ADB2002A0AD06D1801350C00461A237854 +S31508000970335500F0DDFB0134AC42F8D170BDC046FA +S3150800098010B500F03BFA00281CD0FFF705FC00283C +S3150800099018D000F09DFB00F053FA01210120FFF763 +S315080009A02DFE00F02FFA0100C02280208005FFF7F7 +S315080009B0D5FF0320FFF73EFE00F024FA446800F056 +S315080009C00FFAA04710BDC04670B506000C4D0024AE +S315080009D000F0AEFB2B68B3420BD869688C466344BB +S315080009E09E4206D263001A199200054B9A18107A8D +S315080009F004E001340C350D2CEAD1FF2070BDC04649 +S31508000A000C160008F8B54F464646C0B407000068FD +S31508000A10FFF7DAFF0023FF2831D0FFF711FC342057 +S31508000A20FFF726FCFFF72AFC3D1D81239B0099460C +S31508000A30B944012803D1FFF713FC00231FE0042360 +S31508000A405B42DB1B984643465C193B689C466444FC +S31508000A502E6800F06DFB31002000FFF755FC0428D6 +S31508000A6007D123689E4206D104354D45EBD10124B2 +S31508000A7002E0002400E00024FFF7F2FB2300180040 +S31508000A800CBC90469946F8BD70B504000D00104B95 +S31508000A90984207D00F4B99420AD0FFF7B3FF0028B8 +S31508000AA001D111E00C4CEB050020002B0FD100E022 +S31508000AB0074C2368AB4209D0200020C08022920050 +S31508000AC02900FFF74BFF01E0002000E0200070BD81 +S31508000AD0600100200020000864030020F0B54F469E +S31508000AE04646C0B483B005000C0016001F004B0A2A +S31508000AF05B0298460368013306D1434608C0802244 +S31508000B0092004146FFF72AFF2B68984505D04146D3 +S31508000B102800FFF7B9FF051E21D02B68E41A2C1907 +S31508000B200434134B99464346802292009446634404 +S31508000B30019300F0FDFA2B1DE31A4B4506D90199DE +S31508000B402800FFF7A1FF051E0BD0041D337823707C +S31508000B5001340136013F002FEBD1012002E00020CD +S31508000B6000E0002003B00CBC90469946F0BDC04694 +S31508000B70FF01000001235B42024A1360024A136028 +S31508000B807047C046640300206001002070B5040069 +S31508000B900D001600FFF718FF0023FF281BD0681E5C +S31508000BA00019FFF711FFFF2814D0630A5B020B4AEE +S31508000BB0934207D12B00320021000948FFF78EFF28 +S31508000BC0030008E02B00320021000648FFF786FFE5 +S31508000BD0030000E00023180070BDC046002000088E +S31508000BE06001002064030020F0B5474680B4040085 +S31508000BF00E00FFF7E9FE05000700701E0019FFF753 +S31508000C00E3FE04000020A54271D8043DEDB2FA2D9A +S31508000C106DD8102C6BD8FFF713FB3420FFF728FB91 +S31508000C20FFF72CFB012803D1FFF71AFB00205EE033 +S31508000C30304B0822904698444646002500F078FA3C +S31508000C403378BB4205D16B005A199200294BD55807 +S31508000C5004E001350C360D2DF0D10E3D4746002631 +S31508000C6000F066FA3B78A34205D173009A19920000 +S31508000C70204BD75805E001360C370D2EF0D1012749 +S31508000C807F42002600F054FA43461B78A34206D159 +S31508000C9073009A199200174B9A18526806E00136A3 +S31508000CA00C239C46E0440D2EECD100227B1B9B189E +S31508000CB09B0A9BB2002B17D0013B9BB29B0280225A +S31508000CC0D200AC181C1900F033FA2800FFF700FB15 +S31508000CD0042803D0FFF7C4FA002008E08023DB00CD +S31508000CE09C466544A542EED1FFF7BAFA012004BC3A +S31508000CF09046F0BD0C16000800B583B0104B1B6873 +S31508000D00012001331AD00E4B5A689868844662440B +S31508000D101100DA68944661441A69944661445A692E +S31508000D20944661449A6994466144DA698B185B4231 +S31508000D30019301AA04210348FFF728FF03B000BD69 +S31508000D4060010020C02000080D4B18680D4B1B6879 +S31508000D50C0180D4B1B68C0180C4B1B68C0180C4BF1 +S31508000D601B68C0180B4B1B68C0180B4B1B68C018B8 +S31508000D700A4B1B68C01843425841C0B27047C04668 +S31508000D800020000804200008082000080C2000089D +S31508000D90102000081420000818200008C0200008A9 +S31508000DA010B50A4B1B68013304D00848FFF72AFE22 +S31508000DB000280AD0064B1B680120013305D00448D9 +S31508000DC0FFF720FE431E9841C0B210BD6001002007 +S31508000DD064030020004870470020000872B6704778 +S31508000DE062B6704710B5FFF7C5FE10BD10B5FFF720 +S31508000DF0CDFE10BD10B5FFF7F7FE10BD10B5FFF715 +S31508000E00A3FF10BD10B5FFF7E5FF10BD10B5FFF73E +S31508000E1073FF002801D0FFF7C3FF10BD054B002262 +S31508000E201A60054959609A6005211960034B1A60D2 +S31508000E307047C04610E000E07FBB00006805002050 +S31508000E400022014B1A60704710E000E0044B1B6853 +S31508000E50DB0303D5034A1368013313607047C046A2 +S31508000E6010E000E06805002010B5FFF7EFFF014B22 +S31508000E70186810BD6805002010B5040080210A48CE +S31508000E80FFF766FC002300280BD0A1B20648FFF73F +S31508000E904DFC054C80212000FFF75AFC0028F9D0AC +S31508000EA00123180010BDC0460044004010B50400D8 +S31508000EB020210648FFF74CFC0023012804D10348EB +S31508000EC0FFF738FC20700123180010BD00440040CD +S31508000ED010B586B0E1231B02009300230193029309 +S31508000EE0039305930C330493074C69462000FFF7D8 +S31508000EF0ABFB802149012000FFF720FC01212000DF +S31508000F00FFF708FC06B010BD0044004070B50600A7 +S31508000F100C00402903D97F21114800F073F82000FE +S31508000F20FFF7AAFF012803D083210D4800F06AF8CD +S31508000F30A3B2002B12D03400013B9DB201357519BE +S31508000F4000F0F6F82078FFF797FF012803D08C21E8 +S31508000F50034800F057F80134AC42F1D170BDC046E1 +S31508000F60A816000870B50500224B1C78002C13D172 +S31508000F702148FFF79BFF01283AD11F4B1B78002B0E +S31508000F8033D0FFF771FF1D4B186000221C4B1A70F7 +S31508000F900132184B1A702BE0194B18780130164B92 +S31508000FA01818FFF783FF0400012812D1144B1A788A +S31508000FB00132D2B21A70104B1B78934217D192B2F3 +S31508000FC00D4901312800FFF7C9FC0022094B1A70A8 +S31508000FD00EE0FFF749FF094B1B686433002498426B +S31508000FE006D90022034B1A7002E01C0000E0002418 +S31508000FF0200070BDAE0500206C050020B00500205D +S31508001000AD05002010B500F093F8FCE710B500F028 +S3150800101087F8012810D0094B1B78012B0CD1FFF754 +S3150800102023FF074B1B68F533FF33984204D300228E +S31508001030024B1A70FFF7A4FC10BDC046B405002089 +S31508001040B805002010B50122044B1A70FFF70CFFF3 +S31508001050034B1860FFF7DAFF10BDC046B405002041 +S31508001060B805002010B5FFF775FC00F05DF8FFF72E +S31508001070D5FEFFF7B7FE00F00DF8FFF7E3FF10BD4A +S3150800108010B500F055F8FFF7E1FE00F00FF8FFF78E +S31508001090BDFF10BD10B500F059F8FFF719FF002283 +S315080010A0014B1A7010BDC046D000002010B5064886 +S315080010B0FFF758FF012805D10022044B1A70024891 +S315080010C000F062F810BDC046BC050020D000002024 +S315080010D07047C04610B5054B1B78002B02D1C9B224 +S315080010E0FFF714FF00F048F810BDC046D0000020F6 +S315080010F0044B18780138C0B202238342804140422B +S3150800110080017047D0000020044B18780138C0B21F +S31508001110022383428041404280017047D00000206C +S3150800112010B500F021F810BD10B5FFF743F810BD53 +S3150800113010B5FFF745F810BD034BFE22DA7018719B +S315080011400221BA3A99527047FC050020054B002245 +S315080011501A709A6443215A5401315A529A705A7035 +S315080011607047C046FC050020024B1878431E98417C +S31508001170C0B27047FC05002000214323014AD15420 +S315080011807047C046FC05002070B504000378FF2BA5 +S315080011901BD1AC4C0023637001252570FF22E27039 +S315080011A0EF3A22716371FFF7A3FFA071FFF7ACFF57 +S315080011B0E071FFF7A9FF000A20726572A57208227E +S315080011C04423E252FFF70EFE1BE19E4A1278012ADB +S315080011D000D036E13733DAB2352A00D90EE193006A +S315080011E0994AD3589F464578FFF782FF01388542CA +S315080011F003DD2220FFF7A0FF03E16278914D281D49 +S31508001200A96CFFF7ABFBFF23EB706378AA6C9446D7 +S315080012106344AB64637801334422AB52F1E045780A +S31508001220FFF766FF0138854203DD2220FFF784FFBA +S31508001230E7E06168834DA9646278281DFFF78EFB95 +S31508001240FF23EB706378AA6C94466344AB646378B7 +S3150800125001334422AB52D4E07A4BFF22DA7042685B +S315080012609A64012144229952E5E0764BFF22DA700E +S315080012709A6C4068002808D01018002311785B186B +S31508001280DBB201329042F9D100E000236D4A00201A +S31508001290D3710024147254721B0E937201231371B6 +S315080012A05071907108214333D152C4E0654BFF2237 +S315080012B0DA70664A9A6400221A715A719A7107217D +S315080012C0D9710021197259729972083144229952BA +S315080012D0B1E00020FFF730FF93E05A4BFF22DA70A7 +S315080012E000221A71597859719A71DA711A7206219F +S315080012F0443299529FE0534B00221A705A70FF32BB +S31508001300DA700121BB3A995295E04E4B9D6CFFF776 +S31508001310EFFE621C411E2800FFF768FD002803D176 +S315080013203130FFF709FF6CE0464CFF23E370FFF707 +S31508001330DFFE0138A36C9C466044A0640122442366 +S31508001340E25278E04578FFF7D3FE0238854203DD9E +S315080013502220FFF7F1FE54E03A4BFF22DA70012112 +S31508001360BB3A99526178002907D1FFF74FFD00284B +S3150800137047D13130FFF7E0FE43E0A21C314B986CB1 +S31508001380FFF734FD002803D13130FFF7D5FE38E0EA +S315080013902C4A6378916C8C466344936431E0294CFB +S315080013A0FF23E370002525716571FFF7A1FEA07183 +S315080013B0E5712572657207224423E2523BE04168D3 +S315080013C0204B986CFFF716FD002803D13130FFF744 +S315080013D0B3FE16E01B4BFF22DA700121BB3A995285 +S315080013E029E0FFF7CDFA174BFF22DA700121BB3A45 +S315080013F0995220E03120FFF79FFE02E02020FFF7F8 +S315080014009BFE43230F4AD35C012B02D11020FFF722 +S3150800141093FE44230B4AD15E002912DD09480122B6 +S315080014204323C25489B20330FFF754FE09E044232C +S31508001430044AD15EF2E74323024AD35C012BF6D174 +S31508001440E4E770BDFC050020D4160008AC170008B8 +S31508001450002243088B4274D303098B425FD3030AE5 +S315080014608B4244D3030B8B4228D3030C8B420DD3F8 +S31508001470FF22090212BA030C8B4202D31212090286 +S3150800148065D0030B8B4219D300E0090AC30B8B42C4 +S3150800149001D3CB03C01A5241830B8B4201D38B0372 +S315080014A0C01A5241430B8B4201D34B03C01A524117 +S315080014B0030B8B4201D30B03C01A5241C30A8B425A +S315080014C001D3CB02C01A5241830A8B4201D38B0245 +S315080014D0C01A5241430A8B4201D34B02C01A5241E9 +S315080014E0030A8B4201D30B02C01A5241CDD2C3095B +S315080014F08B4201D3CB01C01A524183098B4201D3D7 +S315080015008B01C01A524143098B4201D34B01C01AC1 +S31508001510524103098B4201D30B01C01A5241C30839 +S315080015208B4201D3CB00C01A524183088B4201D3A8 +S315080015308B00C01A524143088B4201D34B00C01A94 +S315080015405241411A00D20146524110467047FFE700 +S3150800155001B5002000F006F802BDC0460029F7D004 +S3150800156076E770477047C046084B10B50400002B55 +S3150800157002D0002100E000BF054B1868836A002BE3 +S3150800158000D09847200000F033F8C046000000005D +S31508001590B81700080E4B70B500251E000D4CE41A4E +S315080015A0A410A54204D0AB00F35898470135F8E7D4 +S315080015B000F020F8084B00251E00084CE41AA41079 +S315080015C0A54204D0AB00F35898470135F8E770BD3B +S315080015D034010020340100203401002038010020A5 +S315080015E003008218934202D019700133FAE7704754 +S315080015F0FEE70000F8B5C046F8BC08BC9E46704732 +S31508001600F8B5C046F8BC08BC9E46704700200008DE +S315080016100008000004000000002800080008000078 +S315080016200500000000300008000800000600000061 +S315080016300038000800080000070000000040000805 +S315080016400008000008000000004800080008000024 +S315080016500900000000500008000800000A00000009 +S3150800166000580008000800000B0000000060000891 +S31508001670000800000C0000000068000800080000D0 +S315080016800D00000000700008000800000E000000B1 +S3150800169000780008000800000F000000008000081D +S315080016A000800000100000002E2E5C2E2E5C2E2ED0 +S315080016B05C2E2E5C536F757263655C41524D434DCB +S315080016C0305F53544D333246305C756172742E6305 +S315080016D0000000000A130008FC130008FC130008A9 +S315080016E0F4130008FC130008FC130008E2130008B2 +S315080016F044130008BE1300089E130008FC130008D4 +S31508001700FC130008FC130008FC130008FC1300086F +S31508001710FC130008FC130008FC130008FC1300085F +S31508001720FC130008FC130008FC130008FC1300084F +S31508001730FC130008FC130008FC130008FC1300083F +S31508001740FC130008FC130008FC130008FC1300082F +S31508001750FC130008FC130008FC130008FC1300081F +S31508001760FC130008FC130008FC130008FC1300080F +S31508001770FC130008FC130008FC1300086A12000892 +S315080017801E120008E611000858120008FC1300088B +S31508001790FC130008FC130008AC120008FC13000830 +S315080017A0D2120008DA120008F61200084F70656EA9 +S315080017B0424C540043000000D40000200000000002 +S30D080017C060E9FF7F010000004B +S315080017C800000000010203040102030406070809D1 +S315080017D804000000000000000000000000000000EF +S315080017E800000000000000000000000000000000E3 +S315080017F800000000B4170008000000000000000000 +S3150800180800000000000000000000000000000000C2 +S3150800181800000000000000000000000000000000B2 +S3150800182800000000000000000000000000000000A2 +S3110800183800000000E9000008C1000008DC S70508000000F2 diff --git a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.depend b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.depend index 62229e94..fee64e73 100644 --- a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.depend +++ b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.depend @@ -617,7 +617,7 @@ "led.h" "stm32f0xx.h" -1456845505 c:\work\software\openblt\target\source\boot.h +1499159026 c:\work\software\openblt\target\source\boot.h "types.h" "assert.h" "blt_conf.h" @@ -632,29 +632,29 @@ 1461858281 c:\work\software\openblt\target\source\armcm0_stm32\types.h -1456845505 c:\work\software\openblt\target\source\assert.h +1495810634 c:\work\software\openblt\target\source\assert.h 1461928291 c:\work\software\openblt\target\demo\armcm0_stm32_discovery_stm32f051_gcc\boot\\blt_conf.h -1456845505 c:\work\software\openblt\target\source\plausibility.h +1495810634 c:\work\software\openblt\target\source\plausibility.h -1456845505 c:\work\software\openblt\target\source\cpu.h +1495810632 c:\work\software\openblt\target\source\cpu.h -1456845505 c:\work\software\openblt\target\source\cop.h +1495810633 c:\work\software\openblt\target\source\cop.h -1456845505 c:\work\software\openblt\target\source\nvm.h +1495810632 c:\work\software\openblt\target\source\nvm.h -1456845505 c:\work\software\openblt\target\source\timer.h +1495810633 c:\work\software\openblt\target\source\timer.h -1456845505 c:\work\software\openblt\target\source\backdoor.h +1495810633 c:\work\software\openblt\target\source\backdoor.h -1456845505 c:\work\software\openblt\target\source\file.h +1495810634 c:\work\software\openblt\target\source\file.h "ff.h" -1456845505 c:\work\software\openblt\target\source\com.h +1495810633 c:\work\software\openblt\target\source\com.h "xcp.h" -1456845506 c:\work\software\openblt\target\source\xcp.h +1495810633 c:\work\software\openblt\target\source\xcp.h 1461925958 source:c:\work\software\openblt\target\source\armcm0_stm32\cpu.c "boot.h" @@ -674,34 +674,34 @@ "boot.h" "stm32f0xx.h" -1456845497 source:c:\work\software\openblt\target\source\assert.c +1495810634 source:c:\work\software\openblt\target\source\assert.c "boot.h" -1456845497 source:c:\work\software\openblt\target\source\backdoor.c +1495810633 source:c:\work\software\openblt\target\source\backdoor.c "boot.h" -1456845497 source:c:\work\software\openblt\target\source\boot.c +1495810634 source:c:\work\software\openblt\target\source\boot.c "boot.h" -1456845497 source:c:\work\software\openblt\target\source\com.c +1495810633 source:c:\work\software\openblt\target\source\com.c "boot.h" "can.h" "uart.h" "usb.h" "net.h" -1456845505 c:\work\software\openblt\target\source\can.h +1495810632 c:\work\software\openblt\target\source\can.h -1456845505 c:\work\software\openblt\target\source\uart.h +1495810634 c:\work\software\openblt\target\source\uart.h -1456845506 c:\work\software\openblt\target\source\usb.h +1495810633 c:\work\software\openblt\target\source\usb.h -1456845505 c:\work\software\openblt\target\source\net.h +1495810633 c:\work\software\openblt\target\source\net.h -1456845497 source:c:\work\software\openblt\target\source\cop.c +1495810633 source:c:\work\software\openblt\target\source\cop.c "boot.h" -1456845497 source:c:\work\software\openblt\target\source\xcp.c +1495810633 source:c:\work\software\openblt\target\source\xcp.c "boot.h" 1461910205 source:c:\work\software\openblt\target\source\armcm0_stm32\uart.c @@ -715,3 +715,258 @@ "led.h" "stm32f0xx.h" +1495810643 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\hooks.c + "boot.h" + "led.h" + "stm32f0xx.h" + +1495810634 c:\work\software\openblt\target\source\armcm0_stm32f0\types.h + +1495810643 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\\blt_conf.h + +1495810643 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\led.h + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\stm32f0xx.h + "core_cm0.h" + "system_stm32f0xx.h" + + "stm32f0xx_conf.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\cmsis\core_cm0.h + + "core_cmInstr.h" + "core_cmFunc.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\cmsis\core_cminstr.h + + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\cmsis\core_cmfunc.h + + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\system_stm32f0xx.h + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\stm32f0xx_conf.h + "stm32f0xx_adc.h" + "stm32f0xx_can.h" + "stm32f0xx_cec.h" + "stm32f0xx_crc.h" + "stm32f0xx_crs.h" + "stm32f0xx_comp.h" + "stm32f0xx_dac.h" + "stm32f0xx_dbgmcu.h" + "stm32f0xx_dma.h" + "stm32f0xx_exti.h" + "stm32f0xx_flash.h" + "stm32f0xx_gpio.h" + "stm32f0xx_syscfg.h" + "stm32f0xx_i2c.h" + "stm32f0xx_iwdg.h" + "stm32f0xx_pwr.h" + "stm32f0xx_rcc.h" + "stm32f0xx_rtc.h" + "stm32f0xx_spi.h" + "stm32f0xx_tim.h" + "stm32f0xx_usart.h" + "stm32f0xx_wwdg.h" + "stm32f0xx_misc.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_adc.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_can.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_cec.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_crc.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_crs.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_comp.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_dac.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_dbgmcu.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_dma.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_exti.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_flash.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_gpio.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_syscfg.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_i2c.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_iwdg.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_pwr.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_rcc.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_rtc.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_spi.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_tim.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_usart.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_wwdg.h + "stm32f0xx.h" + +1495810644 c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\inc\stm32f0xx_misc.h + "stm32f0xx.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\led.c + "boot.h" + "led.h" + "stm32f0xx.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_adc.c + "stm32f0xx_adc.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_can.c + "stm32f0xx_can.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_cec.c + "stm32f0xx_cec.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_comp.c + "stm32f0xx_comp.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_crc.c + "stm32f0xx_crc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_crs.c + "stm32f0xx_crs.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_dac.c + "stm32f0xx_dac.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_dbgmcu.c + "stm32f0xx_dbgmcu.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_dma.c + "stm32f0xx_dma.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_exti.c + "stm32f0xx_exti.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_flash.c + "stm32f0xx_flash.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_gpio.c + "stm32f0xx_gpio.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_i2c.c + "stm32f0xx_i2c.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_iwdg.c + "stm32f0xx_iwdg.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_misc.c + "stm32f0xx_misc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_pwr.c + "stm32f0xx_pwr.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_rcc.c + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_rtc.c + "stm32f0xx_rtc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_spi.c + "stm32f0xx_spi.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_syscfg.c + "stm32f0xx_syscfg.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_tim.c + "stm32f0xx_tim.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_usart.c + "stm32f0xx_usart.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\spl\src\stm32f0xx_wwdg.c + "stm32f0xx_wwdg.h" + "stm32f0xx_rcc.h" + +1495810644 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\lib\system_stm32f0xx.c + "stm32f0xx.h" + +1495810643 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\main.c + "boot.h" + "stm32f0xx.h" + +1499944079 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_discovery_stm32f051_gcc\boot\startup_stm32f0xx.s + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\cpu.c + "boot.h" + "stm32f0xx.h" + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\flash.c + "boot.h" + "stm32f0xx.h" + "flash_layout.c" + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\gcc\cpu_comp.c + "boot.h" + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\nvm.c + "boot.h" + "flash.h" + +1495810634 c:\work\software\openblt\target\source\armcm0_stm32f0\flash.h + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\timer.c + "boot.h" + "stm32f0xx.h" + +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\uart.c + "boot.h" + "stm32f0xx.h" + +1495810634 source:c:\work\software\openblt\target\source\file.c + "boot.h" + + + +1495810633 source:c:\work\software\openblt\target\source\net.c + "boot.h" + "netdev.h" + "uip.h" + "uip_arp.h" + diff --git a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.ebp b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.ebp index 89042eb5..12babb42 100644 --- a/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.ebp +++ b/Target/Demo/ARMCM0_STM32F0_Discovery_STM32F051_GCC/Boot/ide/stm32f0.ebp @@ -31,7 +31,7 @@ - + @@ -267,6 +267,10 @@