From 58483a7333116005a41ab48e98dae5657a036835 Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Thu, 13 Jul 2017 09:48:51 +0000 Subject: [PATCH] Refs #225. Added 29-bit extended CAN identifier support to the STM32F0 port. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@292 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_stm32f091.elf | Bin 609804 -> 609772 bytes .../Boot/bin/openblt_stm32f091.map | 656 +++++++++--------- .../Boot/bin/openblt_stm32f091.srec | 590 ++++++++-------- .../Boot/blt_conf.h | 7 +- .../Boot/ide/stm32f091.depend | 182 ++--- .../Boot/ide/stm32f091.elay | 12 +- .../Prog/bin/demoprog_stm32f091.elf | Bin 489836 -> 489920 bytes .../Prog/bin/demoprog_stm32f091.map | 424 +++++------ .../Prog/bin/demoprog_stm32f091.srec | 468 ++++++------- .../Prog/boot.c | 15 +- Target/Source/ARMCM0_STM32F0/can.c | 32 +- 11 files changed, 1212 insertions(+), 1174 deletions(-) diff --git a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/bin/openblt_stm32f091.elf b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/bin/openblt_stm32f091.elf index 35c9fc1b82daac1c93ad4c8f015b340495033e80..0ecb70b33aa3ca12f3f42437a61ae5a0153f1766 100644 GIT binary patch delta 89059 zcmce<2YeJ&-!?pFc4v2XcQ!pCA%%nl2t5?(y(7H|Qk1HINE4K%OK&1Yz@dbW6agvH zi-J<5N$((_fPjcp>F{0GncWrc$M?RU=l8tt7d6b4P8#IK=ZdCz2mxW z9#KfumOoW)Z8E>~ikjZ^7fNo|p%g_-QEp|LPrTy2TnSH+l$-~Di(XM?^Z060tIe&p zq}r`5v5AVFJjbcLup#m7l?u1a&q73L@uj&tM5I=as`28%k|#>4lAi6LSe8doQo=xK z{3K65(DFnd^41d5rd0|#B$iC=r{p#cDyibD!?B0^{nA(zIlXFhr3Xhus(L{&3xZ+Sx-zxehAJZ6cId@}yk_n&kt*Id>x79!;a>M%n3y3V^FF3Vg~u-*RC#R46*l7| z#JpUwkL~HUgD)POeWWDo7Y3%ri_B^rs(e}LCQKcEB$DkYid$RCth*c`vItSZd=@Ek zsINaYGonN#vqF?G%$`wVQN@n33_J(tta2d#^`2<82c{$|FCMgfqNG1MC{kmUuq|7w zDOHpTVQcJ4atfvDLPwCvKv|-J6T){hPSG!9wZcjNoA^$IbcDGGgAoEU z|Ciry{I?C)MM71CJ+X>j=A}e4LF4`STD-qSIBh0o6IryY7hm|q$~hx0PTsC&*z=(= zPnc6?T~VQaEqr&X*cD^^N>!QrJsb0@f9l42ilP=M3aU-$6}#H_`gS~CcIS5|RA7#E z#8l_H5`1Y((G0-*dAh3D?OLa{0dVrxrwO~J-hsVuo&c^`i4;AT_Vsyzw{!Od zp{PwEYftL}j=Bay_KZ)Yn)6s?bEHkpS?|CUo1*=ut_D?c{c%w!+EsNoV7u$JXmGBn zCJ={fK_76gOEKNGA`dvfOT=*3tkO`?Zb+RlS9$Z9O^u7MN!354YK-gbWT@Vf(y^}Z z%|doHXURqsxF-ejDB1=@;n{W(r2FbmfDOUoar)My_`EZFsCXtXV9yw`+#GLL%b=O; zx2rjPacyjh7_?O=I{QPBSqhS&JAt+YphO;s6$*nREvj*{qA)n?h{z-P6@|f(J@P;| zyTaf|Rn|hqt|THxyO0$av)C>x5L)uD6guerD^ZNW3iSQk8dN*LfLf#llwYk(wL2NF z^&;b+>Q!p|L%sf&YK^g{4Tceay-u?1pM($c%#*CmY{mk*O8mC&Oy1sxIq9wVGO>by{_5`vdlEu^EuIu?^bNY7%4{EM?1R zYZ*CK89u)NutP8*_TR(_yqt6C@_M7Hjp6dHUpCsw*aC*@ck`UC#)kA>ZiiHvB`65R z)xos6)Rf$Pkv)5)x}Ii?`-s~fB@x}YLL^!uhT>Xl)}i?Ir64Bx#ZMB+<`-X2Bsm}+ zYML&!pa?N{xzsWtxe>9wsKql1nBi`xW zORTcw#i}80VpSwBK@C|-tg2d%DN;iQFurQ)+rTQQN|>p8)YiT)n33x0DY#^Wp%vFr zo{=XJxmI#IQldnD48B%oJU}(FH_U0}3ELt!tN>hvusw1c;TnXs$l8>z<$^g!WOvkr zR+q3d@;2!W2q4CNX!06ZA6IHW+W4~h!M53 z64rrfWL?62m`HczK*Ie9m-a;7rNYNVj7T>V zGmr`JDz;rrz~D$k91*3BxWoh$A!!ur2(UTFfJ2-#4;gAga%I%8He;eCJhvsfNK1Hr zK-lY*!ezZ`tPxA$O)nrE-NS6-Rinfq^Fyy%xyE&*MJ!`l>Z|B2kPeX<<3P7_NHR9U zYzmk$OHe~%89C*FChS95h~-pkO;jv3MTi>ZJAr6KanaJI=ubfxnT?>3G!J0_#H5gsNe7nzBV%~>I8v`^;ZxL`I!{p89hOmSSWv_%6Yu{KkCSK4YOZF!xDP^#SZ zt0YO4yHceFB~v~?O^VzqW9oyH9+!&EpqL~TbE}MNFhrB~EwhJEl+4W_WE*K#3{_)Z zU(HnXkQVA|7%-)Ug+U8Fq=kIwhLTg5Kuc0I$o3_x-~-w2eBdgHPs}-?fjlmhTF7H= z>MWGjna4RSujA@cL^MrCl!)=#%H#2X$`x5GewCyU8aS>}?lGguYNu}{E+pBBwt-Pd z8hXQTD4t}00ZU6x8w&@Kr(`qhhpBN^B_v6iqA-vwrIP$o**YU}Kr z`Z9kHgHa+M$j+uu~c#}*3>af zfd!{MB)y81R8pGI4aR6xZ`7pAZjiS|z z+;ODsm9$t-y-E!g`#x(XVO&N{+xJT(S#hPAOJSmI=t>Yzs5W#+M>PG-RvHA~)eh(|czgH6?W6|l|O zcr|35s{m_?Ek6*gOcYWPa?R`!gK_UUOoPBcZ+$F^N%r!?wY{Fa(jg2V!Be)vO_-KW#;7h+JH4?+6T%k#DWEw@}1` zp`ci5QA26#7wJmL(oobbCg`@LSdBbt8+a#!5L9U`#Kn&A@zCQD&nk(jh4_OQMNo ziIAl)In8MxroY)bR*ehaOO5ZPfxe6=i#a-0%`PI$W#k%6zb+>!`z`K?ShbiaY=*>P zotDK+j|2ADd>dHGf$tz&Ps-Lo(~dhy%N9NXI4n<%Q=6AInUIl!N#tKq1d&+|U1gj! zFj5-upeL~mj1=_A;=o~`KvuO_-b=Y)z)%AQ21)~4U?591rZz;S!eC2bTvoO5d$rKb zhz3E0Nm5}3`n9-=RA?Y46DO!}gh_5B4J3Pkw`N)`ybJLO}2&O?LK{rEoJ|tyODCIQ9|F2Nmi|g-KMnN>k^hLcUPo zdH%-h5I^uY-W51fFf;*G($w6Pfa+_?=&YH@28(-{+?r-WBDi(QEg(l)I~*Ol)e>dp zeoXF4T5ck_^(^i}a+jGullzn<)n9U_SzJ8{+>g!jN#G{YvNgfDY;il0+u58=u0h?y zQa2-9#=D1HuPL&r(Uu!Ml2eKP;96erNJ=lXq>E=$D_MJ7E3o+}M-0C+HHgC!X5;^H z#Q48BVC0qiVgF{0{nChGrY2*rNUoV()|y%Nv+jKM%j!~eJ|1qB*ngAVyyD>&nEk5y z8+^d-k!#y)(jgrlZh_gaOEKNUEin7<5-|*Jf!S|JoiI;%u3G<)IuS-aa&Cfy4gM&P zT%`3@j&S<&fy(a~*qrxS>ciTlXB}I+N+77<1xC*aD-MJD` z?y4&fp4eBIWi|l6#7xN_&laKBuHvON`U9E6<~posZ)c#2T;oolZ*!&ZN0WCgFO0oL z#n!@{1#Mpp>?*E`uqa&Jp-dh~+6Sef=eObegf`@MoXgsdvmJzjHn;=mp}IEaC%nV# zB#q@Lq=XeGJb{CNJ!~?~O=2>%uv~;q2Vh6oQYJO`wB|sZift%4nWKP&-(zAvqMfY> zA~Ppa7;$4=tL$o7k@1-MTs+>1O=QU&#Xk1NW)dkU>Sys%eKF~xLF`3yPpX<&ssgNw zB~06L%x#nyJ5wC~KB*Ug`_~j`Jc^}$L8{kR!chllYE&K>!F_)O%&54}&Q3JQ+Ux!9 z82-5VqD~l~#5XqIPQzqW%I6eR_yG|nNR^zjN4*3*QI0C{q|FLa0I1?X z5Si~_ikBcwRgk9gOVPC~fyPpoqUb&$x-ux*7^1lqr7mQxXd6qb-GE!sz9Ch|OI!QR zUUHG*y+^7`g4r01PMoiT1KhR=0T-`QYE`B$z;B=S17bz5joiY5!mB zH~(X|S@M6kHCgo4tx2qK?AOGf$fJLQ^9Bwk(a@7Npu(I3*W9%6K(VqCNiZ6dQ%)kup305T|CX0X zn$ek@3KB^-N+NQ5MTz7yF41%)BIfXPHQbk<%qq4DNUJwpD?A1j6>@$Zx~8HFSYaXK z!Pj0RY!6wBylBM;>mgqtAzE?5M#ureB?yOw{7RXUgrh>L5H3YHHY6GGYNZJ$glwbD zGK7=!hAcr+wX#Ig6x%JvT+V|;g#H1#_#9-VHG;?t+iOVcTnrkkERCtrG&Y1OZ7tJa85{6o+iZ@5dKa0ET|uL>D0cOwm>a?)Ba>+I zs#NX@Wo)Mh@o~~v2bLj5NBmin`;VYz6%j^cm}BwhGsE%*V$2$(n#?Q5zrf1n2xIKd zGS84b#|p$?RZ3xrACfU%U=nJ*6yw8SjJ1Nchno`+W2Z108!u<8Pni5SnVs>{SVBy| z_~#NY3tWl#lG(AN*aB(#5CceL5k_g3Q^0OPHHXBt^A zHP3QK0lNWN;i>84VZ;kg;o;nT`;WBr$lCB3@ed;m;>^w*?h;C@N9C4Hv$vn zsKt!I!hawhL1hcSN4)dj@)=oycV{J8{Pw_=I6M2Jh@MP@hN43UgCH^oG0qiK)-<~R zN(x8R%faYkq@3ll3m|8?Y>XCg*%oH`0;o~hNb(1%yQ$hpYwT8ZYm<`SokX^>sEpz; zqOeRgvXmMq5s#Riep>gS(rZ*oV!HatbQ$phrG7!B*U4Vw)5Zj~I#cT-W_^*=sut8* z6x8AfD|X4OS?QYNE2vb3{`vb$N=Jj~^ZhQhHU_nR4{CAO4Ez6!g6VT% zKfy|0jNIqD7gUOI$Wld7G-L8#hyS2|M}W&xX($u$x`X|20g$cod!0(jm`W;H&#+>9 zI8IOvf0naPrRFa4c0n~;v-em5y=1K865$|n8rfSa+y$=0e?x&^Inwg4FoMVwn1v+t zCgU=OIZRV~CCZ)ZD-=uO3?$9$n4uPmoWbaMpaIKLGiw!dX@(kCLZ*9zOm|zzThVTk zo0&s^D-i{l-7`|tnvQ;eIHQM}&ob0hG099Rq~^4aVmEHV1juYq=sz9B{^R*;TSWCg zJb&e(t1Y9cr6|u_S@@iip!H%4aT~CIIdnCj7gA$8{L^d(t0!y;3HuYZHcHOZ`&&#E zo~d&|RXlPl@wx1P?VfAMrTvA9GipWm$mxb+qgbr9L=?6*;3Rk^n*9r_)ssG=wB2?W z0wHg}rf5lvX$Y8h-!U%~#tvUL(t9%P-=o08KPB3W=&vmQ(B?29=d6BjbD^6E3#HI> z3VE>xjgT#T`fottYN5lau?vV_gR8x;d6Gzt>OqZN)Tm396ml<8#p=9UQ>8j1xk!~k zP*FlX6na3Rd+vR$D%T(O38Rc6=uxcWeo!Li)Ntvj=n|F?$TmAh+12CJ*DJO;7V+Js(xn0 zfG!bHMBg%fMb!jrb0cD_HA^3l@s@v6^D0WNJ=QG!|Lr8b`G1_H|L-R0|N8yFwAa)+ z>2llRfNeT*8e+7=S&aRlEgFRrX2@NOLy{9=TsK3DVe7GxnY)-;M9ei?0rSZN_tR1$ zkK$VKJ(SPbvO<}Y=Rv5q|F@H8->b9df0{gV%I1xAlsL!4bVC9iat5x1{P_dm@*KT(V<$0M>zpWA3LU19OiUla)QI|7<53Wh zA*XmM1|=C?KRLH<23(1HpA7PyA+7??g{02&FQFB`#sy}Js3>{3)P9*!@5nXoC>Nq)pK?q%fgDrX-(IWwCs!~=Sw;U`X4Kr zoY47OC1+4&7fZ9|ODg4qDm7nGsTou$OBM5IX{={uA$0Z2W$ng7=qi^1n}dbW)zU*D zEMi%Ye<`Xp!KlW+64m%%RELL54XP}G%1ec?B&hQ%3vQRxu{wAj_FILpE0EGU z%)&02(!c04vG>cbLsT zb&K7`hzd&;YZkOIs8ZOkl659iS6D6sO2~-ZjOQJxlq}=HtgTtJbwQO$C{l7feiPbcX@MO?j{7Q|9!T4tOiFi|wl*?t zC(Zg50y!8OkXpi;8Y-m*OQ|*VqaD(;RR_7z$y+(t5m2&8x0EZdc~a>Z38$oz)m&Q! zl}^2qhf`Xx4*p<8Mx*Nu_};jnPPF4?*NYCU&SIOH$rS@RDD0QX+K&k?EZ0`sWnw;I z;Z&1`t;9SE8m<;lTFJ<(Nu|qD=_);<L=2RP72p zUM{V-O3iUJ6`R-eRSr1KZGVJuQtP(VLVHU1g=0sA{ww79R{=p}X5s+YD&h#8dHa#k z$r6sxnY(L@&SV)zN!*<~P=*%XnYb)-;22PqNhHYhMa!sm0#{;3ORv%a^1B7ZdmHik zJx|7qnVF2WrHtjWw8~iDlDH#JAXW=c2d*S?%Y;E|rY6cyhMzP04*&79>wj7Hn^mi-sevzm z<|09MJ-hi~)xW<0A}!YG{{o0eg6{tUh)6QO06I_=yMPY!R#i2wlK-TFJVW=NR1gW% z{U;SfqICaB1(8_Ye^NmtL2qozRiHm90 zuCC^^R=ZdIl~uxDSzSvg%94YuEO|!-FWylJ?7LWBgasTW47G zNxStyeN}+|FX`>pm-NL+(F?!w4ZZc%d>g7+U(I(RXw~E=(gJU;q}KS?6MYt#JkkFK zr4%^P{|?09iT+K%!4rLo2T$}V7Cg}>58hDo3EVclNCJCrv-VUU*qo+ zeG1@2e>-0CM8D?0InlS{L?8TDPW0{8iT*ugE<~_UwYQ6_IF0|WC;E1r=tKJ-PW0{8 ziGC&M@w6WIlLDvp%V02YT7L~u1WxN2Mc}mF3)p{JKdlX5r((Ab>$?C6zsavCYlx$O zBa~f$_19@%iM+F}%~C88U3f-W9IYOPi{ZkK@84ayQe8jd zi-$r*kzW7pE7iKY^A0JmT*UI<-SLs}>fIgsyDgD6{MWOjvfY0@t2K8Z{r9t^zXz^o z(ckT{pHa=asWpWl{oS7Nl@*KJusj9WA!uH^Cfuka=gWJOdIxh@9seZ|EmHj*Vs;NN zfoM?@(LKBbqD4!@Q1rFt0gCeyh?e9R=Oqv=n_rxlK(yq5c&Hgy7oYKmm^JJAFM()9 zEuN=Sk=o*AX*j4OHjSslhUfH z^_e2o%S#|yHMKRc3aS!nj;`mw1fo?}Pop%$4M%Yo#k&L}cNQmXMDV=bS%Pp_1kc-@B?(7G@Vwnwig0WM&)c1)2`5DGyxm!baB_r?=k3n2 zMA8(M=k3mN9g&ErZs=1~ktYsaN<=pF5+Yws$S4t!g!2=|Jfu320{jv?x)tO_D|XQ0 zBk065lXx%SF?%E^sbeMhF$A)-!&oU=`)Db43dwCK9NUB@+p-*R+}A=rnTD(h6dAU{ zi%YX0;uC&MpW_#jID+o0PN*H9gQ_FxGqwqveF-w!Q8dvUV}HDidNgh6g=S3@46#Lb znT;E%Ez0L~;d6CdWtcFD{aad0h@uw;sRiNySu+&e*H+S!K;}6XXYL@YNN-vd&|Wuh zHc}HRz5y2QcUy)w`;_b*!E0+-)@-*D6g?H6eCiVwB}Zwdd*gWU8_Ucz#k4i&B(qjy zHL*|u@;1|-)CnyU-e#V*(8D_9L*11n`Yq83K-0Fu!u#gb#%hAQFCxl*82C{0yTZ-J^2QT`qp_NAAS^QIrSkHY5QC$Iny-!z zv^of`)V#d=!{BJC=FJAv434&HUS88-aI{txp4ixxquBiMkFGCvTchhhxDNk{S5}lQ zM^Rp{Ob~6WP>^ayB?$QEiZ*L-%}tjI+$8vWbbWOSX|ry|O3_zFSNTPhEitz=D~D8h z8Pgh3U%iDXzX`QQRC(Fbo-s>m`7m$9WlK4EGW_2p$syEXeG}@ufeeZYHBe!w0yM1< z^n`j{pv5?maehVisQSROOZG=#yEf5nMsXh739jJ&pl3TjI+|#g4qbt==`G2R8Hi^b zO?*nl<6s-Ty7@6t05Nrj<%*2SYp;o?GlRnQdx2UFsK_h`p66o{8}cKPDC+bB7b`E^ zq6dI4%@ln!fVKq20(c)l$QJ(+bdz~0kFk~d+kh6L?#l%pyiC9b1^tlBdUPH;LI7Ze6>&*MDd78 zpfKpeBJSbE;K~un&jlEK?#60F3}_6_T#TFV!U0&x_(&*wQGzsh#V>mCky-NPZTdpNA?9!^6v%ua`# zy$@oID?tUlFtRS1u(jBAC+TmnAJrAL6OpF0>$0n&bv-Kfp>SJEaS}Vfb|l*sH+5R$ z>&w#3Rk!bZa@ywy$M}Rsj;dsDMy+G75MH<(9Pft~hqiLT4MR>6KQ1L30T2BV;(xIb z8G{*V3zhBmA&5hzjnQx%@5hX&g-X~*8#4W^eA37g5@e_QmQ?*#;xzTP%1F-0y(!haY(pVR?G#d)(&_KPhRj%zdqZ6LwS63ieG_HvMd8}6S!IC05}C8cH@@Wq(8J1*KHY*h6V8-n8&-ty3<)by6{+C1RbsA=(sCAZ-8NbdlkLv1HD->Ks5}(C zE%n6npy+KYVkvr8!b)N~ZKTN}JBuO`Oqz@rPWcxE}noba|9)iNVO(bHfx+ilQ>gBe;B6xq#Ka*h20Vx5|W(O!n!0>~u= z7*cZsHJkmd$?EE3q9cj)U8Zm!u+kX~KP!7k;Q>M6&=7#KJBb3o?xZUs3Unv2pvmqe z8G!6gz6BtAlkEU%K%AGJDBc@p_XVUb_<^Qyx$7u8c3eCBf@spQfB9)pboNp{RI(RJ zz?ST1ti$rM4sXCfeU^7-D!Q)h4)y>QWu4(8uhJdr~4q-WmFezgXfUMcdV9uLkF~FQtN!`z0*0P!N3@x6s2zVyv3QRD3 zT538kATl^U6zNs)W^hat>AZl);Fu)RaVJq>aC{`vAFsle6N00k$i29T&M${tmv=mRraG;M+m5R313~#R{Ri8(xcXER= zGALOH0=n#^-(mlD6prSSgU&l}d*wb*U5-ibP%!2-^wML^58I=={h7wb(b)K)u@OOI z<7lin#4TgvXsj8v@zJ}URmChCOWeDnzDG2`3uWcxR8_2_y(vL^U%{SZCeR$vP@J5U zirut4JIWWx>VC>BfD9+(it{%n>m6Ux^CI9{*D*MZ8F>5>j<=uS$0_+1z6Z`fJ z-a+?K5-HL$#Y|9feJcV#4mCp}cGnvfYb)AJiRgM0ip>)9AY~}-8pLLcMZi2mVcRj7j&e6_fZqr^BE@1ojm*s* z<+p>kI{LpI)J{vKJc_|@2emV{NZ8}&dat9g_&?WUf&-uH5e|H=M>z1g9^t^}dV~X? z>k*DpRO@p+Hpx&2DpA}-TTND?P|fIT;0p5oqU>^R)&!w1I*ssTb5SQXp;ZA0mBWl+ z9TJ3r7<*y(?Y#(WWTAJ7ECFU=FgdjM!V}wD0};nxbk9@l4{AWba#7x>36oKrA~=mv zG&WN@s|ljDS+27hm)3+SYup7IWn`kJLoB8%O})X=P$#qwA8Yo9WWp54@PoWzkO)R? zbK@J>$l2zK&N!uRLAAb%AZLyD?_k)mV(-h?cOv#Ivd8Vq{N4c?`wdn4bI&5O7*M$i z8^Ao&1RA~(rurdD7Ywl&Y{~f9Kbc{l622A8CP-qU#Z-G?xCr0p*C_R0G=?Z~7r^Aq zFYbz8HS&&M{8i&)u)6r12{V}zB?gNlyhLVp=vw(PnV)x&#F)X9n@W!6v-QG{8^+8h zWg2s+*}9PMEeX?UO0%sY;VqVVCI<@5hVNjK!y^y{z2RCQ)ik8UWe0gY(_6{U4}S;1 zbWmauSO>jX{hF<}6!-P?%PaAWoi38_6MzazBELvraMV;2`7sxRBUw%4Ct3`S6g80_ zd@?wkYT{nVGB|3eiToCl!QoMp0=s?AQb~d7k)E35|3x$(POOsxvmJL1<$J_!S02U% z$A(Y*UD-*0S2my(c6)z@lUZYftvC2;!O~CzS`I513igmyuCa7x{GDoz z(d7cl^A?a+!jEk}vD7j?dBJ&!adNH8f=haIAm`0!qfG+_kPUc>;tq-WU+rxUusAy48`-BltVV8aXh{<4BYRGfVeu2p$zzk%Jk@AsW~WPMVCU5cpAYP&-0K3C>v~uTby-@e4sg{vLrP_=t?A6pUsA z6bW)=Y{yTMtKjo~=Y;7E<( zAr*s`BPJ4+$Y7rk!vT-Mkt2o&Wei$c42N_EM_SBk*krJekFi6=$A^8KvI>cS^I~@E z?;hKL&UI`vzrT)ei$(c`|3yE*7AFcB!4epV7_|r=aLzClJ6o417Zv1_s$~Vr4;mDQ zVc7764SM@r4*r0v{1Ml5Y+*Cm@rl7?Pd#QOySqQxi`(ILz{P)PX58OLE5j!q|Gcwr z&AHV-4x@Dx?u-6WLBrAm!kD&a)S-`APoAExS9bOYxUw~rHe-#IckF#CKW^I6}X_#GDryxrx~v* zroyJx(0pE%h!SpRsv_>t&KV&>A5ij^D&R1F$y=6S=m?aP2(^i`K~AVmnh5PnowKU= zE66$P*BN;noB=iwhNfY~JHXP3%yWtPonz&!0oigxdXC`HO4ve+Dd8~l&)(PyKEalsVdmJSk=t#h{K$ zv#ix+?(=D)MUazEi?Ud~nS?Py43aD}=_55ZFFOnoBf6lve?-beW=BkfvdC3NjI8kw z(R;=EkkAj!HXmW9b1i!Jth0ziMJ|-{tMOw2&xd0CBmm0^ObY-@0c->XcSE4WU&)rk zLz<|9p(t(*XeU63KL`dbB%B419n>OVCt_BNBh#eopmAFhxKHg3Ml3yyzq(xthViol z<7n#uX!mJL2SeJ50zg}zyksj4G`}s&6kU=(+#Nwvc~C_##ShscOES@POa8=nC35o% zw~teJ8%ioC*xBfs&A?43cd#uE+Z#$lFyit9=u995Kqi3XfLKaZP?m#&zgV8T@<+mR zQa?ka(|CK(E|VWiZ{<&ivlA0VL=$r559vGvJ83gG%p3{@p9|pr5v2JeeutP*ThxcNJ*4!Ijl{0ZicNfm9`yp3Prim&IFE{!n1tKG4dP!huZu zU*O2{RkE6&^u?DFZv56clM){TfE*>3Er7q%-kc_Dh_~P=aaTa=2$S&z{9*~E{E7v@ z_E&a%L(q7j5Z}_zOy~&UASjKcR716ws>Jv7GnLr8JZ9og!6!4ST4ETZQsCml8P(@t zSSe!jnhPwAVs*tDie&|DA;p%0afOkt1;DH*v2v9QO!`FwahCG@_0JuY-vb7JUQvlf z3-l@cg?d>_jfET3xVn)06>>>G`_0MB;ovBi%~FrYpwCnc6jdnAA12^WEyZ;N5CtG9 z3=&Vlf|_P~!3Cj`aK&$mzs4%fB`ZmPNtzkiA3qh)pZ(RTvEXX9H2kJ~cDCfy_u1wz zWI>KJ+fx`y^ai@ zUPGk0IWJ?djWm-@wX|7b5)Qwg^;d5ut-Cc%&2;$MBg=umd;nCXHg?X?-0&CpbRzJL zMBv*6$;6`+?tpW!hfdh@eX+_`w zf{Og3x2Q^uw*wIeHsFt%ZRV=co_#^aNplbgrF*sxNCvjGX@$Nq65ED+2lkx<`^B^U z^hM?&81QXx8!!;qoDA$hUiI%g2DTb$n19^sQI!%XUaKqvn^J+DqriSpU@s@I2O^d6 zV27b9=Q~M#R!m^A9T2d};7d$@tOx?D*bC-_c}Vew_X3Q-@-DD43mB*^V+egeAQxCw z1QrW{*?nMAADD9orqqE6bHJXJAa8eR&j2+rpNujK%~zxI{$O#y2+W8Av!1|2CosL~ zvaZK`b%?`9{|i_rOh07ki9lc6h!EiOfISmoCc=D#1qhoF0(|-={gQ!x$w0qkpkFfZ zxS72S17M#{zht0aGSDv>=$8!iO9uL1AR@v%|6$-^Pk>I(qqhYjAWW}gpw}_b>lhZv zfMW@ITfhKedL0A3j)7jsK<^635vG?h(90O;WeoH(>1llX|L9nBo&Zkx0)vl4te*l5 z=}5roNOYC}oiLq-flk9P8i9^Or(vMeFwkij=omlj`6Q1}?6xvqEwKb<;dWcu5NCG}JkeGzR7>1`fu!WC{`g{OMEYm z+=>xeV!YXAur1o|&=T{QTb8Mbk(K-62lot{=D4u~Ki#Eg(PE;_*@JC`%a;PfZu4bz z)(NNbKoPIyI+y^Ovx_8Luq9~eZ{i10?ar?wu}JtPU!s;&a5(_*TKS&FSTS@r?+tixUt`jNzWDa?Ck+Nzv;hrIc)pD3LYf29M9FV4r ze9q@?d@#gc*sZ40#9B5=|+zfee1Vt0@Z}^0`LVcM%7LayH|$8diXs zGHDZ^4L)S_Tjx^C$jj&J3rMe2ok_`6mGTQOlK#a`(uGV`TbwRvO7xrL*0@UxiB+-c z(UcM6sWt`Q5Nb-(PJF(ZKuQ>nTs6gYg9;D#(@^Q^eAdKNNK;D8;j^gpfbi*r7fH|P zoJ^TD(z%XcgHluK_M@F!b7=WyDL#+F=QX9-Mo9XUGU-H49p$r;ETXnsX>RH;QchQ( zf@30$K0Qu)yBwrX8cx%=aDb4WvR>*@RmEMVW78LL)CwEmkJ9axx`XF5z?9Lh62W zm{0de%B-7Adbuim{#2G0LSz#Smkqekr?lVJw}R|Hev&D|4LcO$F~XO-65f(ZLx*IP zl{-@5ehB3&NDsd!8{vxjr1W%Cvc&_!^JSvTb)$UG{G^YYMr-q82tQp&`T>oS<^S@L zv7|eZ^|A%uok4cjnH0@xBi#8%%50KqqvkPjchdNL!%gmvndHvePD*hsJW!SG`TS)& zpZ$iA{!I?Tk<%DUsPvBuZ%}tyV|9F%M;S9<=o_M|uYo}vo}lHN&nw6C9}p?LhuHJVauGM}M67{M~xVcXszB|}!$ z`uVi*xE^))b|?JNd`eD?BF6q7~6r*<`}g!6OY z$QD#ChVbdbOxB~Rly6^^@NC)Q8vDwT6o)-l7%fH<;obOL8^ve$Xetjn#t8O?lVYnz z%ImUJGG!-PZxhWG$DxC!{92ye$+8Nr$fT?9kTUNiEv%MfsT*rVw2ST3nz0DWQsfoG zwWb_Kcc>|4_mFXEG@m=_Fj>`P>$u`1#aEf;{;bMp{;Je!F_iGlL)6V0LitYD`Mfxf zbXN<~uUsHyI6kOGH-)VO48iz}1D$<)+5hLozA_?~J=%55NKoLizHQPm!zwYFwECpi z3#H^oSX(2FwJt5O`-v@Yp7?ll_J~7)N-_N(0W5R$`y6-1P7|lTOH3!(i;>9AohKhBK zK^!g~_kl5##|VFI<{QxyhXe&Lv5U_5HWU8{T2TqVcBK^LtA3D)o$DGWIR~m~zh_e% zbtGJO|19L3nJ+eOF64&##MgHa%^0z14A4p9&BEYK5e*`M%@h+c!`0@CZ`oqM5?(4t{OP+B(O==yHW_x+V)&p8!T zhsgon`SCbt>P6ZAxX!iq*KNw|+mJ|~*&4+#Q1qr}4HB!dmT(Lcu{h8|iLOfq<{KfZ zOb0qr)T)FsoGxyUg0)OhVKdb42wvjX9*SP=fDKfKqDg4O)MCAXjaLt|^PQxw+X;nf z>UYn8eWiXA3f@Mw_b7j>37y0NE#)DLwO~pSz*R3tATe(z_XhmQOy6BFrik+_;ji(t zMIde!-4jt^$3=5YJ7itC|J0xoc89%K*4zSc%0aYWM~N12b4STH`7FhANJr_t{ZvIQ z!wcGuvQs9*L&`Och3WDS*Fm?!Uwl?v$T@eV<{j~@>8cB2d&xG1{|G)Bn}a2Ex?A$aH2Xfj5V6~2=GMF`#2brgb@Lw zlf~zpxGWLd_5)igzUH92Ol;=LWsS(>JaMg9$^LwuI58We$5%kr|Mi5&Qx{55%8v|V?tqix=($d4zO6Q9SQ1J z>Z4A;mZ)nu#B5OaaK^DwUB4OFCbhzHymqS}u%Py+-*aT$t6s_i?3DVLo%(4thAW3( z)Dld^b#-ieyl$yqa6)lg{gF<5U+wb+tUOXX<^c9w^+l}$I?A??-Z;^==Qc#A*iPqx z;w;;|k&u~f%Vcl5#P-_vh-sLGpx{K zHu(dY?CWxk>5Jz^HI-fTCN<0f)ibu6m~7!t6`T>`1Fm}(h%6l57m3gY5Lhf~j|cBN zwHimft!lr{i0OOv?FzttP>p8b?NBSbf$dZi3*+^p+LzOq-KsbT9!iFjlzr-o)p#9H z&$0{;syipa%3<}^3J{N|9j|fzeN4^Cj^(&2SZpU%wG>iuN?nXSL+y-OAtzpE)p74a z@@G|B1#{+D3c=$x*gzP4F6H z8x{)UXSOB==il>eU(l`R+xBEaae*yAhk%8)^#vfa*mfEHsP>iZW-bty+RAkXw#+t# zYm^nX3+-WTrEM0b@!D$J!0vdhu?@iy0{mhvTkr;(_yG!=Y*EbiX4@3Dt#57F7UA`s z?dV9ne8+6hiXz>|ZS}tZdcqbr7osO^cOSyqX$qX9|uMwFk~et?_#3 z9GV^dpZ3_fw>0cOabCrUq&;<3tPbKcXSLOMJ$Jr0AEGau1-aT9sAo-unL+w94DZ@t zz0_9_8KN)7cSPDyeKR|hVS0y!AP(0vKE!K;evy6ENd0~l=#A1lqu0|$>$~ta<6n@Or>mP_X1+e+EtvUIUp^Kh3-q2`Of1x|?SteZ{lZxg7wdP| z1N%zfF%sAky>DG$OZ6@dVdZQ6RR3N9$xG8v23pE^|50S;RgM4mh2Du%x55O*GnIR!VbMg7_goCASPy)K9j4( zAN3E5g11|D6$f#T-kO8bUVUx{sPEIis{rDD{mL64{-n=q0OA3kevw;a2lWvgp$_T# zWyl=X?{jv1L~nEk#H0EzoHicQt488=T#sjdPwIX00z0Ko<6wJQ&sH0fXY_^jVDzkh ztp!BR>5~S4_p`ogC|>9Frgg!)pf_g*FY2qf5dKB?J!=8#ulnX>pqKOwT$5hb<6D7v zMISL3qF41XT-aXI?{v|6M=D+JVKZM8)J=+HG{?I>Y2+5oJ7;YW@sV~Ovt9DCY z@By&fdKC|_JNiscDDLVHxW2llFX1HezP=0okM^LCWRLq$U$qy^M|v?P__2P5ldmUw ztr>Vd)5o93>$x7ovFwH3yCAF$bj|z#*dW(9E?5S;3d{gD)wPCuU(;OI>8sOSh;=TcdgTU~cJf86F;$}#18 z*Fx^P{ouMj9+KN#1N(!x!?lqe_D)y7i;&#qn#2{skFFJ?A+p;wi6hG%*Lvo6uPa2u zYoF`XauD~s>S6Wb(|&TDXWbug9gKzILDz$1Fps$QZv*kT%gy$8!WBILiYHx(Ex|kE zst^V2tSkRV;GK8H9Rl%!tN%JETy)tt0Q<$Y@qO?vyY`*|@rtYO1Q367m7EH_tFHQO zLHymdVh=Fi4OgKQpntmf0iJft72O=^zU!K~46l2xPw(J$-*t=AsJ~oi`@_rwS9Y#$ zAG#t-0(<28m}T+Ub@g>vdE)9`8n36W@t;EEnXAn2;5~PZZ-v(j*L#~l9O#~s3$H=$ zg+Jpp*yoDLhV_jU0a>VEnR)M4&F@cEK9(p{Z%q)*)g`v4o`zQ&2>XYM;?@S5y? zFbzh(a98LD1=DRXPgC6OM&UKpUAY=Wrn$WzLS(wzID}WG`@~J~X1Gzc}iU+_Ba9{f6NpK!0P0Lhc?x7r{Vr`&&*!0WWT zP>M(F3mafukL|s@KKp|$!(hknal3b zT6q2Dp38Q0)jeYiM6bDry70R0?nc+W;eK!!yg%GcGaz}(efbl-Zo7AM!RwBD2zMFo zy1yv~?4G+YyR!T4jh*p&tn{RF`(u@720P6)K2M2Npsw|Vbcf*btZT#TLk`KJ?o?I`0?eeVT-sX>4H6gZTx|7t3MgS5MAkAYSt1;?ZIYE5tS+EG$GtUlgfIjz3+l{2X@RV7C z*Fa+l8^<6coqjRY82lQ2ZkWLzPSu7RO|dnjjWEh_;yTjE{~XvTV;Wn|Xrs!TQ2f+T zGe8_;y!9He&x|G#A~i0MuRucIMEc*FE9pZcr7#vb7OIl@!dtJFE&PX2lkcmQ61P^V!XxHxYTHg zH2Jh;#zZQ9ZJb;JYs-z+uR&&oQQ&LHtTevb18kLXG7h|NjPohLRvWGALSdaz`vFAO z8&j9U_6DQeYVbB1jk|-l$wV*gOZXAB-IA=e8U7WG1r6FPNU8WymlFfxP9}Z5z8KCw=v>AB=;Cwwu89W zh*=5S`;6Xp)p%A3l9!BTP4T*H6y(h9iqV&I>E8_B9PayFHOg{0yk@lL6!*H( z=yS;YZcO8r>*(Y+jYaI4{xshI4GOmmJI9mT#$I*}cZ|aL4Fc`1(UOIC z&$z>lz5B)s&L96WUZmpnz{oKIA`guOPB@@7$q|H^xbE2kyi1>81V>iv~Vmu22#oWgwV?KlSt%e}U?c&+em<&tTo zxBJIXU*+vffBMFId>pTEtoB~yShdDGvLwu`_2ywct@F<1d~UsWU{Q!}@P5nANzjvZukbGyS=SAv)JRU&)tN*-d{N_+vhFv6dL=z<$B`vllQA~h~j|P zOat$rH$SI}hrHLgzjN68b2$)?c*}D9I_j-|7O!L8hCD<&?ww07IpJMD73wFwJ%@sL z%3Gad#c7|nCud-1ym2@2I_q`i0`;7?FBcC#dy~3B@uK%Ccbb3k*5F zw}ZlE?{zlcE8d(R!uD_8koLf?dXq*#ppCQ!zD8SFNVkb1Le!%r|BeiVIOvsLc4>#hsJ3;+0QUyY?AuyVzC<{C2a? z&4v`f7El2f$lgxLDTsY_Dx_d`GOe``_U&}af1&KkzW{sKq6cu?%dVhuKaAZ)vBTNd z2jH=fy)g>52zCg42m9F*#=s$x9e4~<6ni2~M5EcW=$wjSOZEd|*}ujE;@HC}Cm&=d zuK_cG?Mmn4A@mS_k zG4>C1q@}ViZviEZ?M&mp6sbYIy1XQzMY=C18yE_+fg*{shsAWg=1M1kj{c+uT zcI@9^US&tV2e`%-y@4p#*$c10c7r{X-oQ1mFQ!7-$o^nDlsDP#3n4YJ{aOIc4)%nF zh}Oc^HNkj`{g__I-Day?;CP2!O2uI-JE#E4cJ@5_?ZrKIOaN>h>~pD*?z10K|JTVr zaTD->eZUInVh>0F582MwKU_XloZ1`Khc4@k7J_e6v0V&)&K41tn>vZl4JQA5XJeL`sHZO+f<;$ za60Jhjpa9^zaN1SOHPY#87$XR|9P zM>w1kP103+-Uz5amJKFEapVh0JDUXLK)-?M@ti%vmEVeC`&oh=;@u~1k+Y4)z4&D8JKb5^bcT;7Lq^rN*E}+xQ&jt!P(8-5C={G*NK5Km>VR7GK4Fn zbrH(#z6fa#x0m|mz1;aUa1G;569U4yPJ03SxCNBqBe>&=af$uhk7?o($&Hu}hbZoM zKLetY4`b<=j^`%PF?)cU-3QJ=?#?_w0=I?gltbKMbW$X8 zr~MCbn9G|3+YxRu?Smxl63PchxgXFZA(^}AAt))_PiW$KjH{RcNagNZ17#Xl^B9!l z-1oAeJjp$I49X1d;#a?a%;bLfJ8m(HyJ#e|+1zO~9L?d<|F~$#DQCr zwQ`622qe)*eeOs)C_1^zs6T(eo%9W)E(h0z z7RE#FAMe1Uo4Zi}{~oSCW&cOqWz-7vazFV9%E#PoF`)EuzpsMr8P{hVZ2jDj1F#Kn zXSYLo&J}+G${;s}`o9<4IR@BXa))OFTzFHpP`dJFdw}A`%cQS&2hUMKZ$fwS`U?Q= zybAh8J$U-%xF=6uZ9N>lc$*R-dGqem_v6DGvJ6aL9{y81*iO8>-B9}T>gf)5@gnG; z*vL@Y(PS;q^Ad7RsCKpgwR9@5T&h_wv@v0fg~v zG!l;BC9VhT=XKMLjpUuD_BD!k`Aa}FZw39hAci-MZZwt`*$jx|z5NF+AJ5xhf!_gM zFnz*{YbhZl@!q8;dzAOfNn9eC=T6OC z3h!tE;25ti2p*}tZ|I;%<5f_*eVkVw1Y0_a?0}Tb>pKcc4zJDx=``=Fv(*3P@yvP9=JS->;8?)hN_nV|r=U4X5pP2%pqTgF zc2G)q)8j!o!`nvn=ULu*BcPO5_&XfV@rFuaE91?hFSML@oCm)Oo|FdS=Xst#LAt=Z z`3W2lRWd6Sn)m`5LTbHs0G|P`2}eX!LN8*GCmi2X85TqxX4L z+u+y9%dQ9W0Z&gOv@V{F#^Vop4S$2u&AV*}^zfpoI(x*cqYkK-H{okIJm&dQ-`MBi z?f3!OC%nU3pnb|y_CouN7l98vq@Oo>2$Tc7A9A65&Rek?(jf2VF9`aAH%PUuEB`Ax zgxvUDbUyCjzfNt{PW~SHrMo--zwuCd@PDHh^Pc=#IV3NBJJopJ{A1(c=fihM_JHck zPo_%BkH6)8NdEk5(U5lWA5(L-n=d3~06*jpcm(nv_d*%OFQ)@4m@jkzGX(#gaY&*3 zgmAzf{^%IMUcMg}wlMx(8gYj6S7kxk$5+s?6TyFDAC&v~4;?>&8p$7>4>5}W#Z5@j z{Kiw@#PGYvLW<{K{v6T){&OmL5Aq8l!A#(BYFKKh8^H0$ibb>FV z7ak}1`y1h(!Ec`jWhVb?+UZ&Ra5{Ff`Eu$TbNE4GC{OVNq>ys?I@)ok`IYD4p2zo3 zhAp2Tq68H1BR|H43i-X%rWf&@cTxX$hQGlA<5|8Z9gwB`j4DXy_}e-lmGS>{0+jR1 zX~0~;{~al7$a(%*+A0_Lx9Bb^`I7Ot?TdWlcYsTL;XS}*{zo=I6@MuunQDIH6hI9> zf{Mc{{2cmzYWc_9SlEAcd_8q;_58sUXs_}kUcF4=^S{NNUg!6ez~cu0M8qzuN^4Px%tcHP863)5q@T&kcZbfWOfU=5xOLD8QhD zZ;C{i7yPUVFuvr^rbOT(5LW5^BwxY&G`RZ-cCW%E`~~x<4BaJ2x(tWig0PLC1PHd*Lm4P={Q3`S3%5cH`VX*BJ?283N2$oSXybz=1GXf=DWJvIPzJ9nFv&K`YIhP6^%_ zjWD@_QW}Au7I;#{nkV>+PU3ulq#U*aLE}|Gp#YdO_m_z*T|%RdNy>q@;LV z@cp+?-VoG2h14L(^P&E)QLuF-v^NEmv}wU-&~7V3#oUM=*B_W5^*u*heccPSs+|QOTAF&>G&4fB4J<-phW0P$NL%K zO6q{l3J*2`N`)nB5c{0)zaJo#3Ag?Qsa*JYJ)lB(k&@zhVE{Gl7la$AHmww{Ob1*P zzBL`Uc1hSrzdX4t#4lU1fQ4)5ZC7@LV{YzL-Jb4SN4E9q9`8Gc7lUs0VNlAq}2d{F#FPVYh)AR0~e za-it$LpTJ9j#1GcEDF3r^=F7Ej1G)Yk%Fe|dqjMyr1pxY&xJ>rXaZ%42$8Q8uwT?f zsUuP}I1>I*qK}6|N)Vk;!tapiEgm3Iv~n{1j)=NKVM`MA{|e?&QK&a;$s*Tp0LMf_ zsUAxe9itTKNE01-32M5i#shFd^f~RulcG^{mSu>l+aYC&f+iwpwrCnn+j2w|-3W6^ zl&c0MR}@RXJ~%BBQpK1rQvZYt7Kj)slnX^uR)bO`nzk8GBD(e%!On=bQv-EY^ynd& z=NzJ$RM?b>exn1fT*M3jDn#`dO$|9OnoM7MrN}t~u`h~Nw?L{A&7xDFTJ+^o1g#Z? zY(ki;q8b+9hUmEo%A2AO#sXSIFKMmc5`9lA|Guc2p85mPo&Nw`qGal?ABr4nUq`fV z(K))s9?`83Xdj6>W$@?~70?QNESen%WuNE^>NcK;-lmG=sc1$Xl+Q$)sI%@Dt)Btr zfXF!wl;@(KC@l<%O#1*YL;)%|xQhL#V{;RWA3^CZPWc*44{@A>4fGU`@&$N_H}8Pa zTm1VpP<_PrX$s^k&R7hapLoq`*!;z1bfWGO^R|K#DBeX6I7saLH*CS;MB3CLV(Au0 zkz(1`kfOv(vLHo^GjAhUjJWkR+)S)^>S_4JiI08c04HAjg1(sp;zzA89u)r@3TlG* zxeCfd;{Q?ck|-WWQ?tY3)3is9h=a~TN)r36f^<~8DGQJ+j=2bCia1pR>6qBqk0`0) zn_W<*iKkK-d0cF5g)&_{z5wng#4Gf)|4xeCZMcgJ@u-d9WQtqKm?f^VBFriA$X7qX z5Es&W;nQN#3Q+RI7V60J#l~bnfjD~@f)z#)#6>W@oL0rw5+d)OR3PV6?Y^c%2n|&S17NEtLWIcF0P^z z@rF2O5w7QG5ci!1Hj2-!Mwpvo_q*UUiU0Tu$`*0#Z=l{1AEc4+ZSnNwfL3waQv|(> zPY6nz_(Kigp7;}5&mH20bn4v~FV6?_fmoIcsasrE2Iv)Y-v#A~m^TWxe(@Tr9|s)b zV0xs_#iRAO(x6yQHOLFGgnFiz;#I!_TqJMPbi-A0^BkCNl1f@$J0w%zg|t)RQjVbR zlEMD~9+DPGK|veI4WtL z4oH@~N7ZwR#6~Y~j!DMTrc9N5`3zE;#AhDuzvB}2a&XcmnUqFPNc@WdCnXlDurnmG z99$w(a-F{4EXmZ5pv;ySQvo@WfM|p{C5h1>O0HzdLBMIrP#-Y!B;`~{Zi_1wo~s>D(R)N{hVa}O;F1u;z&@+ zB|-E~q(buHAAs|cX8IHtBvsTaRZ1pJ1LdOR7EOjPN%jqg`(;TCb&*w)IGPDnOV~y* zYb2X(uw9Y-`~l^^TFEpiE>S0$OZ&H8@_`N1tCA1i16-4I%!2=Q$&CR}Zb&rrfEpz0 zsSs(mN?UxtVQyK3X@xsW%Rn{wxo$#fjg3<=TNpv+>Qe7N~~W2+9X8};Lt8{ z+XrymlRW$a*dg(l4Y)6{Z3c8oHf#g+f#gFz!gNWlb^snqrdn{pZpkWo56~moMz47v zNmy^f)+@16W$;)sn||)sCpobP(i6#)p8!uKClA5lndB~|$bLzS8GZwjHd^t|9TKA! zoI%MrYHeRg{;7lUrDWa5hlJigoNquRaxKFx*I=%?$AM_Swztrn7q)2H3rIjctw-uCVY0tZW7^#A)q*&>4 z8{FfhmVI!Dm-dVU9FX>W1c!rCZ|XM_q{kg#9+Jj5sO(OZ4x#6DSQ@(u(h+IuQ&5wn z`>2Th~0o=QjE~BkEC1ns|E>3DCzY3VQ;ZstjUhz2KLYF!GC0_j^k z1TB=Fq7$`9T1GpySQ=geD3QKHr~Db|Bg!0SrGgw#N~K|o0OzFNQQj<*%2tC?E`93; zC>7Eu27c$Ik_cSrf>gAY{`U`+(&7=IUX-3ohW3*5pUI$JmJVM6sY-f@I+<$e2bA_} zqzy{gu1KdYhEyvZIux<%q#m@$>!pSCYUQdlG68L4?I;2D65&ORMTP~ne8a5dY52RT%LhF*Qiv~QDR5HWHkt(h1ifJ(Kpvg3~YUsDN@n8o~!WmvYYo2BjZ6Bj^k1Ph&xO zDIHH|ii_;B4t}mOUz{mJ++@?J!QLU8Nh@fl?BaBQyKLV?_<6`4&}(H+Svs{-UJhB* z>(F}3g2DhkGM7YvuPp97IJ;yilsI?GM$*haK-RVe9)YsV7(@$_y`BMPu&j}GYKTnk z3n^6ggqGzV+4x(4y|RTf;T|SiyAHN+8P5%{PnL2Dwg}lX8G`MXIWmI5iIiQSpDjem z*3(ktLx=7W z*`9hRlVt9+ERV|gIe=u@;n9eaB70N}I3|1k37Dy}EXV)gm?kri2OO8ZyB*qe*|-LH zoRHb(0Zz)~I^0@@tl|wgX3BbKoRTH`Oav)g)=0@IM|NNml&56vMnH)yi+;>@R>nOJ z_flCXz0)X{O`!*LLB^uxd`Z?oHOOVzxUsbVYGh9|5Ua5(4mtAI|AWIR`6{Y}a^>f#l|C&Wje}-Lp4{^)AYVS~1)>zl|E7+s&>^p( znz~3nY$(KHxnL?hO5{28{hpD3KZr1A<(H`wDU}aSgmg|W6@ytO|BD`extve0o-5?Z zHGuQ-*zJG|@~Ko8RmzKL?sHKdOfASI`ET3cep&vc54I}#m>#%S%N?iahHK>0sNlUK zZ@U6xtvvl2piVBOgOFbl z3{X4eb#!h#keAZI+9mHb!1hqSf!ghEc?Lc19=ZE;T=0>6?PM@}y+iGj zr@am36L}7e9G}Wte~0u;?n-r0zr2guq5=7fsWg9iE@x4VIw&uB3eF4pK6=0}<>RhH z>7od20@YQq_*Y17ikVG-9g0J=pmr+W`4~2LMJ)#o9*U8zkUSN;uR`)t2z_v)-in_) zA^9kd(gX5Utf6YuPqB6@nEr}^P?~@4QlxK!aknC#_ECW1?;;oj6^SDu1u1;#`w3R8 z?goS?`e?0&DzftcdlY+V+_hJ6oC>)xg~!iOhAXbd0QM=u`Vl2UG5aZ`{ffN{K#5kA zE`TydQA}@NVinI9)BcN7lu!v3uPCes^?>5s65Q}X#Ypv`*HX%%s;;S979aS{TAtftH*F#ECd{Y9-F~uA&C{q-5ig9vyoKt)_98#I$a1xB=iZ)to6^aYh2yA}wW5e3J?gB_x6`By(t|=S=A3%Fu@nSEu zHxz&M!LdOxojSKh#b!06n~F5byG@E&R2MZXiq->K6r8=F+)}*%25h$#QS=G#C}ze& zYE`7t61b}vPQ#owMI?~ty8 z7a`0;#R)e+w<3?$bB|&@oe+-{Umt+7SCP5{7ksSvkbVl$r?^a=*AvD309@&*!a!fe zGsSXBRsD(wkKs3AR8Yc3!_`2!UQfl84UenHChKhgXpRH>wrevk6<5nN)gvX^?xFy#|EyTg^;;ZW{V z3TPWgD3?U`9g|SM$qStQ)(wb8LtdsLwP`H zT?^@uawWYSNL0SFhvqMbm3OJsJfaL)1Y?r&L;5O?D(%z}BrE@T4UnSbFNMc32R=nl)374+~bl*^AnISIW?%0F(xp-x#f70P<$UsPaR zRetIK+)!?yqq{*lguc5*CHqZCH>NmLeDT}7V;fb=BvVXtw{5tpzC|Qm_Kz*)UNA>HV((D9yp_Gb1 zeW^TL4vveei>e1#)q)P#cB*bD;1DYs>xr28Lg_N{1>At4}vmQwb~DqIMvzD;1I7G;R-mQ8l>{|pvsNXSb}QP z_kcsH*na?ts$F!}A68YzQT{ukT2T)%N%bjxrlYD9Dr1vXXX%7WQ8j&vD;-mPM)S{9 zl?yeF>8e1=H78W=u7H!OSz%CSsFG;9n5kMXgF}|8kE(`j)v&*z%u$v9gwUr{OdzCO zRrYgGPOEkbsQ)WaNhlE&s=ALuTco;4$K@H-m$YNgsy?JtSE~AtUR;!`ay9`fRR7Ya zIInV0lDMGiqw7|xZe4YG$G1gO^*Lm8-cqumswR#STss&<^D_ft{oHT3YK)kE7s zjZuG0U3;wh>!pz5)Lt%t1M1CG^c++_OoNo5{+mvwL+WL8UL000ZH4lPdchPZlho(p z07un)+78L;um8jqQq-*}kdCRHhe1kJUnJ#m^%Tca;7RqT6)_(woXTH$w5Y!c2IaPze+Y0#J(<3W zR`q^5J=)YV>RH;=dADi)a!og#}9|{nfj*+Nd4+?bLJh`c5CMN;SzzG_4i;4(u}50AEJ5nE8kE}&s;F~YK%q%3)B2Q0^kVO zOsoa&*CbQ>8m$>ezhR2etfK42Y9{C)#c768=@qZ(oCP?j2{{0V1Wk_?4u>?`DaR#h z<`m%ahc$*U*p6uCP%WLL`KT3?qnh`mpd@R?Q461<;jV;qOtXI$D5(xjuohyPX3JrS z$2Eto@JQFNqoB;t_-uwUQT<#~;O*7F6;$8>9zn(v=Mx~R#b)P6~GY8x(CrOBHNziQ1je^6>P zZU2LGMPpqCTdgLMhAMTMo(w>}X6YBOUDeET_yezL{<{wC4NVvqQiJA8npZVyHgAIR zrly2;Rg=bf7nIE!feO)DG>ex)c}w&71t_;Q<+QF^HQAIm+ciJWhw`3gfR=2B=G+B1 z+}8wBf!(QTpiK8bvyeuHU79ZfY5zUc%%kJITl2LW{ChP0UqJat!&e|^uVxX=HF!^Y@Cm1Pc$D>NAOgWLdV84&D%7->DRnaz;8hFKf2%N8f^gF2Q|BCw)sL6un@|Z znjj@4H*Hxtm^-w0a%unV)b^`!33n}*#u^^lRrHSDQ#+E1a4&88FHm}G$CdzmvTlgJ==jR2on0*N*=kQlxgu8E~Ss^Ud&x)&|l4{vk%YfDW-(ZOwB) zoc1Hi74h1I^!np~_9ShUgW9HHa8K0!oDVpxt@#MbBic93U?yq5q?yi9t!xS0leO8@ zo;XspD}=yf+MXCtQ?*A6Af;*FdL5kO+If_k)3v$OHDqWXQ%Rqx{f}NHG#oB!WIFvZFo9R=W z(LT+E@tpQ{4x}>e`9Ea!K319bqnOy(#@xX=As- zR;`^$M@x~c>V zmk7TO?c4JK542%a5Ory{GyxuJf1=K-Tie2i^hlfH7zgat-d_Uh6YW2g9-eAvP;dH7 z>puaue(mm!fB|g{F`sLvjr|w-U9=vp4Ux4JTi=y7aN4GqNst#Y>!d__obnm`8!gcrP3kueCP-PLKE25S< zRQH@-W9-rSY=FaF-ADg|60Tc8CDcA$$sV1Jbi10sIjFlt86-g$lK{>kohceuO4NxLf|8{3 zrE>kKuCoDAyot)xA%pQ<^S~3plR3H5=~fx@dXDSup-{It8&ITs zupdyaJ6{Q?&`qGzBH3Pey2nGsxD@?~!X##jt=W2k|q+{tpY1Rqp)N0YaHxkTSx~Y^FZtJpHVBU4;T7Chx>010i zZPz7I2X#;PUNMv%x?<`??(3Gk1F2J|qlf=M_r^C+cIhw#!g|*IO65_vZpcQ&?$N!Z zlj)Ie-wHslZuN(_?qi*m2A6%hwkjx}=x$^}daC=Jf<4nY+^XT&uj{5SXh8S!Rc)*L z$Q#B%-L@PkU+7$EJH6CRSP5{^CwvT>tNs~Ps&4vMzh80J?>Y|2Lw_k74xak@{kSzR zy;cj!TR)TXu8+RW4tHPungTHW^q*5!^4Iq|sQuifzeIPlTh9n#4A7q+4Ua(m54RB} zNdIIT9E0_H|DHOx!}|5~ zfRE_EF+iE54~l_wRR4ZEq-4E-&g>NZheH6z^a+6oma4!0Gbri$$m4($`tmF&Gxhze zAm!*?8o@lJzxf)yf6vvQp$&gpUq$C!o_<#&IQjZ11O<904xmuaehaoD{ZV@A#rl8g zC1{Br=>$i&-ay;`tiCW4%u@Zr(~!>TN70@x(ybm$^^sDIXe4?MZ1MpO@T?Tlje?aS^-=WW?PKIm*|ti8^vW!xWk<`5TNVK8EZvIMzG??lw#>hJS#eb~UJhhA;0x3NpMF z3V9(#Gya0(X~QZ?kp+hDsgf!* zJkyU>N-&w3UW$>D;(zs22k+8B`m8}l4Q?+1H3k!X zl~)YwsNSwMtf1uV^FRe-k|AXqoFne(oMs@JD@ZfE>VUWTUW-AE zp5HO#mLY`lcB^6LL}=R$A5paXh9BO8vdi!n3(6jYyEl~m23rJ_1BPobamFOT9wVC$>%GQ*25{Xl}LELY2 zp{EyZ6w?72V`S4$1Y(U*)cV93zoB1S#2Y{V1Gajy*0 zS>xxA;ZSNk(+ugHaV=HtWyUFL#5`~O%0Vgmg7I@Y5-W|vDDBr8OE`c!c73epN-+DmVU|d2kS{jYEU0~ic`ce1VWc;QNQnRtV8nzZ= z@o7l6jJ`AqyKVfN9_1b5-FF<&wi?IM0e9EPrx(g?MvfOa?M4Z`Hoa#wP$|=4?ED+d z`^IhG0XmJ1R0}*Xrc%D{GL}+>{m^Lifz)j*IEP?8#&nviJvNq6$<}9lIt8{T#-kp9 zr^Y+fhYcFXh+h5v+BitP^h;wgRsAlex@q9Jn)t)v=w{mC4aw6K5ee`zeM0ZEy-nQ( zu=$vFP>%97ouSjm&(v}Plt5F=7EpprrdMaD=^-D?5YzP_Fhfl?di}A-6i$09!em5A z;25&s#43Yhr0H{7P*J9o3*bbXM$ra{F>QVZh&R1F3flpbvl_O8rqPrQ6HHeqIVG7= z=njvXhR=nRY??tkCdDL;hjh%8p~jU`O_lWhoHQ+?T%KVXq&MD~rXD+jIkHT7RK#bS z_R0V`CRsYP`KE=QfCAHH%J7AzFnTgYrkO`UDK^a?2`Dk0rypmQnM$cPEjM{jf>dET zm<5OPrpO_1xM1p|7NpYDMs?9;)8u!ctTMG_;PTa`ej#i%4%3CR5U-lHbONrKRJ5+H zn*wQh-Z1_BY93+QN9RV1scbF6+%omhOPJdxr|)syJEkFj!PaWpP2-firtRMY?weN8 zQPyetmu}{PX&5D^F4H5*^AAm5tb?uF6gm&?eI`dXAO25FGY0`rP5EnJd}d;zLG3qP zd>@=a)5HSUUYKrCr})w|oJPtn=6kOauDO{Wu!s2>Jz!6B$$Cg$=Ijpu-e&qgA|JBL z+?5Z9-R9q@y$CQHDxnNCS5qAqWUh21;}Uz#X`^5aGb{cF2samWLAlSo?n{J;Fz+md z6l2!Vi=kNavPXy(XP!;9PrP}CCzJ=w-|Ij*WM29nAkn;@p6FroZ91%vm^8=)rtqGtUH@|y@_FuZ$^9493%$*gGPMQx;5s+c-pq4As?4yM(%N$2NX}0+R zZQLAl!YqV2WiDf&%r%#0f_d6(qO_1_KH&^XzB%wyC=1N4Uw~3*zDH@l$UL07lwxxV zZQl~}$sWpoXUyLwf^*h9hT5A_^Mj$Fo->;p5UtFdO^)Se<0058%x_cqeBPWG2-^iS zo6fmP^BEy*7tQUJP+l_U(9Hd^xpp)zQDvU=J(Shv>}6oqn9Dx{TrnqfAWE(IoP_pY zojHe&;(D`@y0fe1Rd2%onmL8`_I2}~6ew?)1v5ZtFpH=zYBbxYK)Pv`o<-~?^SMb- zHk%Jno6usu?hL`oXl8Z&H0JvJbJD}X*upFc{yVJs@^y_X(UjfO} zlDY}8y)8~t!11wcrhV^gai@L1%TgE*%5KYqQGfu;#CM?#vc%D-GT6eSFDArtgATt? zOAC#Q_gb88Ay}A&(Zd#Q+2#+weU??zp^UIN#KYmZ-!krZP$MlLg@O}hxkzVOtYu9! zY;l&0)KbS=me99$!15lw3r(<8WrLDvY1xA?hb^QtNVF@T{mMfHFj$7WRky5&4o#Q&RCoRjhfDFqo98fbYO<%*9ZAqhZBgZn9GS6wt z6)Mv5Ea`NJqopVa z%$t_FXO#b%ENr^BX3LpbfELTM1Gu+amYQGT(P}XmA>FmKdc)Rc!T+r+)~cnMo?3^c z@H0TCrIc#<2bQZe>g=*?q9f^{WzqylJ(jsUVC%IMGmsuz9BF_)i_1HJrw&&;g4RIX<_f{RL^z5=^hhURVar02k}(r%>*+wwnO%)=%kidstV#L>Mn?3jL(W z+uG>~@Ud#+aZkS1H@^k=S>tGmv&)({4Y1q#B~=sw*6t!ekafk!pg4l9!K)#LSU-rw z6hhZ2iU^Qj%5u4cw19tS+A*c8YbzcxaDV?@-1| zwH};>OQcz6y1*md+PNIcOsj$3x@K8(Y+zd~Z`VUQStF8Bz0&1*6G2n`I`8YV#S|cTp z>a1^3=BT%F4?=m>Y6%2fvrcksgR#N7g}S;X>n&P{x2(%PgR#{*m&Q2l)*ViO`_`sj zFuSa8ZicPLT2JqsA6wms*=Kza2Ferb*5gn?2I$LqJ2OK{x*>(R+@e{Ov$ zg*0e&qeA(m)fh$l&&4*Gz6)2|MkItGJ8VyBTDQ~o4)v|>wl`>%dDx^Y;o)g>qNCKy z7JnE@Uzrk`y*B@lmGM|f;FxJErV}d5_HG*>+a{e1$gyQpO?=9>V>YB*+lN#HoVMk@4fj0T zdIKQeHlzxa0^3r0lUr!JeGpJ&3$p-#yIA=?r2C>X$psiMJ z+wv!*3fpxa!ko9w{}{>(wk0D#t+f5J2GT{Fj&AglO-AMKWm`x%u3Kf>M&&}aZSlKc z*4WGmkgnJ^(l=6TbEk5n&bB!SP;X16+UKh6CT;s`4qMhlXs_Ecgn%2idpi)V!RAEe zV3Vyn1)OHv0$MFCHYH6GZrRRK&vV;0l+Lm{w%zoZT5V$5DtB$6hak1t7E#I8ZY%!= ze)nv9heFw5d+!hU-M6hD1F6%tcqHI~%`xmlgz2(P&Ik3OZGbXuw=I#1+8&#y7VyY6 zK%=Ez+c&E~d2IWM@_nDpCmis^_8+xX{Wc#OwG7zO=~clCTLx{Mmp0RXfE{-G4Mf># zpREDK)1EdAeqQ#j3jl9>yTb&IkNwC(h`x65I7HiJAHoLgwvU_y#{hdYt>-}d@dr=_ z*_|m`uswqEb%=e+BPc`deo25m_CYEh_S%1mge}ZI@h?EQz48Sd_Su7J+#F$Fu?v*_ z_Vzr)jI;+$aKIR4x6^SMZO=`FHpc#d_Cc(@i&AWy{q!6t6Tz$#Rv0{eb`OJ zPPF$E9Ja5aS;rCkUu;m4?BlZFchnyKs_wP>(u;=_`xrX)j@g_4fs|@rP!39({ooh0 z|4!J4Jx9f5ZQbJ(I3<)*jvtsni}p z>!J*M5z6!Sc$z?5urE{rD($mmfQ$B|XxJ{<*KCD!*)E~^Se1RrTB<**?V2(euh{#j zxU98rqO@OU@9Ttg)y_PE#|``VA0V~aOX&D$x6h*`f6v|(1Z9W4lj@B7_8+Kx?X>68 zROEqu(SAUey^reFhxYgDVe7U#Q|9Qk3u*g5w&!kuuurCf>bc!Ohu@&RcL7dhDsz9-Q!4xUK3#Ib-Rbzd=$|yi{`~MQm7dzEOcnM&<+NrtJ2EL(Y#G)7l?PLI2wYD_Nu`Gu#zZ|;3uC7`^%fKH50tkVUplz&FsX%b zXk~ugP4A!YGTH?&wlTj@an;UDq^y09xkCx3let!jFkYV0ciCi=KFYk4W8c2@NJxO@leBdP-LJ6QK9(RH%oC^7wcWR zL^ms61$e|d{w6L(3tscimmrTEM`^T_S^YDFbIc|w;5<`Dd*K2jp^mzi*-hi(I_6XY zpq?qEYyVAF1cL$84plqm%i}0OK>}C9SM}hW8gd+*pk? z4%xvZcqlWJiWjSWO<*4GKf_`-$^j*8yRdNtlGJ72xS>A zLb-?aF_nXRS^Y_XFbAuF%KdPbClzb^Sbw;}BZ8HohsS2n)Nz` ziDhMf59u&VFcR)ZSgy1$l2~cAP#$H46+ud7J-G=;VGZ(dYsXj@DJQ40*e9Vp&N^HO z$YD7i(QYYXU87^Cob{R$Vpp(M)7rnlx_%7NYFXEQ2c?en9o3juS)-}xXkkUELAk}+ zVTIpqmSqy4jWyp3%63-rE-<@UKYjt`Gu9%K`dMmNj4znPNyt~4j%4K z`gm|Woc7)W_&CM?3y!aoj1Gxlr*$eoh||yX2}7OU%YrS;=^`D-;ZAE^AjLW@rx%8C zPEHd6@lG?vh<(864<#H9IZgZ-F%zA>qX&D~DVh$IBTi#z9(dfT?Kf)Y(w!={f^*WT zWgE1oo#byo%5z#sHD;lcfpU70(>)sImOJhL0=5dL59wDAl}=yNL%8TPm9AUww3#;2 zRVT+1NY|V^kAQjIX&3dYH=GRgEjKt7)A8Ks#Ow#$bn+Wc=TE28S}IT;I9+-PZI_dZ zzO^2wbV`GdoVKJw+3WQD4M>ljKA_P>pVPh(fG1ATk8t^ZCw(+115W$ti*a#|SO=x6 z^Bl@wZqD6Q>F#i@EkI0nXYFw)cR4?#0wKbAt$==i7Uevz5{}W%8|d7NasHPcNv!kx zY)Em=CL#Reor|dINN^4_!ts#vTXBeX#Q7|Z6_cEoQxAUB*|HIoWall*KuK}_G#q|u z&fK+t}G4Jm=A!NMpDdw)Me&t^gxRnj3=#|gLgl_!(r{Nm( zE=>D&fGcy~AG#gPokMW(V=VaG4*b`jGAJ@q0Szz0nLYPG-N(F1lgRx{)kWA2GTFX> z1m@~yP!gF*YayjEZ{q_D$zcp4NT-<3zd-CZFR8`DTElnE8=r0wv7BcOaf&W*{jHIm_&%gYFzNrUJHdMm!188kr4rs@`M* z-vhIW+1d|iW_DfxrG;5c9o#MEAw9R-%-q{h-eLY5M6g!o2l}PLU8Zjhq&B9f36yr` z=3*%CIhbj59^7a8=rzm(rlRcsAGI&Y!GcRa(a)6n&0Z|SzLu&yEOn(%V ziOhBl;3Tt$PO}VV-F!eMQ#cRGEJi?6r5t7+?W9uXO@eZK=|7`ve6^~jC)V1^u{hJM&@b7Q? z!tnRIQ?x0+f8T+>vnSA+_`ytP$B!Mf%;siJL7-*Y<@o#S12ueq+emfJ?~@jQv$ldh z(7GzBmDm4!2mju1!vU|2+iBl!nll3b{>L%l0buh#)1cbYMrDFKQyU4vgE?x21gf6sWATC=Z)qJH|ni|5eOU%YAuv}-=0mAm$D8UDTAV#eS9hAo73 z`@?DQcVPyoAoF3iSRnZ_?R2dAGeuOc>}8&Jz!t{LOrV7w&ODz1h+yJq4wJxS7Jzz) zaU&%T+jhVaW=$91Br}m}$PDHe`i8QYIkA93Chr2Eh|$tewwU?&7$_yoCMw*|GWWY+ zt74=sfNCaz7VH(qFbh&6(>9!be{+-BO4*@_X=1^@nMtDFqJ;_l6aKfDxMsj@=JX0U z-esv0DsoMl&W{Ja(;lco7H^;K?7K=bixF(G)=Gtv0hM83TEZ)1%$8;p2C$v zSuRr`?O~023$T|p^#=UHSci`S!dX#N$VISnbztsiad!hES*QB}Q4ZGsEAA`6t2o-W z&&=!|p;#ce1#5A4PiZNZlHe}I-K~Wl+%4Eb0!i=$_k$BWcmf1>cXw#{@B5sbvemNV zV`#3C_EIx}Yh{)JaI;RGKwwSENy3DuvPXA zgZVZ&j?>9@S$PFuhqQAN+$l@(ElQ+J!g*_#Z1OXhyXBo;p!UcDYeDUmRy(&n`(y~G z)BW<|U{D8SAQ#RkIotsgE%Sp;xG*(Lx^DxVXm%qf*_ z9UxZSm__f&;N0G_F2r2)@X-5|gV)s>BTsV3Y(Nw3tQ^?=tZ z^Dw|0HMs`hty-Q2@J^Mw18475-Hm_`D*1N6A8I{2=ucIH^ZO^&l5yA&ZPZYJeW*68 zHgK5Mkz;+hc8v+T5!$m(fRUPsyR%VR4l`hk_A7Ih2ejj@QJE;M5tCU5wf5X69M-%t zqNJnR<=2oM(<(dz9M_gl2SjU~IW5O%#ka%!gm!T_sFT{N%#fY3Yg3MZ8>@|DPAQh>SsSnr)D>+bYjIV3 z!-wmdcK0r*>sqC}P`RN+jR4%#0=N^pr44KYmD`%V2_K+4TCM&N-ql*Xg7CgJ^bME~ zw1V8kKh%Ca59T8+CKf7>we{5?d!qGZTI;D+qXvq2roCkgpKDL&0bXbuCPU?=){s;8 zD{V0My|1+q?6o)Ah_dkcR(szN@J>r*XW0B+JGK_8A2gpTu>Yuy=j);6KrPT)Fln$*f5SOtk^c4sye!sxaRFMQKjB&%t{We~jL_%S zg27VV$OYLlJ;gRq%k^5l`24NVcYlEDN?ltBYL#B3AcU*+CX6Q5=)ZF+T#G*f23V(8 znG5^%_-|HF{SEqAMn)U)AH)M3`i1O(P5PkS(A=!g;BDTbf8fSwt3GQcnA`NH4FEgz zDp$eWsh9F${xwoBegy^Y(!0-saJN3O1cZC^Yx7XVUcFiXWc&1K?C1S@GS1Qm^u+_9 z5~Yvjr!oii^voO`(hCNFc~~FKu#EGez`fUU!-(HWivPMfrD- z1&Q%BL4}Ar&0sfQ3~vQkD3%X*M^FVWL;TaBu{c*f)rt4N`p6?7>Q)(y>w2q7qaO8R zo`KVLQWLoE_JFJB6j5O>AW*F5-JL4VGai{HYH~+7T~vP!YKFMLEzwNztRyHyl;P?% zOAKLBb+$;s;Tt3hdO4F$KM)pgrj4F*m4Cr8^!P_=^j7$wY=>G%+b%F;ss5pv{M zz*1Rl6JWV~Hyf}~erOJJhs=%?3u1;*9I>0_hDD&ZN-u75w#(l)1MK@{ounxDxV)YU zzN6)WKtPOa;(SFR-!Z9sQf}az=Tq_%$7rnVy$x_$4rJhUM!tCqH)o}23hJEP+7WPG z9-0M}3vvRZ$BVM(HK<&YmXCnTvefU8U6X~nKo(?IM;V_7tAdE{^*O487j)*TXMB7@ z)VN=u8mcDZEh5B7)QsZ3rEtZ9V3!KzqEzMQ`naxl#ER>-t@6RDZ=RJnj}SRo%O#=< zyDVH3;n0c@zi?BrRP5&Dzf6>^!|#uli<2#(x7K+${R@@!Kj+HV5;hsK;&bDbaj3 z%wxszH84LdR;}jqe@5Kg2c5H`atjF0i3m3Rym-#uy&zs}M%6BgQ755tNsQbC*=4b0 z0AyFhWiE?Xu>}QnO*}sY&Ff+}pNyL#M>!PuN&LvTaI{=J7b+8FNi66XOjkIi8uI&w zFtE>+zj33nK>nTs!X>gx6*!HMV}As5qqLs^<&bGO(Qc9<*gU!JLt-XF>xK1#NyFWo z6q{GM2X8IXGu7Mb53Y@!gBIi5rvTH$eGAlvwBHM+0tOs?fZ~UX!czbv?BY6S$5Eo& zL%_vBQf+fRNjbbI{|M+1%?Rk#6Z68dN0Z_8T3JfGb!;=v^);#4{^T{s6WL|oWMSb zSJhxJL{8;8GE}xt4wd0@Tw5?_%7%8X)rR~vHMp~6qD$b;mK~T<3zEO^js(kz64V@7 zmtk6n{DaF&xa^(+%m~@?J?xgs;xu0-3v(a3T&{Tq*$TO^3}B^PKL*TIGLSp<)$-#( zP-|psPO59=PUQc&?Rdac^LqJlF@ziBNCDN2azjVxY?7NtgW4j)dqVSwOwF%~j>@fL zU~o)cUk-!gvf?l>qh%sKaWV1(H{>T|6sOUXQfmr0C2Mh3iIXtWrz06Hz_(sgUehoo&J0)E$1(G@efUOxIUd{y|4 z&qKutE5NDrK*!nFu^)cFPxlP`%4^Tz{6mZ9{Qj!SM{XKx*XBFDI=`|j>Ne-LxnARb zIMNn#`VB}{J8$La#={niZy&d#)*RrLdFY6cfbVkkaNaFF)I($CyAMvpfXvU zKhOAYim1;~5hxy2M0r!i4W>w^iCLUSr;9<%;?EG(`hq!AT;!_;LxeE}idWI>^&oMJ zZ%>vAufCv`iJ3E@xm?s`FuFn%W2$|XI9eIC*ea^`gXT6NIR9=JOBlA=cZj+S^LL8g z%xp!9c2S^qiHNjN-7VHPfzBTB!#dPruV{K3RE$W)Ao_%G41?xLQF#IEPKnlaU=S;k zq=f9W@V7#CT@1|txFLSp47;1+wGFb{B9$-TnOH#PbGsPFbjV9ld<#_n6epWP_(^;} z8q`oZr~zPt4CxQ%L>W{FFj+PZ26MJd!ciL}Z%%@Fu$=P~ik~Cfr$uE#rhhRSCp z0AX@xeQ3^;MFK%BkWu>q3+0|EU@nsOj8}k*Ww!5O7$KuJpokqZH{THNl!9Saq@4O2 zs9ka##vl?HE4^U9N6rp}!CrZKHVpR3Ta2#`$aGw756V{KVRuYc%?6dTvRW`?=j1kO zo|m<%04~Vc+n{nw_OBUH%){hGD^5 zd1)wMm^#YnVz|mt6h(|s!y1D*QssB9Xe#AE6hB(^oCcLKs<{m+W7P%@*m0^O*U9l} zz&z$(C#db5M<=Qp972=Tp-@;%QQn;QgH-0MfM9i-iN`rA=|0HjsbVlNz51%+2cLDHv=~0gNZMsv1FnZK{AjH1YN_ zH(-aV#3ay8b@L6VNcEbV!Ck6Bc9`#0x0xT`qY@*FV%PVnjK6_*K z2|*DtYCju#LfyIu=1FC|gqu?;)&~%)_7;TfwCY$MnrBo|?52>@u?Tp%t#WYNc}KnH ze(|mfJPWv|s%HfAzPfD%^+2_51F%0-6*q$WNabeW`$XBsprlV~QYyd@t@3feQ0>ki zP#LBz8^vg9gw|*zV5C-b0qjO;!+!;g*0SsZjM1(!>KUtL$O{;!#WJcGul?u) zg9+O1oS-IZ-*Nq!Y}cMnh0|GD22SU*wInSN=Q=~(*tc{qKKuq4CBhwaFS>V!@)Qxl z=Q>EV84KnD@q!WbLeX(NG#80doY)tOM|DujD)9}I537awDwu1;m7QR25vNZ8_J}(d zxc}HI)+K|^KJj51boPtEX#fXAa~)2j#E9)sJt)TUNj@Y-$AUU6UU0k}5p5Z%9u;ao zn8(C2e(rT#B;~M)7Qt&^a9;E_KwS_$*zp%dTkcFRi9KA1E{hy&nf;3Rb_q(lDzb5H zxh8V<2luH6?h9|vMAmGehRWE{fMIgSK)?u@BquZ{%bv_lO_8q{ga^ve?9QpO+k3z? zd8HXNr^`c4p)y07O2Ao&Jf0g=s8nZBnJ{_sHB=((vMQ^%TpnXBR>}c;K&_S~*t2Wp zu?H|*FTdmVej_rkfK9UgVW@18l^C#YlRfzke20wXekoE8;%d5E=3)+FudL4m!hYF| z$;v2MpaTpJ$xJpdugiXnX&)k0%UkkD8r7lmSRP9W;S>3+HB_I<3<~Ta`GClJ==t3~CRk zU$X;ZRCED&Ij?-jL*;_HJPvSC75WCUE9xe5!&jA01iV~R0~t!)SLqpzK2V8DF#dd~ zI#fcX9;tSFVfa|xXHffI1u)+Fs4j9z`BRPKv@%3nnh4Bc+Sn_wo2Y##18TB1st2e* ztyXfVOw;b30nE@Yctd7row&-*)>6C!Ggu2K0oQZ27=~XfwB!!Xf2*{1oMhH$DL4zS z(-dp5QEPY_I=i$6V*z`#{c|DPr=_?DS(Mg~JsYF#>ksA$&5xPaliJ~mfJ@q~3^2H? zg>;AJ6)pcksNB`kXNBy!_ToI4FSPzMzr3Bu`oi~3q?>Ia=S+MrB;;ri(! za5F-GGzqFB^_8`tGD&Z91hUC`!yf=s^ua&FTOj`D0LZ55fAF2qG`&4z;_12N_}q4cu8!v-BVqKU=r+6Bc`r-ax@HSU<*+=IY*ds4m3sGyqoU?->rP)C0<* zz*TzJ%+Oq|ugC^iqwlvuwpMS&k89WIX*n!6=sRCPbEEzvrZFV{B9po!#}|w`2XyvE z8NcOZ`9sBSKDhQ_;zbNhhKuA}c1DQmU0^v(9^^M#_Ds$59PoN z5d%0ygo>(LAqx{LO@Mh~@H6 zl4Bu4?DvQ2QgN&nxI4u&<|rb?+<9=bOI#TPI4qW)1oMcP%v|kJ@sz9W8PV!jP-jKd zAW-MT<4^E?LwHAnx+#1n!{C-M&j36TiI_osDz8c<_oss2zID=$hgr^#20wx`QS#lV~)_qPXgrkuuo zj3G0Jp`-;eOGm&$Il48Ni{z`8P+2VdbLcLSubsb<5iX0?fN+D{%xQC@Y{j77A!p=+ z;U-yZADElvft!GRGW$G~v|rxle))i0y%ug_WV0lI6S8C?Fi*-DuAQf3>P9e+mDMXk zc3K|exI81n>w<62kMm^H3e=y$=J7`hA3}#=}y=OM?iR#%GhEG*cO?Z2zO7k0^=jvoZ z$X=*KjLBZAFb&izm4iu{*Xq`DczL7hr-Q)<)#6*gM^)Vmnq##d*gD32|J0C4l+r$J zAue-vFr;N;>AT!BK5*qbc89dW{%(*~+Li;?ekU$L=jV0YcGc?2s`;m`3eA9W$DmWY z1EqDw&j+V&AqS-Oy0gFQPvw)=;0Sjp4d*gd(P;HMI|PlVyn>)fK{L)xWhRK5mz@dY zz9sphs^9pUQ0+f(8?Jx7%2i;bxRD%YqeTwRPGd#u3ZTY|+czPbD71cHP8Rhmff*>i zXF_P27#{*3GsG)~Y=+Qz!)A#YcxdcMO*dwyH%P2kun!gu7$wXV^~`XyPWUlkUN0t9 z1GPastp$~hVof&~I7EhD0h>gU%z({e`VPPr;Zq(4+eFfV@N!ffWUAqqcvuy(<6;6A z#u$+@0xFlpR4!16f1WX?c~?y1gLzL(%m(g#(R&F>dLZVP1oNQ?S^zhXgcoO}$6{Iv zFrSDC+)X|ek=)Zh6G^WCo{I|~0WZYQ;ZS)gT33O=E0NIc!9o&8ZX*ZR;DjTHFLb%x| zk1T+uL%!+^<|cVH4Af>hHwe@g`K~))tDMvd%x&^dcEWb~M?Js}nKUt&JLL@nDv`1Y zAC6tpe;NwfEqfke{If?6WV7km+5zHCVuO>4}Gvzs7l#ACZ z97W6JLGDsl$T~D!Dfe6ebCXOq9Nw@BR)=hhJm3RrtBk@7j;{S4VHYiTJ^{qYoCD$PgnYCXa7iX(uyI+ospI_qM}C|N?p4{T3hb}RicA<>mm_XN z=ZQSQNcpLp#-4j77bF9GknLYU_E8252mB#-UxN8)^|B{qW7Ho^P>fZr3V}IIrDZC4 zy0S2souM>7UZH9NwnTcEs)r4`9k=7ib66}>&3Zs*xq8NFc!k=X52_B8kF(1r6?_b^ zS&dH`SYwtGQyjyAChROV_&ivU8j%iOv|Xp0zSEYngk1X*F% zu5xx-sV&S4oz2>zj1X?oV)zbdtCo|`&^E1yH&nN4(H)_AP%}n=c}N?`EbL*e^La3j zXgfmyM>T(hq4@ma`3uO7YY$t4iq=B-WmAmSZZViAwYoV#-O$P~I=ZRZlU+lBx3u;h zA-t`P%mcWi9pS2fS8Kzl;hvVh38?$pZZ5+Qw6)y4Khz#m<&ie&C%|Lv$Ro&}Xb-ZZ z+E2ClOr|~4(nUh{T&u(=^o3^TJpWQ_GX%_6T7zp~zSgqZH-q~|3$Fo~u3u^n`x$y{ z7f>_xyV1}w^ij++&cgTBV9wSvG9(Vt7oA0c!TO7`pyuc)zk%jl{r*d+gy_k$0z&m3 z)4>eWZu=f5k^26w5bn}f_J!}= zx}FlUJ^D=s*n9Q-RpEP|e((-pzh0fO#{vB@m&X`=cV18@^g|5nPwJ14gF2<>X6`ap z@5grp*YvYjKwZ}#FgCfNFWk=O@1{PUi^whg(P+SJy#N=lJ9^%8P`#@cVk+~V9ylJd zXL>Z#JAdfI8-V&#uNnyWq`zQA$C#BL3LI+=>IQ0@dGQ`l)6LW0KxKy6#HD1W`Io^k z4>R}u4a|AwT3CDV_y5f+lYv}rPI42%73OdqhAYhnnOs?Aj?4(dt>y|`Y`2-WF$25Z zT;vR3hq?T0*zGi5Vo(@q?wpmqX71hrn!C+iIQ#4|N0tM1)Z8={RJ6H2=kgeHEaT)0 z=4*@}>=(_8IVD~)&-fNPcg&r+1-NUj!I<=(xfZ8}muA~r$X=OicSlLD%_&ntWr(H9 zeaMDdPBj5@m?fBL^Wm0*zR(2x13(qa?^bCe}KH(<2olLO2#mIuM0W?6b)1*i@RD@+Q*Ttoltuw(~Z+X)d%ng>sxl#N^%V=g* z9G3UY^=`8K%=L7$r4M89EtbSjKy9^*dIy7TmMP4+ZnwPc4QhwQmsz--7Q2OSWg;zC z7>^#ac=ZOHwY1<9cg?bZX{URZ2~4crw}f%%J+O3c1=&l>tWem$vUFqQ{MwS3&;1+A zgmQp)mgh`ykF*w?1(i|O8yd65D-+1es*5FRC*2o3> ziPrXfWF}dMOa?XC+L24g6l?v$a1&@fc?pJ7t+yFwPP1-l2$kv9-rS$hu;%^~%$e3h zx!lWZ04JbsX>Y@M|BD+Sv~Q{f%^@N!_bNlhPl>qOA11yp1K$%xGcN0s#9F=(o-8&_ zfWZ_oz#kUDV$v$e=7=LLp*dF!d82Av)#)EENrzC|V}^z6P~HbUXprC<^oj z(;=?c1hq-5nFiP_)*L_y`$hV}+xx5|VxC}}T^Jux^F$`j4wX9&` z?@z4t0eMlzM1n+UP#Dx*c_19rJ(;{Zyxo@}7vb%t{Js?IU&&cic`c7Dg6xwlIuXnv zswE?up{f+)gkh>(W*7`tHMl<>sSYVXpt{6&s8f}n6|SeL@K6{`x2s2^fHTxY1BNrz zy5vwb)HCknXQ>a|#LiYjIKc&}5AR?Yta@|7ouj&P`k1TUt^_kgo!$e@P<6I9AWSvk zdOuG&yaD*NsN{eJY9*tNh3ftq7%Wonxb7`h_I)o=;1cyyUXbgQ-)+?5fJ(zb9;Lov z9DY!BXaS2uDmORXhgF8gppK{?NK zgM-;2Ynb;cu5&kf)(9$%vvB5U^8=&HZrM16cTd7OyT{GB&bQgJjBc!+puCMNBUFxx ziSg~Qk501$|tq-_y&cj3T8di-m7F)Or~eLjBrR(m6Ge3I;W%5*Gtco z!RXmSr4@OM?JH!al$EimQ;C&sez;GWlr@c>I+YPNOckkE1_Djl(_8$!XgNe=(7%hu z6RughI2l(}Mm<-fXJo9zPDicFmr2iDa22kyW!AHNXEa|aW5sksv{D&FUL#X0l`*a? z=`Je~%fjE7k1s1pd|64qEGyX;WhHl)<;AkLw^Gse>4{Mn&4Sxw()0#TmZOgwzmLGV zS)~;?xAY_DmoqDIZaZul&h2xp#<^oAL^gWoq}1u!jQk#J$mwaNw3maNKI6gRKba0I3z-$WalSPA`_F_9730=I8fPnFi)vx(zd zo8-&ZCjFwd$=t0?&ep!~q*@wU8`ZW5AbmHn%J=%hVO`uT@Wh96>Kg*T5z(@yvvrZa|zQWs2Oa-pjpI_{jXVE(w(FqO(^^Ses(>4pp&TEg z?q?+Ba*qo4c)v;@kR7d|UBSCa$gMi44Z4@yKu` zHIBhii@1j^VeBSyJA!#!r@=gK5Wqan5jrx%M?AmTlUXM4<&Gt9V(wQ|R;6bugZB>3 zJf@swbR3;fTX>AC?f>VU`Hzv7iZ{h)Ov3A#wDC73-Iq5d{TDYSgZrjr>Kwu(f)Rvp!5vJlnE+T zB2#o+{Wcn_CM0xmLiP0j^l15uk%##zZU~<l8DqcpB+N!*SwNaKd^U)?KK z%{hd%&xdd}G`A$aiq%w3l(n4IX3gc4UyKSK{hBZ~{(ql68PWQG?pMuS`M-bu-2Lip z&viH!w?A*olQRyEMQO$Tq8O%Dww^W3PGq@YP&63tdowM7=5TYVzGbu(VK zT|{a7O1$n^jn|!X(ot74&)r)?Q!|y&O(to2<5+V?Z4{1Cf$C~m%SO-FzHXuSRr!tuTZkMo7soVXp_hh zP`hy9nxlPv3uSeRBJky*G~IQ&b--;JO>8p?Tv9p3TchqJ{37@uW7s8CKtvmBE~%oT zgK>+pA;veC0T+$JmsOn%4^zN5ez_ENEtI)LE~{T-iLXgnm2yVtWtAQg$Ck?~lZbMh zy{w9e6wxW6pG%~4)c|UzjEq-R3vt#l=&EWb;Qhum^{w9+Z>ZhITj5MT@ok$z-emC^ zZx(qDA-*l-ZfqDi_^))b#@mHE>R(r8U2HO%-BD@3y-0-vCgEKkzx3g(>0NS8g5y`y zU3twBd`Br=cpIS)QTwXK_J=A>rY@R8Jl~trjoEF-JQMB9K+tK+2e(TdeBL#mbbW+y%ZYo6HT|r(! zwBGHxCfVwYoRVIC;jL z!%=IXmTZ#o__3Zz_&Azc^o~W19+SUNe5Y*XUqJ3ty*2Bvtw8U`TY4SKBuU^;&Yn%D?X>Hzv5%UO8=LFa2O=#t;kZkLvoX8 z6UZE>3!6xq^BAw)G-t7lpjmIqHB45?jTo2*M1u4N>Dg0MBfl#6bn7`D$md*FE`jfx z)W>xbDGN7xUb3b!^U{>sm~_edt*tITV+zAmXLXjS4+0r(T)SjVY2)QpNPL``Y=@iXRLDMY z)0_xNbMRBY3+O0R*B5{O*qSPt7?d<|5~p%aeDhV@SaSsrU>980G-9scDZ}744KUta zv3~bWBrf-6bb51+Y5gh~ryM_CweHK8xE!8YVX8~0YNSqLD{e1=%ThR`sZc1&cK+N? zn!uxs6M-<*@f0VHGa(kiVKwzNnG>})=ME)BBa5k*$;oh=G2p;|^aW4;gkCuCa`J`n zk1sbtBOpQJg$Vdep}x~aZ9-G$WjzA*m8q9KUbS`{Q<$8-x;W*1L?|zM;6N|1Vs@Sq zbp1*4XDw7VC8&|L4dh-Y%b)Pnd0C(E7Kdi?Y)K?IRAR3ZZ{t9Tgu6JD2{oZlXZcR^ zAf= zxTFmUtdEtwT{>s!9P!>#J4<(s9hT?ng7{8#i-pNq8<#YGL_J0cADxA9ad!TY<#7{S z()ey<7%(0Oh9KdH^Rh0%ei5h7ACCm(fCS}wRCeno44eer+6lV#J#`a0Fa#dv9Lm&Ngz{oNj&Crx_chrw z_BUlKn=^h~&}s_~&!r?R&cX@{Xcx{HuU!a|A8_D#s{*KsLz()Ipy^UU2rHgtWu?>!@=ucuh9EnVcBcW#QVagUtx&saibe#+mop6}JpaQSE;eb71y0OsP_M@Fq z;zS(a5*QqQJ`55R0z4HGZheA6ZBK=SVN9PhTtyHVXT}#{caF>ijQ~%LgfbW$X2gM7 z5*QQ4yKs6S$KRzuXy?4FOJJP%xo$W(8=VUN8|}ci&g=RF6XL-CR9^2?2q3r>5~_9t z+>1DPdx_6+nDM~&Gi40r^-CO97o9y}oJ4{fg##<0|83x79Jq8prz|EZ4zLKtoR{?o zrCeOXBo~(uhU4>klQZ9`;7{N#|F0A!REe*zi(dUOaQ(}^w?VI>Y%1$cGbB_POj zG^4sLl>7Y00$!x;uIV%hrHT^1BDZII4|oGUb(o0r0Jc-5OU#gS@J5s zQ{Yb+=De&+2y}4?^KrP;c@=JVNx}j9otJe92VGpkSr?aZ-o+(cb#V#TU0lL#7ne{h zgS!~_km`rt`OhA-dj!IV{<16G_J7@_ZYjI=XIKBYStJ{}ScC!2%P%_H6$!(F8bffH zO-h+fKJoK4`OR@CQxAJ6FSb&mZ7P^b2MK2t}RNIr)5-g5AVjHKpPCI~| zKCqg)T~Wnt#*whmDUN?GNp5nn2yuhMZ9ww8OX_BkeEd0!Wc*OV^Y@oy$!-7FW6CWZ zhCBV2W6Q1J9AnN=;qNiT+)@m+fWH`PZt-7_Ik(h`5ta-GrVme63Zp;#d71)5vE$WcB1AW(nE(ZGj7xYIDx+dh_SU~{z5vGAS`*_e}Kxg!z z;V*@$-{%Z$T1#iLWf8?3jWXGi3Dz^SEt$D+C2W%Dm3~=|r`XUs$v8S~rUZHtw#jLY zh#a zyCk<9zvONj44OfU+uvRfIrQx&#^Y`S{D!|N2J|csgEkDwoIk?E0vLz8X?Di|51Mj@ zvYzs251MiYkO^|k^mh^NxEWMn80n^2K(R0GGov9-c^?m&_Q*ecCPxw?o~E2hws?D{ zTJYCs5*VP%dE8LEVKtRA4t{H^g=~7d?`&CQbV?&AqbkxToG4)>s0Or&uR#n_ojbDNPJ?Lo6xrq(>=H$7;|?|RU*|L8$e&eWTy zee%y~qkk@2Rx!YsoeOng=;hYM-zYJq0!^RpVfKTEoW2=}x%KgzzNTuR+4pY!4jyvq zGd^?c)9*qL8gjdd;hLwyM-Q3~7%O?or+Cl}P=PMG$p^n8ji*d=KGmdM`YyVM2i+O^ zq_uec{3cT$4~1b+AnhBkU^4k+j<0}mBR78KJKC`2v1RdM2<9rtHJ!9EN`qaPp_nK8 ztOw2ZT=k&YA#aVbc`zs^80TP}m8nU$HMKK((9}L+q|1v!_*TZPMs`gPnr6&bddi0w z1M}K4w55oloTq4$2TeI+J5TvV51MjDcAj!%7vpKlHRE1htO|*Z-1*R+#9v6gJ!n>Z zwb2o3c4ju+PP5_eWCHCChI>@y^N_O;W z9t8forcOLAmMpbMh`IgCd6Z3X4i6vnvxw#-=>uesF&Aoir` zzNv9Azb%VlEnrJ*yv%R&&RX+}wW-G!^dKX50d((xFWBq9pcfh)q2|TZt-E*@7j4W2 z+qjG3%%q>DG!7N8Wj4|lv}H83f;Mljiyjv2kGn?hg1D=NjE)82ub4+`@w}Oe8M6!8 zx*Ht|+7hRV0$mtm#0OR>(7n;1hZ?yH*$SqbhoR4Ukc~h3W4eWua2um*AzNOrdziwQ z%BH9+M9qwdLTFzdurYC)vVq+T>;O1s9-eByg3fQ;gX4f&9%{S6F6u#_0A1RHz6KgE zJ>yHe54y02{2l1LM#sW<$|}V*Gdad#4*V{1TMxBjps%4lK5@121zpM53^T7(7(4D} zb^trZxJULutWIwBe6TMW>58Cgz#U5}QnYJ?PY+Q7Fv33ZrY?!?_yRosD;33*7ROfPF^klD3MzywfPyd8c#V%>%onF_!Ek9z!=8?0Uv#u(OVZw)@UM1G_I`)hcmLb-XUEVZ1A4E9m8m zDmc|JbgP10-Y8uf*4;hI+6uNG)bhEj1X?!+Ld~}t*v@Ai))BP!Fxvt;HcrmwM}ppt z2Pa3Io6DfP8s}JA1RCs~A`;>KX@G~D+@N(MT^S6L&5mkiY^kLvVYDn~%Or|BelKTh zBN7j&*|uBzJ{<~_C|;tt@ua*hb%Bzm0j+yf?$fShq7XB=74J5{Cjn8 z->Yr!-sG1sid3-Gfwxf=Z1WR~Qbz6?wk$dy(^7_C4ciNG*zm1s%P!^_ZEM=T)1!l= zX^asFj^1#gG=&+PaVg&}H!jz-eXGyL-=0`)*!&=^_DY$S8-;oK_At8GXoO4i+bdR6 vzOu$JKU+5aV>KJ5^=CC~DUEG@w&Ws*an#TDUD`HfY^GUgwfl#W5`X>=hIJ4I delta 89234 zcmce<2b>hex;Ea`Gds~1j#gEk(@z- zBngU=ksKunh-5^PlHvb6)iZP8T+cc8e&7B6%dfiYjrCU5Te)kvF*VnStX!j$#f>p3 zF|Oh{gi<_*q8A@iIW@6d4n<+m6#fbPQ))64pDsV4qXgVQ*S?aDq?bmB5r;3zr=$$;d=Ail%e z&K^-j)s{X{ZhdRc^@^I_+|QM~u7fFxn55jAVm|hY_w!_bBJzmb2QEh~FSl`YwaL|H zRa;!`)~3irMNgjTRG!;letV_T4Rd6OC@W^0yFx_js}VJx-(UP#NmbGl4~V7t6eT4T zm?n?&^#U$m#6hE$m^>yk^q^QgxtEgHeLzVSiw?ye>h)_AQS9W(;hFaj3$s|Ls4Ki? zzfcho)A^}#YrrF+Y|hy?xv%#8{?X^QGp>D<~>dhOM45hFx7Q$&hfYMm!$Mx>}_R*Dq5`EjIJQ29MsES>{1S2|E64IgWD z`lci+&+otWSV@0)K%~YhVVgErQ>rMH!dBar

r>fQ(4DAG9G}&TJJW3P#L-)HkK3 zpkUf#Ar%D{%6j<9MK^zypYjKKxI=dB)_}_$oL`XyU3gL5v z0MGyOyXk*haV;47ELPFCBb0u@Xc{!a53j^~J;E_FC5MR7Zk&Ja6DwwZael&9HN&2c zraWe5xwXZG`nB+-SG6m~ffcG!@kci0m;cn8_Y_4fR2*2F&IKWp_S5t^#sA z8VyKwJ}S+Zb`(tjw3sYavD>wGJ9SsoN&7*`-+(IYTFE=mclj~ml{1Oa^Jult3cQ^i z#t2270$zLC2cW1|!DP?)T#7kktC&M=YVP{slWmH2SzQIJ;u?NlDB2Zu7vgqT&nQr? zswM!3>(!p1T$60N>ux?!u1mmh*R0Y=(QZhYFjs%`sZEWGA3@PSq-eD3(+Lp0CAni= z56mKVHFuebWVk08@+sQ4NWx?P6{tVeeTW-^#pCp?L-Bbhc2)7*G?)EiNF8&uT`ec- zn|tkQE?>(wHbwN?EEJu+p~!j#oT1wRwnRjUxDYE821i=tXUU4f;H)bmo)lCR21m{a zt&3e@aHJ}0AYxY%k)mD53XEK6mlX&p`S%LC=e;XXjKK=@{o5K;+d+X^tObN$u1vKP z2_?Fd@OSkpCH|&f|4X$-+td0(iN9XQTl!G14u_h%guh;Q0~@T@vxo=lbt!;gy^;;} zYPNBxaiYK38?Qhmk}p_^WJ5>E` zjjc<~PlHse5vo>Y*x1O_${&(owN+J@b)s5LEzmlxI<;Mgep@U?O~uAGXiKZfDBIvG zwv4uxlGrNn`FV&t1P!rY7RT{&PNB=|jjJ|+%e&64x0A351lLvbjIPFpbX#Tz*DH%r z5Q?jvX>+M5c|Sq+>=EiZsxj^&ZF{5ybmKPyQ4%l|*DA9v*{>`CGRe<=j6e=Q`x*kt z0d}uxy41qLFn74rav^z;u)VlNGYXj5+-k9W>?Z7G)KCoO$svVdll>Jn2Y|jJ- zYGFXjMM!_MlUt4VU7-F7R?2D!dkT9+m1Ur-AqQz{B}=~=LjST?mb5T6#Q8O7nUWT% zhRh)Js-(rLAv&Qdl9r%`EFx4@txt>8kX}r$n%W9bB~=MCb&uNGH=Y@(uAYEPh8tQb z9pxEu42f%Hros{>qBrPTxzUKK5#69ptH`)5V(oIot1xbl_@40^jB63K$Y0w9b&iNm zs0pne=8b~NT4#?^=;RQNvQ_J|`)v_&)!MGk#hw+{?(jDxsBcfzJpS z5ju_OO9Q-$Z5s{fAAy9!BefyF(SRaE4PzZ4Zq78|5Xa1ehMJIE88xg;8)q@kYKbn= zVxALV_If3Ad9NC4M3Z^Lb1+ABHrsgBNU`7i*sH!;;|gpMOKD336}<)Q5LwX&F=S=NbTh#o7N*)g2~R%Cq+fn=HRSizLhZ6%IC!HZ3l;;a}< zb3sVzP0>xlLxb{=nE1e)9->D1WG;>gWIqAaNx^0iOp=0mRi@P+tVz3<+C#`n;zl5H3^pr= zs?oJp(u%H9Ljw&1rqnP$sG+OWPypRfat<13af*gHX0r;q$#&-hRY`nk&I}FYalVv7 z9`jIUzSPb}g;f=ND*s%BC29jbaHIk!mB4YWU-oyEyNc)F5TWc?MC&1Pr0U#4v6 zKCnheR-Jq)h0I$p_igEvxg=kH;4Qv9lCKW=60g#{tt=cR5`)|n!pa0P$LN)qKcfq(JM0@7Z872l!ka}E2fg^V5+IZ zX@M0_`-}K0l2b`(Og9*zQH+09U-di0Ef*UhQ@@QAl4aIM1k!)D)OYmHTf`-&Bjq` zM&20Wev-IYPyNhBihYkYlQ1rzrtNzrkgT|JnoFRft#<{G$5k7;CDj;D*`Fm~H>MCc zApwW6pRy+gF1PtRB0%s%;qG%kmUGJEMqt%%Fr;v_tz6+hI^Ah4V zXQNf%buLF-!}1S+RxT1&gj_beMq}K20##xNeJ%ryGwcZ@g&edS0#riwvtOEyn39Yj zvSvawn;coDKP94p5sRSY$x>0|O&WAtVys3U`8`c~@&YERVp}ZpVuPKHr7P;L*(yejDiBVU zg=E`>Mt@Ji?m8x!_GC!POd1$F!<+$$7RQ)mQ`!2r1D{=Hx2av`x1kb!g(ddUKPhA< z9`_xS`bY{Y=!#-w0d$v~DS(yOOfxK2jVdeozLb0@!03-CHVyMxMVW!3NC&6LDuX5# z6DCVvQkqji^rvR)ST!!&E=v3;6@1EsqRip3YEBVmE+y4X`*k@<*=tdc$Eqcn&Q=6BaKtqNKn#jN62qLQjy2?1I zV5n5!K~G{S7%J$Kr2s=gq3mkO{Mpl?z)%AU`bq_xpdh9StqoBr(BBdmm0fM}{=4XA zM8lxKcquRq{aV~v3N)0HiQ^PFNRt~&1<78(Z_!o@{(x|8@>}p`!lha5791G@ILfRS zulC5lo@`Tt3HFo;Mqtc~b5Y?`ImtL?3EYfVYuDbM4f_aZg8~htz<3H=qN=k}pg<_# z^nbv03Ge*}+zBu&7?^-6dClCAfa-gV$yqazZ!PLYQmdN@iJ;aYwU8WX?QnF+R!fwX z`x&XrsQEQXt#481le*aah15qZsos)0#iHs-p!PN^CV`qj&DI3tqD6g|)Q;v1Qr(n2 zBxN(Q$#i#<+Q1Y!)F{i19?GdiZ%{2ScqqB&Tim5`sF~It*Vou(lp}`UnHt7n3A6rR zju`)o14e$iANFt7*e{G2W@<9_isYKvWv!WIKkF`Fzo;%j=i}j4iT$$d<`oaO!0cDl z%kTlaN3LzJN{4iKxCLgvCfRfkx4`VzC14ob0<+(cGGU(nT($l#Wx|d6q}&7r+xw9o zx!C*Z!-SlA=auZv$UfTp4RncdmGp zyXs2E6Z;C&%!Z(s&XV*m*&-C%CA_r8zaw+lScev^RR*fab?7+yHdo8NX!5S1MX}eY z*xoRwL)sS!y^5HjwHp}IC=AKqaq zQD1TtQo>3wK8AyUJ!~9O(8kjYEi4z~rUP+D*dm&mcS>^rPQ})rl&oO@vfY8O_=I{k zBZ#crurU1E+Bb8m z|F8>&KW@ILWBMrZpP8-FFd3EnxdjEbAi)GFl3VtuzX48^qe{9M4KXbOkNED?SxGVg zR2&E*s{^KZ2~t%hsj8r4UBwb;B4x>n?h~vlf~-xznpaWkf!9j5iPYK|u$636DLPu} z`pHbr6)?AxOk*AKN!&^k{Y52R;RXrxhr*&9(v<#^O*F_M^VDA|$7(ByyKcf1Re~a! zK@syM5i=;#ftg+|6mwlJHN+~jBIFeI`YGCs<;yQ}Z(^^@wmoYI!D0EFN>0#8ASD9JtC6 z?oC)o_!GcN@(?IsaJ;T6Gca=7l|C3w{1ffP8?0{g3i83fRY_2R#?Fbhk9+_BG}HcH z>^J{ow^`=@Zfmmm%UhFJ;n=T=KafZN2ImbNN}{1Be2WTme$Mu)xIE_0JZdgsH*e;F z6FyuAnKCvuCBujz@QMV&j8{=?_OcR)H2&hQXgLYQ8okI?UIGb5BT_0zAldV3WAwij zC6H!xB&CuB(v4C`++JA%1&m**I+K7oI9<)=%THnzTP4`)P1lN!Kt+X|S&Ocz_&iov z$d{mNB^bAdtU_M2l8oyi<6($aig6=kFXN>d4+}X@o-&L_hGa7S3gfXMNk~^K%XmV_ z_taUA@#Op=3t_5OoPKVpq17LA}ef{Svjv7`Tk%Ci(TwUIFH|g zB!ng#2E7q$TJ-x7do3)PCITHP7YI%{-&;$z@bXk5cdnFVDV7IfQF6|l$J(!g?yt%NB7ysO(j#OpT(lezdf;w82s~AgHW$P+1=2O!RnxX+e;wN08|QvvNK) zs?1+Zae_>tfK0)~6qZThSZVHG%;jzrDX=WMx{__ZISS$*$ZYHgDxF5QD=(zn2p$=c zK$Tada3=^yD5yg}JW48S$1=p|h(Bv`{|UsbBEpCabu9jTW?23}irItM3Ct_Uzrf1n zFjMTrGS84b$8w}#RZ39_ACxKnLKAAgkm7@2inW8f2byD$;=3VKHd@YBAJhETnVr#6 zSweI``B({;2dsq8W_Ii-wt!6^V*rUL!X)i-3fMU)=8*7cibWqcLknQf?+VMX5`IreNeizgipytE3hD5lVVoAJ)&4E+Wwkk(_#X)zAoY1fR*rRWauu%iUY=? zCB*@`@8}lYC0`54SCm$bd_jE;vXx>{jFfDBBwMn19(hPVPVSi!KTYEM)4K1acomt< zJc@s5CKXhZ#a**vK?v=WZX$&mG7GWKh)A@5fMJC`C9;}eSc$l9_9>|5@ExY%Iph*= z2qwsp^O=GLZy_8;6D;^1;g0{{&&UqA6D!H0w+F1m+1Ve3cVU_uiVhtNg2?K}G{2^> zrqTUZkU6|=E+!W(`7D>62R_SXqqTs`zF}4@gc_BNq+k%cgQAVKCT>NyHYxelNkj_^ z%OnmV2}@ODOQ@j|exKRtrF97km7q`p?dm1%GU5Y5y@EnDNM7L6Mg*naqf`%OeSws! z7L-~Ll;Q|0cF3$*_Dv7kw?hi`4GQfD3T4tiufHI4Bxs-Sx|CWUl)4_2;;tF?{}%-9 zb74QhvM*Zh^W6&yMLT4vq9~fu{95oI^zR68Sqk;10ks_LhYJC1m0v9iC1Wb7WIw@* z?dmvAG5lH1KADo+%-e<49L?Tk1$39Gj!J}s$Z2E`DR39C62F2B7dX=LuPB1Z5}1V~ zbR*#+hB-`AyC=$>>Puux;tV9G*)c;c5;2v@^FRZdrDXO@b4i98S6bTrt+cx>_^o6& z$j!_FfR*q9%R!`Ux686Vx9h97>+jp2M zJXL1_t9ayA;xpL++dY?&OZ#&bXVi-Bk<$&uMz&aMi70GsKuPe7F?$zPt0(m!x7~IZ z3?WURQ?#VUGz3h$Z<*(cVuvr0_>XA&k0|hL!w7aKc!A{~+6*e>oYn7bE_4%NUNTK3 zlNW2yaM{AAUIqwP3mr&_9RU0iT?sGFv*xSC*XZiH>NX6d6b-tup9enrW%+nS~Szn!Ev|Btiu|GP>0KYu?krG#2H zU2a?Kw@pP(LyR^!i?JWDMWJxQ47qD@P*TE;D`sd(Y&}ji^OjVLi5cdbfPC`6{iNi` zr?|fU5yGcz*&)oyb3fGE|J%v4@8#L^-%Xx5W%I^5N}r)IAHqO~oPjGLzi&ajBF8d& z$N<#1Ba?AG$+$gaE90#h*F)Z;!gh=simeLvZ5~-;g|{>;xFSmL7hS>D(hYGPodmIV^ zJfxIL#h@gU>m}#b%>XNrc9vJ{H&itdzQV##lv*O|DRoKdiBg&gW-%uV#VU`YTis5E zBaq-ie}ZwcYg=f|@Ea^x!IVZCwJTth#!d2F(un>r!jjo#$uv@8hoO?hHEB#T zj1gI!{8{iJ*v6J!AK=EUaXl)^r`FB{P}aFUT0^f2?G3 zL*^eMxq~9xSei9o5UCgxsriyf&7eqGikL^rVm&Jhp_894`-d!qPI4KrF<1zlEIAay zJeKw77m`{XOltH?NsSIBwVxSU{DR1ppvYo~yif>>gEHq?a66=o)xqAmCJzAG9jJmbdW;HGM(QoA)iFQm{5mcLcdUE@Cym$ z#7xgBhQWb^+Oenx%Y@#L3H`zv{KmEi%>gMOL$3#gz6l7)^Pg{Ir|j!Rsf3TIW}Czu z2}<^dWOnHv+oa@FnLsEj^r5s08w#)ESvjFLQZ?K-10)aP_06Ov&|3 za+$Pmpj2%+*s!4LfdQds%;!KUlp`1Hjx9x@Nm3{ou*ljN6q@u>7AD!t1$?Cy9geH{ zfP4KBlsWv8%;8|R>zI8j26B*Fvx+ABSL}LoYn`fKPE)!_+uBImj+qTA z1#&Pjz_pMyHBfT(mt5ble78x}RvqL;CvW9oTR=!ix0EZd*;42*5htXO)m&Q!g-*Pb zhZ9<`4z9EIqtNvRd~Z}xCd%=m>qP}tXR%Gq!m*^2KUl1yU<4P;rEdxRun9G(9&pepy$jvG~VtyYqXk>t` zCJh=Xb>{(>Ro^46OrW!lW4$bwDOv4jUNEKQ0Tr`o&2p)tjZA1Ag-W4|R+N$mA}c=* zL#JPr`M=iE_VEG!bm2@embo)l}6Id{1oTTmtHGU!s!Gd$-0o;Pp7Tk(( zTf(nM{*{20*z$DM&e95NrqKyj#8oXwYdbsrZK9vW#8mD;%Ce!e-v%Xim-#$Xje1`; ziLn8h87#7~GNl6P5KJgSmESqJqP~TW56K|UH-mZpP72lqYxeWZ)OS*}6X1BcwB9Tw zM^RO5Ueot#z-ey#6O5Bmx1|)?Q^Glp9pU-~@bj+{g2>9k0kBoX;X3oSlgY^v4%eBx zt4wYJ%P>;H?!19AwBYv$%Q6Ry0aY1KgtRY8Cbb=~5<66Sm5wOCTR^(Ek*?qKWV)D{ z$y8g)R4z)bO!X}ZJMslmwcvEXN+P#R7_??;qWpx&huskE4KJT2)OAd;v5I2HEvw z^W&=j_yUNySf~FBAOZ=x{|g`j$@~Ine^u-P+Ra;4)woRmNd;+!?mwv@5T^T2DhNdC z{*wvM~-=@u~50iD8b(e${j`tCSeh)gdH<;SI3FV1hY6YQ7D{tgq%jVAQI~&!q<5TuH6*&nNmUFnOZ?4N56+ zqW?XR!4v(PhzC#f$sRn>CtL7DpFr?LpEAJ{eaZw+^l!d&qEAxrM4uYuiGGcLoamDQ zC;D6Qk|+8#|ILZM9VhyrzjUH+w@&o$A#)*ug{rkGrQ$UHznz6`d;I#fKk_epEGl{@yy%%x+Y5kNoh&vU#by)uafNVGT6=e-^1o7}^ zbWyz)^(zs#)|RWKUKc)YB{Mi0tMNR%XV7YhczH_$XU1A15zkFv2FGh^JP&FawAV$# zpHRr)te%i% zMt`@bO=6V(ZqHa`r6M;hPaux_nRsefg&TF`d~t75Z)Xmw>%Rn|MX1-oX7}(Ch!!aU z-NQ>DT9gC~MPFs^Cp#~JXi0u{UINi__}O_0L`x2^d(F6d_>A8$Yu58$0?~?FG*1C@ zTs{9K5Uq^LHCD2hmq4^v)MNmCg_oB=w6ZGqfAdy7|0NKuf|auBmDj~8s?4LV zdU**%t7Pd{z4DS#Wl0NDy}SgXWlCD4>g6R6?Nv#ORlU3fqE(T!1l7wuDXps7fEKA< zUINjosjUH3Qk771czypR5Usj;5~Z2VaFlXkR1W7Q5J#DPutW*xB@josEr_b&yaeK? z$ha+>mp~j<7`KP>5{RP)<61Z`fjDZjavb5j1mdVCD=M6qKpYJj*TZ=U#LsEmIC?Oyhw~DMqbH4Yhw~DMqZi|4J>k3r;`oe!5zb2>j=nU&tEjvL;^-fV zgtJ9DhFqipyaeJH#yUdWOl_zZF3%;VV=Ad0C6rqJL^SL-M4af}QSXjvg9QP%#QBaF68shH9*jS3?{d@Lj8tE!|o4Dw%oS?ksLG^Ss?zf^mB|&)c0P8P~&k z-tH{LxDn3tc4ukE!@_yq?kvN2WH`^;ov$z+8_x4~XIaJ*!g=2AEXR0qxR2-U&hiA( z&|fg+3LRlYrj%opZ@g_TH@d;$2 zn@YGl;OLz)DzPJE^fNHTw8K~_TKlLeb|TSj$Q)aZD%-LgaNO5IK50Yt>|`0V+^gv4 zfW#;Km_EnPC2|PeR~=I)KANIK=`*%58+{2f* za&?e!x7$**(Wm6>2wG!Hvu3-I0G;^cQy-@&xysP)^`k*=A~W+krmeZN%-T)V#3BVq z+em*>$Fxjnz&vfDhrL|@bytYscLc`(Oxp|%Z<&*us0r@(kWkJ;fP0wVH-TTRrJ56Y z!TB4It??FFH)JwDk-!v}K}Ecq8c)$lgUOw)3GP!&t|*n9p^}J#NbU|a^+P&)Asj3h zWJ+WxIqji}J`yxP-hBHtHO%(}j1016WK*O!#pk5bvf<#>Y`hSkk7nf$OsJi8)cl>% zAQ>DD)cm}b#DJkOKW|GhU?|PcyG{&_CTfAtp^-s zqX^ZEOfdLoi8gCk%}Zwr+##^;#C9OYf49QDu6kP!5NdM$vj zaSG#HN%E*VfOAUnCm_3)&|yY#23r(9;qLZPJ3rW%;0JGa0?0U zHoABAL%RX#9iSQI&Y59NJe?_IZrTOdY5+x6VbDCCh-}8sN6t{@V^H4*pns0Y$BYa{ zghwsOJfl+fysUb>4xHPtw<=Gw1}cl1c4`VA+t<(Gc~(!0pPCz^D+VXiYfXM zKa0K+k@dibtwMxtJ)74~gPc=o(0mvue~Ch5v8-5p$~Hul@HrqeXnDfvdkl`$@Dji< zICF>BStS$(QOXxS2q*@9Q1}zP7+kp`_#pv*6)GE4v;_d{g$dx}tU^@H*ATxa_KEU7wPD$=%kH z%rd>r)kNQJc9iW4f@%z=$Ptwa*1;RFdkF7b28#D{i$cL$aLZlBDol; z=0c2?XRBuxX@R585BwA*!rmJCB3Cn~TV&rN*iNEkHns?6BT5#_7C{RYV?R01NkUI3 zVJV8NnzlgBEy}Mj+$(G0oD?fi7&8Z+#Y}|A0tfmMe1=*YLNQB$90+R6OhjfO61xU0 z79QML!-jcjfO9I;=5%DR$N+LN*u_C(6!+yffd9U_Zg!C5sCDQjXg6kD|$ zDGS840;rK^NPDCsvk4!rRQ|9mM^oMv3-4K49#!w)=pOpJ<3I zULN#Tq_ADqS#KC?6^UKY8RrAGip(xpWQPHV$U1gN{^x+R4TU;7=oP>9-GQ6v#|b0= zlbzj_9!@1^kpv~%a&ym{YC1N5{(KV~A@f<>lVnYbMc|E^Vj-BTsO&Usl59m`Cz+us zDu>^&D#TB<;#(QdlJyl*0Z9HhE!)Ep)oxnXOKXV-%0=Lk0>~V<0r~2KH#7r$vWv6C8U)1Fsxh>JVx7U4 z6d*{^{*-L;4@uTucYx_kaIM;qk{f{gnvwxR4u0|W10{P(Nm>4(Zp3BB7KR8rwoVYH zqqAd+1WtBr35dv!Z9O8gU)zjG4Y2bJ>;eU=FfU(du%Bu%oFgYY|_a#TGF4w^oyUUFk2MO8(OLb*pBQzaIEQ zB!6$o|2uN2BsQWuo01jx6_6jQ9tYNJGXSzv^8tYInhkw&vJ$(>kCv$RHlUQ$mQ=D@ z`qoyE%@5k(L!U2b#FzUat=affP`MT&ntLX(d)d8fHcIEdK-n`Ef+^$)Olh>#bY6vI zaC|J%Gx26{j1%d+3drCXFVb;4Qekj>BGMnM#O4=*qnF6zO2BcANJBd#XQwk{nXky{?2b(4Zm%X4KW_kHaWTaKb%|r?SzVs z{^G7%YIjAOP3J00yHIn;#}YiTaiQoBQGJTG%KWRHnpAx;xjN(pW?+!B2pDwPZFgY* zHVtm&k^@->xVG{gKwXY=9mseVpnJI4qCL9XOH?+B%0>j04GAh6MP*8ffU;3kmIBZ{ z7R=dIOy#k{zAGAdL_@q#R!&Zc#aikcAJn%9`W#aL=7NOcOk_>Disdj}juVeC*u2_=N^&wvfWS)un?YmI*cB0(a;_)A8jU1I=BRG=E$LQysc zz(TTk!)^P_=N+(%Du34~$9}7Xm-|cj{OgKR?exECfB$Jg!|B0)tNqmo{uG%M3G8)a z@A_}Ix~=`~ku%g07NRP^$8){X=n&4Qru(%4ntL=!-0RuE0wYvx>*y5OmrmK63mhBstF>Wxd<;` zaqt$!gn(mrcRaDT))&g2(HG^$R~0Z={>bY*VN%%%M%z(Y1HX6#5F9QI1-~9BwInMM zEkUeh>9zhz+W*ojc0+EL=uIiOh+fDRaqEE7MaiKAd*jO>&@W%f4!jNL$y-rQR`O;D~1`>@o) zOCmpOpsE*=aY2zB{uA)SgvgIi)qP5~ji7R)BGIDC{fwP{iC6wfLe&Q-ai>A#&;lcd@BTZ_?4h?v40 zYPMBGY$swWRcW@>M08+zXK^giY|DYj780%KbdIY5tEotdO9FZ{?XBRaXKRbdR1LjW zEYNfkxh~Rdm==S-lb>ISXX^B$gnfuqQWE*i1cRfdn#j-17#zuJB0nNyaHOb-{3MmZ z;ZzfMftSHiLrvt@nhXw)n&jV=^kEM^DKKr)Q@V#yYP3=m$UEE@|w_09=mo&);V4$HI{l zxLf{wjE0&U%*Vf)B>6k(zx_2x{~;u^@#1s{v!TtjxX&C|RvJW+#o@`Z2;d#gogBE2 zr9Wll03z~Nue4K?cQ&~Q6VugFL~L~2pe!3|{wHPulbS!D3paymFaV6f+OaN5eC z<#ZGTmBBHZIw8}M^&Wyja zt(Di5$aDYM-Tvh!=YO3ONY69h>Vi)V7QiBWP>)1~;{xKU<1NH(j)HgsY6X<-v;MHd z$$X0P1yE4Q07ceSJax<#^-<``^pc27VWbiwa}mMZm;!C<0Ja>uBg)q$`_H!-)odFz zrAD&52^f|`AUANBUrThd;%*Q02B6EojD8R3?Laqq32pQ;%XU?B)#5xcl)KSl+(0nW z43XtLNJC~11%izonZ)v&=W_H z9(_3|m#IHo4yqBunZ`lNuLC7brc(s;NIAwGCPDDTA>t+(?-M>9WNaQ}d`Lnv8Kcl1 zv16DZm(JM4@^@~$Kd(Cg-eKadPpGZ9Y$`T1|K#Y-Krq-xMf1FoK}(J1kk8;qjpnHu zgO)2g9(BxM9~;f_kHL{EnkRb6JCEO(5pwajlMc^@lSR)a;7}YPP%J)WTsStN ztVJ)zRmbxqi1#MD&5?ureTaY6QOoS#UCrlX!%*`P@0$(0yCd13idd9WBz0v~r-x@! z`BS+ihl?8q{YYFX03NGH_OjB&Lk=W0fDDs@A#@7dsAv|%gvL>gR~3_?(`sivuS!74 zCU#9*^ph&fUQhLak) zg(bK_#ZM{*QrO^6yFatENEKfMDU1A+Ik3tHykM_rne~OAl*&wYRmJx~N>@LnJt;%% z;zW=##BOE3I4Mi*;%<<#)KB@91=GSVyqK$6>9p`u=J-guWEcNfMO^Ze_LJh$MCG86 zOS2Lx%~C0(i55XhAwT6anlM6$enH9zS=Ujr6CELXqNo(vyNGDf5q_}~>wfrHM@*n% zfJZ7wbbcegqylMkL643vnZW^8t~4x}PW);04wA;b5EtE%BofS;ol3Kx6?T z>w!^X7eHt`AgM7;RKWn}i(3uk3}E8-fI<}sKO>S8*kYh3a<4U~l5`0;Zjuu20embS zlfN#`6(4?HVH9w2`+@t8s_ghlaj7Z{5vuz91y#ww1yx}Nsl?Ki`3vRY)Rhl)2wnVQ z?=%gKr91Pt%ri+XDBN5K*idYLfSQ9I-2}vR68qcY$^ecp1CD}-6k{X>k+%^^4zQ(E z1*Ru3_>1-7r%V+78hUOj$Pnq&-X6HG$q-9-=5M64^Ap9yYov0Z`2p0Vbs#WvC=+}o zp~TX|`D5y`Pbew6k$DnuXQe7S`7uysuTn+>|IU_#HODzWr@{?xoI z->UK#5##m%rvVXv2LxHZPY^kPYB>*WvTE>bq;?ldyaT`TPUGUk5h1S<%O=BLsBg|R zYKXVsJ8`~CKo+6Se15)!l77iTp!=&oz8-Kq%!qI1Cnn(1zD&7^*^uq= ziteTreMJ?r^EVlGgFUVzB4;Rv8~*wekRYeoo^zq8B>d{v#UG-T`jVBTJLcV9xNFBbw{X9^LZb&*4hGn z)HzA0rL}vfsM8(3*2sF`uQ(#AQXac?Xo&a=d_xlWSS0Wf2WkFU0i#NH1XT%X8juSd zrw5M20|&%`gW15LB*Y5I!^pttW8eTSaO4s=N(r2kY&EmZ!gYmqtpX_pcKiby{j`RE zY>BFJImpM|{sod^J?cpM$kqWpfgN{JnX|yYdSFXDu$7&KolUt#6xfue0ROC_JKHv( zFtBYJ*c%OOi7MuKbSP%9Cd)hM>h=UV4UvAfS)MT>5^*@ z*hw%1{`i5xBn14Npgsd(7Q#A&0G%#M_hg`ZGSEF4=$;JpO6bIr15yO&o(yzP2D&E$ z-IIYQaSZgzKthbuI~nMO0X#j9UKTK%ae5mAy^Vq1CQnG{Z4C6PfC9$pT@1hZ1NYeF zK0Dw3KROtli-FF?z#U2kIu}D0wip8ZjMIk#@EjiiIupZ_7YK}VJAr{d6A)mWzQaJ@ zVHk`+|Do?N(03TPijyljzWsl6AG!_$U8G=uK!Co&Kwn{?uP}7~E9dm}yV;>QJa5m%ThcLbnb0JO1g~3cynijz_RY}HvfTsMs zoZ7~2A?LMt#-CtKr76|1xYm?P_{Dc@q}EBIz!UsryQbto=b$NXo#eCIA7pBS|IkHK zJ}=Jr=flalZw)b%FgR*Te#}}lyfwr5{bH z6G?Kz#-tWT-DpbXr=&KW#rOwJi8psK$=?bPQ>F@~a(qCZhcS$o!A~G)$_m^v(v(O{ z5MiRkyfJ`M*)oY=(gB@|rgZ(5r!lZs7AKeqs#C z%%arAe0+XBkNBR|Y07(5$$$Ag@w>MZU*&ybn&TitQ<}ZO_{BTaP^7BBMy4`wG{r{u zB)R^(e2z*WX6axu)ww}|0@y~^l=jv69Dx~t$NI|bt^PYn&5Gu}it;6h)}pt-)~L=E3Zv25Rwsp?V2&vat^QYsZ`=lT4!BLzx_ zlK+hK@KVyZ@8V8~rcA{YR#U#Z&v<2Nbob8WpNifSelvyI_Cz!Oa6a+j(zp8mg=Hul zstd(llP&nxG?Hh1Mb^?b#ux1*&pIiVdp3=8$SSDnCiU4@q?X%CeCrTOsc-Wcy^YV9 z0mPrq#dy;xOr^B+kLcGaTdD~@i_w(tYLoo>1CoDwmjY{LSCc%QnCWBrY=p%i>Ub+T zC(AydrEL8<@qIV;OifZZ{y=J+tb4C?*uAn}c~7>E(I1d!S6ynWdX!S9-jM#EC|zSt zUJ`cU(h_XP_Yde;+SA4fKa%xYU*a=mM|=4=sq5b4^U8QWOLt|$w`7Ms<85L($jVwh zhZ@Su3KCrzUp$AL(<2yvmFfDhNTk4}-b7uEV`7~OGO>ei^EtpnfslE`bM6M!*oc8y zTQJ^X5{rIdE z&1d3anl*m_oWl~O$h67$7D%HH*of6g^Nf0<4EyynE` zmYs1cd}xjl4|@zKv(CitZ!i1*PT06c?gvv~2xcU3C|TcS8W2+^lga#0pZN4pa(2d& z8~vah58JOI{$MLqz8!pt2jc*zzA_i_T;(4io@Z+)2$EJA^A|0xb1PtTpWOx>>9?vN z{}ypte~9F@55Y5EsSL0b=z^)KR!E@PSR8-;0+GVG9H13xTnEo$Gx4*BT8XCY?P@fx z4{EJ@bi6v_%OPIx1RMnyTBXflQdv|3gX*=iHF1MzlEeQ9{T{gW4{cfO#* ze7qve*V*}hG&e#Ci zJY#WQs1=&`4dTVm{fT(lFZ&{1`9L}nsPTZkOoMgoj0R$XtlmAkm}= zkV8bqr%*Ob)Ec5%_7&Ll5e^{=tC%`!87;E#-@+A_@e+?-0e{u`S=bq`5yCl8&Fc4T zileTK*V{ea?+qmj%mUv)pSXPo$&3)qMgSZy`WJ<5lf>KMfWAVac+C-_8dw&IlWd>M zMFD1IjTm_xoNL8b?6}s6Kd^bDeJc*##cRDN-3Tl{iDj?jwMRs-Yuzgh&JXs9E~Y;R zg>5rzn157%G#46b{PrG-qvpB;;Hi_rv7>o7HMf}12$+_4=0VdtA7PCuE64usWMCb8 zIlw!6jDn#ZL zfI~&AOqAhNadjB9Wr+bBA$~{PPKPBA#M*X%`l>ZKl^CRc+5^yN)$uiGf3k&`9-wK$2T zrnXsplZXmCCZ=K9A+ziLMT1CK?i1k6UR@xb5{6VArN_g~9c9My`3f(zJIZe9r7CJU zURigPpD+O)QejFgR97sp4ziW<@mcxuaaf$$s3V@Q`l{4{x+-^af~o3bj!X5nGkdRn zPy_KdAC3W2$D5oRb}PfS)~D-um^eT*ZvpZMQJfRiaYEY#vF@r?V1 z*2U*!d8X*tsMd1*a2WVJC0VuwV+X;;A|=p2XvOZZoXZRv2mbQA*r`il1(Eei%vknqdSp})5SOa-ip#roLJ6Zx?F>y2EHT&pL*7(vx#K(z+$3Pe_E@p$$ z3F0UxE{lc0_bb{GarIZcmWnsdLeFY(ob$vr;@Vui){5>kfc!yB90XlIiq|hdi*Ji~ z?>k_B5?Ot~vqv;+3+!HeY6G6*VhEO#+RtJxQ#v6=_XqN_*q;KHE8^l$KwcG1uOs<~ z;xPxbM`BKG&>o8@Y_e#>)W=*A3|DX02ki@W3JYhldh#%6Q`F6&P(9VB&ZYw_RC`1K zyGSkk9-zhQQVucSs&_f#Sg$_V2xx=acNt#0)HNKxcdHLLvi_vzp?jTBGb#Z(sn+4j z;a9Z{t+=MHZI9P2wb%uubX#3ZC;n6IG7(xHs$b;-^i1_NSqX5MZ92Viob8?4V4Y;M z<%i&O+l-;$nPEG`-gL38=Z{Efi7kZ_>a{kN1L8W{*@}R++ESW;Wt*)X`@18y(0dR+ zYP(<9-w5-p{uGGy>XX7qWP@6TL)a{3f`o&J;R#W#`K9`(0X zc(E$wq~4zmq@ z4X+WluS0f<}2E2+jgAw!7m=N1%GQRu>}GfY_Buh8*M+ZZGC5J zya2E7ZTc|0d`E4^io@<>wt*7?9=Ele1=gQ!OF4HsX&cC8_bJ z1RmNJ4gu#QTfzDMLFt;Uc`?whIL^HR`fcZd4uI}Bb91JC*ST{#6#nIGj{_3zzVmi# zydF4ruxS+ztB$> z=lW}euKWd_k$UbgfgPoHZ4PL(ex?VYF?usL`muW6i;y3uw`&Dxyk6}~uuRZ5dGMO3 z=k5;EOnn-5wzNrl#VD{$)~DwKa*BSCdk<6f^||oM(o@cZWt!gP5uoY%HH@l0ZHAt| zEOgJ*&(Wu6={IT2Y&~-W)XdR0y#+OM^*UdIWuE>y7ZdaK0(-%^K>rNKhuT8D1~GsTC8uxagVk{pTJqy*ZPV@crDW#C4#nGzinxFL4TeM@HhPrPJ%D$Ro(>h zlJ4sd)+_q{#-Lr*M{{X@P5<#%ysqmpoEYEG+p_R~*WYgh&YSvXZXN!iZ^G`Yc1z#i z4bW}9rw7m-{Rk%%clB3(g1|k!1}Bk!>bKzkXb*a>h5#Svf0Fo6KginyVQA~?I^PXYKiBLG2=sS#We+mh^(U8GQ(V8&SEss0&IMyKv;pXTcM8lbOSJ5B?d>6+M+L(3f3A2>AD=DIp$0XxrCcm>qVckN^8EpXL(8!QW5 zrSMIxw!}4r+eOP-jk--Q=oy3$O27&ssyx_pXvG%gwG)oIn2HI>#~P zN7uEPkl*6^bu>7)x)$~Za+~YsD7?12V$Oqehijz`DeZJE7!H@+uw0lg+37c*|oj} zXs2B7MgltRYWE3fXI*O!0C~>!-C78ocg zu5KT=@^Itip{obW;*l$VZD@Jy`i7g)Ph4BS0LxR?C)YuH<{J7YUe8_AxqI5z{X-tS z`nga2f>(c^yE3O=1Kh3Z;Wf~mK{pxX&fq9A)ZIH2$S>SmdIB2Z9?W(>((T~#VS+nj zDwIxizuOA}rn^4#G|4@07+#azI>+-VZtY{ROm){eh*y?7`yZf9bJwKD&v1vl3TUR= zSFApWv)rdRw9Iy!++&&JUd`4x*FBN1dG1A=I?Q*!ISj7_?)dybE_U0>qJWpUf9L43 z)Lrv2USGTOL;|_Y{RzjpXy3UfcL(x&_ifIEe{eTr zrTyq0$&qx6+j$POt?pHCKzxV$;m2Uv>Hc&mpk3}^+X3x%&t?7WbsO6_|Jmmj98dPU zV&{}yoO6GE9h?{3TUO&U1noDsG6g&r-BoMj zb=h5!?dXd8;3lwMbuV?{bJ}pvUgCv4Ebq8(!u7=c)UCV<_$GdCoafKM$@e zz%M-=xZ6C)^SJ?u!JcHs!C$=PB8$6zN z;MwS@TNo^xJU@=X>pRbU_6a|DzG8Fu(Q}R6#uiWW0-$a6w0I6^hvzo;Hg|gVj03dG zQ-{rPk7p0Z`MsVNTtDyg)Exw9zsJ}23V05Aniq%sVUNM3$`McTCXhJl+4dEnpFMpl z0y^P2&rO7ro@I2eQ=UoO8~nwSRRXWGo)}Iy&UsEOgO&@P4o89f&9jxeCl@_?sq>QO zNDaKMdP3MkT=Q(I0ha5YGrrY$-|$q`@w(+{&QABPCwc&qxaWD#1oWq8eLD#L<>_?+ z(0$L(tn>$-h7Iw0=$X(1oR2(L)_~=)N6iD|6VIy)06q1b;0EY3&%RwS?YXDRV!Zkq z=UJltjQ8mm1C5C#eBc>mtaO7o*r<=K8EuI14kxZdjV{js4Kqry)S#Bb-C*C}V6qUZag%JVzU2G|7S2Si^A`;uDP5j{%x!e8{P{Y0N7D zXp#}DaQ->jm^2RH6ypwutf|KEk&wtTGIvdIBI`ouVq*wf;}T;!Z1QPK zja@v9_}a+EV})f#_Y&Y)ZnXUxJS&Xqy8*2 z(EY7(>KoA38^gPRw!y%EJ_ye>#09)I83#T9%Xh|=pTY9I5zX;yvr+dUUcMiU^E?Uq z(fD>IUR#Wg?B})`1!q8To6*~Y*LGv%a=dmJ8n@s%$3C`Wd?X5umWPGz6 zy7w4cGQhdlXgU$Jea7CdpzSwmaglt$sP_Y22aP4{Y!4Z!Zv#5)Gkk*q9x*D=g^n7H zIc+~?e8jEJ6UJn&bWR#|xiC0oyxtke(?)g9IL{a#<^=SM@kJB_&Kk`Z;C0UM<%iPq z#-96N`PE1>@w#B-eifX*8U0?z>!R^CXKt5_jhstgHhh!c!~2TSHxq(ajh+#ZxMnmM z3!dx70d9cbFwUm|`Mc3%EnYW`s@w7U!&rV90=JCP98Yc=Av`3yV^oNNz+Gd)bm+Wi zjG7A0KaFBvf#okFJq@q>M$RE%d0>3o0JO(Omd}g#6QeTc`A>~r^PuLL5y{!?bK?rT zw7%Y7Rzk3!cL&Gx{@$W&Km)wj*^>|Se)}6Z2YEB5f@QFG$t=8vc;91%4)uQ11T4e6 zd)OBZ_fFu#{|oPr$Dw6}H>oB#M|!JZ{)YzS&Bq1&C~re{@1wmtxDpxT4ch|RSZ~K^ zppEm6q+g8px^hGJ1n>JSy@}rU=$)q5_6lB;y!(0sIoYd}gWMGFYjc2{<}DWj=qvA; zy`W9^E@Ede!~4^CD4XeR^gZ{#XL;ZL3#_xf4M%}zjyDe%U~|3KPJw5hcPW=u^Sy03 zxGnJ3`v5Epy>HXW7kT@!M_ue)$!)_W-rScUu+;kzr!Zf8vt~kInYRE3+~wXkj{>>E z+wn7quk?1MKYimpJPMxyYpcAUbF5nJ{f?vf8gJuNNUZf$l$QqrtM? z8`lcZ2JifdVA<$x6$a>gZ(Gi2HhWv!A^wARc6K0t^!`XKTf9fCAY69Ht{rwHRe)68;v}}*J;S)&g_4fM+uYKNg6_CV! zZL-b>Tc%FJ?9rfH5Gl`|{T zOv}tsn)xCiOE%(S4?#s`Dk{hl5EW2CKu}puWGLcd`dz2*`~KnLoO7M~dEV!_@B57V zRb0NJE&P_tv2Ji0T*T|(*zBUDy{N^-mG+I>F6({-<&I12k8rr_qNWp@doD{hW9<7b zV^=_W;PQhPD6KASG>;#;xX@~BbBUwn+wNk?gw)~EN}E@wiz9(Hzeg@>OW^U?WiEAA zx?H+F;P}L44Lyr)7y7G^&QD$bpj7jjOBV&>=PoYe054q5M8o#drEL;yJuYui`Pu7o zgbKetm+&r7`dzx)VH3|I#dezlW;FXp+NWaJnY8W1vYB{59D9x#wu9^% zYRD$Ci)epLVhi4Z?GSryE9IYu*^v|~kFXb04>XxwMU(X?+jBlR$Jk=ZZ;rDk{)HP( zVRs#XGL?N{D=2B~TjK%g?2DA?X0Sbf2PKo8PutxIc0RqIlWZprC|T^0l+K-EkEI0e zG(j~U>Z`@NE`@Nx1mb160psZkT zrR30YnZ2I!n=9-{+WN1uZ3W;|vM*c)RIx3a;CPK~qFlV1y-f|MVPEbA)Uqq-{ak17 z{|(GK_U2K5diIxZV3Zr|m}=N=vM0TVE8SwRrP$cO-iXr6xsk133aN>0qdrEngS~1g zMr&cOpknDZ`@_Y6JM4L`aJo>~t|7kkDj+}acNoF#y6cDWkLr|fYw6P~dh4=C?_&K9w8H!s)^ zJ0QJee?xPwhrNfY=U(<0nh<^L@*RMFwr4w(1MHQQjSjM3Vh?qG#Xge(n=9ulYJlwE zL?mJ`H_o?|W4d!36u&$;^F9P~C&x7v6i-ea?K)nZaSm!Gd2^moV{I2_`!q;?oOPeU z=+7DU5>fzX6-}W)&H@{hL7YRBQUr6Jy#^_S6DNmXDChS+PV;z4wp)aGo1A%jFQdymzHP_r;?6G&T?iOpv>ib@e?4AGb;wR ze9r0tNClk9?zH|2Iscr8c#iWiMcN`xCqexAc>5UF@ zKBhG173UG{sIJ_{34k43pFB|9xT`1$cjq2z0C;dsw8QM=zE0_eCwJQtFuk}@4=}bj zcRYn(A8rDjviNdMV?f!(J$e8XKW-{bB!8}SKPUlQzGE0Tf!t$p-~@4XRGWr!6KPuS z=6*}_B8(ew8PXnZ7uCyqxsj)#+{g750`_yipc3%_cPT~qaPIGvF-CBgZw5qiuYL)K zD6SWs)JAio62Xk&G8AKCxzkU>A&$E?meyZ9_u58yByjtmgL9C3J{OS474L*HiL0fZ z;t+T72*6?P{BK}8!mTmGmduT(cyN@vk>2((Zr>wNj&s*h$1{cd`2;{JckCZfrg49K z21+{jr&Ca#;Lc5f@+8;$zwbY0aaYqB)hX_u6#Y+g|DfjR8SV#kxSP#At%Q`rWq$?# zv)t)I+;1-TF`awlaXS(~$>+Z50!jgQWe=2v+*uSr&T)^^`z_+;P!U_qy)_B867K(K zKAz{k+684P_x_F7u>LM^&r&b?BKNT?;1c&c8oP{}LwQv>_ce+K72Fy0wl8z_i{N;L zyPd-KRqnt(P%62c3y`X~dg_f{<5vC;P|J0q$9_1sUk!2JgIGR51Q z+^JP?ciiH(tbo|Sl~e7~$lXfc2WjHAiU7^r_C?^daFuHTx4DPrgK~$0t4PNv1t$~95b`XRTD(v&u?hIWB=?q%92I=DNiKJVn-qK4-q2lpJ^ z>0@s6aCmfaX9?i{glnhh-_6}iW#Ch;@I5G>aks>P@|^qVDr`O6jE`XJ<(4PF*2nc~ zh1AdeYCI?d+(j=z8RU*K!1jte^EAMfw@3@+4&HB`pt$im==Hku9IsO0=)oIV0NBYZ zr8nxy`*|hq$%`khgQGX^^dU$-JRjQ0eR(5)0&^Fyk$TX6yv4M}{CR0~hXK4$+9(2f z;nb@L;#E^w6wG@?^CE;d(i_T9-UsvGx0@H-3|knl!7&H8hvz&4+P%E}-vIXUN~nYh z=S|rFh~QbYaE#1>_1^R>s zc{hhbO61+s0FrnaV?jB@`~3#sFfaK%2ON*^0x2LQ^DfepJ<8id6~!^0o{GEUyf57Lyj>+!|K;))x1*qZ~X?wrMdyDpzYTof7kZO1ldjYjPaSjH( z&U14G)bTv146Nr}_#Dy=-m%x=aFe%bCzOr63Q9Mccx^;!=1t(hy@l6U3F$VEkM^sx z;||Y>n&x+Tr|CK0;~gFi&VAnD3^+dE9oh?JD{oX5;2|%x1klC{qc_^l`yb^J9lZYQ zV0Q9mPz&u5Pe<+X$Gok-fzri$X$L&vHBxrg&AUt$&{N(H+RC2s@-pG@+`;>2KC~}* z?OUOJ$(!>O+8*ACofx~9x5XLCKAv|Dl>NNqKu80;ZB+OT^2WRm$_~DRHX%3uXd2X= zAO96>9{ip3P4}Jr{7<0tl=62Jz3XhDR{}Ln_Wf_%31$6UtAc0%|w^gJeiy{8ox;d-z*o z0DJlQT-f&UEhpiypa0e=NC)_*XxjWUA*hl3&3O=`_}-0>qWS-1gA>Dl z?;}X@{JWn+O5l&CZwVaa_e6r3$WNd;EQ!yjM#Le$iX!x3{w>;lj_|XKK}qI+;0nK^ z{E!a-$M~O7;&_~&MXiSv{(K6iseIKI>c6D%5BWh%=U=DAnZX~VXPL?Wlny*j@W;`v zd6GYZ_T?;oAg%OM{10f`InB>n131I4re~4OPmn^&;pfH!&hl9l26OpWj=`44uT=u_ z`Bh_ap#r|@XV?n)Qv;~}E9PfeU@YN>(*}8--%|;xlrL+8bb%k`1h~lWrqkj}{BVRU z=Q94CUQo*Uu5=d_e9kAh?aO@S*MKYh*$)9%`7SmU(g!;ifM+kL)`Quzmb7R}sN{@=9V9`a{?1d5}L zALj>cJO5QMM(f~@)kEs!&!7VR5&su@Y>)XnX(D#5yCn(=P#b2$?O2X>j)wR8rI0U*JY*Xn;}P=OD1;&uzR zQl=ax5d9aN1PEMMPJ-LCqwW(le+Fs4Kue9w1A?)!fN;TIluSnmzNTG1Qn2C{q$q)8 z4#tcY?4~|*jG*2J%2>fw>QTlCJ|77wUNFuh1xQJPw_?CK zB)A}llq^_4(e$XGgx=^e!IE}J#|0(^qooM4%b`paL|XxAf}d$3r3>=y7%W4u_7*6a zf`=i16M}O;L3vW}f{tXe1h?a9`#2>ip)}{Tpzk%@%o%|^0#df%_YW{kjv(eOP|pgC zl(FUt-lUy4Pq64BZ21CRJ)l5vWImLIg3;8zIVTu82=^jEg&UN`fKwSZ-6ZBE>Tra4jpm;+teGa6Xf}!+A zZV8xORR1*yHmrfRQP40G&?NY09|mm}gi_YgB6#Orz-__aLm2yx;L#Vb-4%G!ytpT* ztiUk$1taL;JrHEygsoL@|2x1#!9Nt7+61$fVa#^H3)&x_3j)>yUI+?;V0$U3rM9i3 zPw>;n(Dn-^(NiA~{Qd^U9ux#pRC^^TrswP`e9H*s4&if}EN;R#Xo>!A1vM~%cN{z7lc^8gbekK5Yi4g_X25oDq(r1U*|gnp)90 z!W*YSIV;SdyU!I`q5yfqH=?1;7jC1eULd^apfy@3ymkgqB+R4jy;!)LDxeZ!T@&EE zFy{}9T`EkR59xw%19jjp3OhCcE(x6}D3%FNQ_)^7jHKMOLKvL^xGYSZhFiNL96`0m zRpGZuP*w_E=rpfNxML}l*Mxob6#uG)PLzh$2ziSkH3;uNh14tzr>JyC$b13nT_K<9 zUqCb8E>=ZTT!{#X(U5zVw ziPQ%%sJH0U7f||$R?u$iD_TkKcbDij>iqkOwk-n1U-ZS>PzH&{P`(^2vUkBDMD*3y zu!V|>F_^=7x2S9Y9$}){lpF66Etmn1y&?zo#rKJJP?QK4RY(C5A_F~=ND*%g{G&u$ zT_7cjZc&ArBpS^F91_J&hTjp<=smC{i}tPn^Qh>84{XOoM`i<3L@dh3QbkD=A{}X> z(pR8nh$LQsOpzC@#uK7HC>cH}5DlPwBUgOVevrEedc z6^*2fF;A5JEiRZZdY=;I0@3(&pcING{tYM+sh(r7Vo?$mP$idV%f6!C!6uJEicqD43`uefRvHW$6)+NfPTYMstghAUa zdWS;JQ;`$JlV>8YU?`u9)KqP}5FI)P|Cgfexls0qj?(Pz6`iD&-Y5Dz4U~RScpanx z(Ju!8gQ5f#9CnEJQN`vaUf2!gPI2N_V0w!Gaj=12;U@W)ZbtW6_?PW-Ys6d6;h;l_E(Ui#PO#f zMT^_+V6YgmoWae+iapQ5FHU^-J^BVoym&IbnFMjrJs1y)kI_R&6i-w`nIv9L$;%<} zztlB5EFPdWaztER0x4PS@jIlW;*+NU$Hbpp2J^VsM*}HE{9P|bNfmFS0xC_smEKRf zc*#8|GsLd>aL*J6>uLR+5NF$P7bnG)8^OsE+X`SjB~G?tm~8PcKf;zHenIELXT|AM z>g9@mrHVXHyy_SrU)(YbgBFN~(I|!DOv;4LiSu}HFA^6Afl@4~-DzAz+ z&^dUe_DUK@UDqx(X_4>4^X0ABQ_>slsfTYS19Yn>uB4!A^soDnVaH)Ww@T> zmUtZ9O@p{=9foNX>+gfpBtE(p$`*0$&!FBGe@Z>WJK}p3a_)(T_h8WbVjCZn2ja~d zz(esmn$Kvs8s87h~N1I*e_m7 zXDS0?KII^T;%`p?UWr$(0Juu-PzP~`WLqhiZW7)<7|mU>=xsBxLwkS#b8-QrZYzOUZF_KM`%g0Jes1}ZsSdYLMFR7+n zJVCOF9@Ig}zm(l2N|w>*O_DIQ01io_God^z`Cb9#5lKE(Imwcz%K=9vL&^P^1f2)0 z3dveJyh)L4phcM~+0_FnO_INm)?d10>`HJlBux}XGbIJ*04F4ihvB*>B?~F*$dYjA z>79}+dJoFel7bY#8A(+%hRK%HXfR5SWa&Y`S;+)nFmok?lt|@C#(M(tC1YquERamu z42MF=?>UgpNv8cw^ye`>j1GP@FaTK6l;ynxgHzb;VP;N@5(F3|A$v6$ELGqIw zQnMuXPf%JUk0>#@ElKgDcMYG?2$y-4tOkCXTb%#ByMyL@IkNEoW-dL`Lr`1MJK(=Ofbkj&JAGa#w`1Drug zRxOOLB>sa?x=QPpK)FL2PyoqIx^O+fT`H&d;~`C?TiYphp-tCQs+<5nFX;g7THaE* z5a1(qpAU+!)SHIhB~?BF_(>;Hwe2tc;1}FXfYedk1#O`8#CZ%FB)yjnk6`H!Wl)Al z-Kn2=KpIRHU$``yPEjJHInN+PN?R$cL`i4d10`B|>1{xabTMT~vC^${R2L^T($^Z} zrPlF)1nK*f$sCmCQN5WcJ>mc}Nt)@PwEK{B96hhY(h0vqIwI|U32L&mz6qS8(t!Pd zW71A%I3AY{4+Nx0`&e*Dl{%xl(UR8}g)Uet<{5^b;NiEs%akJ8Gfy z39Zy~(()oek@N@J<%^{sP~<3)rc#M`Uiv<*rc&uniklatv)6%gQTo+QP%cT&Gw>^u z&I!kb%BAUl(D%{?QP{sG)v92tmQMH{ zQjN6dO^jVDy+D)vy7V+1t<*`ILO`jPx~#;QH>AnbMrn{nQX$kR-S`_QP0~!twVI{H zA5#6-B7H*3;h1K_@NI?am*(!_R9TBS>AUOkk4`5B}( z=^ODFyIs133+Rv*Ool_JbOE){9!WXTfXC9;sVCGWy|fX2Poz($0=lKzRxlmUrSY_R zzL5SI3C>IDpY@P>q+{d2>6H#IgR)Qh8z0awokP-qbj=V9Iw%bv2g)ny2HI0xWxYE1 z?T}?+PjPmW{YwS5yUd?vkcaF%9j@(^9iIq4PuaUPyS!wrR8Dz2WRmwVr58x|P z9Rlo<-7NzrK-P5u5GY$rJ^LV;`oEk@_BaNkg~;M5CWp%SuVC9P%c6)ECeu1W+9O+k z8?aXvGZXInWNg}?_REg%0349DWWyFN8%4Qvgv`+s3QnZVX$8b6*%~^f0Qa#n7gEL{@hl%4AvT7{F24 zf-`_)GPe&f%5mA4B0!4F^f8#JvNFdAIHt)y`UH?J`-Y-;hU{PJv1H2DE(DyA&C%i3 zPRbJAfMb@-OcUaiOd*1FTBgnhoRO7Jf-+miHvx)dCj#J5BAb^E_w%w`I@7o)YoZ5K zF8hEA^DDA0%0aHm{uoE=@0#o_`n=V$mp&M+P8QP!sF%qr;eSI`OD&I^vI!4Bxg|@b z(zZ#KeHXT7Sq}AfT4ZJ_Xl~2mF9Yt#+9^}LD;t~(hkLR}D&y|Uf;Ipi$U3%w(kh!y z%kZJhV+H;{SLY5{|0fV1%PbSY>5^?sgyR!g{c=c8WtkKfp2^BbgYsNG=-IV(C@vkjL^diif<7=8TtI8A9K` z_LGOq#i0IjeknLX@?~_TVEI=nz;5|pf5H|f@1SabpZpTVxczd@Qw$m*FQi2sDUVwM zTa5f?KR~Sf=~lpDxrow~Bl2)+%_hrD6e*9&qrbxyj>)gi1ss?Ex)h^0QskfShcQ*Y z2-T=_ntT;ilj(9-nq?Vs5g(jP`D?8h=7jvHKj5T1@q74Z$)z&DDf#Qv#yKs=e};sa zAdlY$hiv&?%7k*{EZY3e%C}&nan6-rtOMl9g9kB6zWisZxC$Kd2b5D6%J04j@tpii zs`iWI1N452<(^a-m&k=`Nay8dnq{T(E}D85x!i~H zq6&FF^?feO-=S3ViacN&+^@<%dI4Ld{GTUquaY~yqjKjAZL z5l!Ul^3Y5;-jHuO1?Ek80R_%m@;#n#XpnmZz}6`L6Iq^fle{tse$8_8NJuU6`?R0m zmRC|w_Kv(z0r$J|Em3f|CtpDgx%=`oM+B%3p9R{3i*gCEMLV17Dx$T!ly(J8N@ zjrEbd+yL8S`4K9&yX1}ZxSz;Nzr+Q*Yn-aNwij>7r?pL_P0uCrnQf?Zq@OlX;Lh&9YQqhW`OQDQWBv+po(epn zm`lmaNyRtRNyt)E=YVrc5t#$qX~h^SZO_FzGCujzyrlq zYG<@6vKHbB4;2Tu!M#oK`md1M6*C+>X1e2o&lH;_aCok$pvvooA|MD?dZ}1RucAlcL7}Qw@$Pf@^(m4z zLh4ssp{X;V5ZnP}Q1R#clm@?2tfc;ntI|fZ%v~8xWrl}RN-JllQa~}zQ@NI2x|cGW zmbka__x}=nrD8M&^;Jf3a5KAf|UPKf)K2n zp@ma{roDT=^1TC49#GDrn+aDQriUM)99auW zq|z%Meo;!*LO`@~U^#3tO5uNTNO_e$Z=CX{2~fr>&$2;DP_9`IDM=YihXaR{hCS4O zIjmIBdpe@5T?S*aayY$;qsnDe5gb#lehqM3Iqe5{q$nF{9i%GFw6Ufs8-gLFE9G}U z$xsfN1!bo4^$frXrLPQdQdz$flq}@{Z4{@JPINP;l~K-sGs?TvS#o46H-89fj&kob zz**&W>P+V`?NkqPU`b-v`vI%9!~uRx0n` z0JTclLH*)u$|AbqYUT3}Ak`=%8{tr^6j2s^UFk{*MxC;b0^d#LOfe|8l;f#|-JrZr zDO;oR{X4KVDQn&YG%M#)wcetfdJXQkm5v9OpuMN8qMG);(v|MwfpYk0IJPQl7$_eq zbrkB_l$Tb*)~=jOH`Aef{~qq*v2qxN_AaHL70f3}b0nZ!`BM(ysgg&{oM+0{6vYRWi<|(1%E=;7Un$$EZRM&OJr1x#)$Kw0+Lh{{sJ6Q4X3^SuxeO5 z#lIse{0|$L`6|ZNFLO8LIPCJY=e}cK}YP zzSsxlN!2v!E@r7BWNtyFo^o=~Ogo&x1H)wp<2s#U+y26bKKOQl+!>PKp-IOu0UqjupJFsG^&r*f-s%|o_PLLG*$LQu)wb)f z`K$GzfFSk3b5I7Wx6*0~QO~FHB24WFp!2CHbwWCf(dwD4pvI_wqpCet9Y`IBIQ1o0 zK!W-xB|Qh#W6~ids+Z8tl%!rv`^8~(0;OI@)FGcinXE330~}S4r{!==-MSiAIIfn{ zj+UbSa2TXi_1a$n>FOrOa^MN|AJkJirEa4H+l&{`I^RYlZYd@5Q>N1Mg=hWN6VJlL19D?6@^}L^;ysUnk4(G0@N2SBz zs@jXLP^tcSDy_dNb-xmDO?}-N!&Iy5cY#x*J~I#gwd!AKXS=RGNIlsb>S9WbZ>lvq zP;RL|Qec=ybvh-}P3mJq;n1v3pcJM>Jv9`RJL(xpfV*msTDad+kE7k=f!f3erB%K7 z4)tFis{JU3X;X)a!Rb(6?}JCDnq3Nbq;B{e@K~LD9nht2r~Rf|J%u9lQ}yvCI6PB3 z(dPGD-PZ@{h1z93m@n1q>H85q>V20W^{O}0wCq!Fn~n<&sE?MyHmJ6tuy#1VQqQ2I z$5k`?M{wLUe|W&?uGt<2@X&n!5QFa2$X|fssri_)U~kQAidefejq3n@8Xt`ufTXc@o%%s#SUh~duz(GxE0vr-Gm$Yz5(iH56@{p#R66M31Z}-7= zMB_`jbh2jMJy4En{*;1pOjCOwl;fItYapd)if4h6>d@3^A*N}LABLE&aii{2hUV)i zC{JoK{)RG3bAlSDr!>CQEI6(CGz7z((TIhxDDSVVLgy8YhF@lzoPk$%HB%N{mJmF(x?JJxu)U04XIjF{3C2N znl@^x)N0<(0$kVlPJyjX6GwDkV+Mvna3}vIHgH~0Ork`R| zv&N#rXe}D=AE3Og5xfHBj^?!)_%Qc0_^WD|)|#kAP(IX*r@XaI^K&^I+BH6uV0UP$ zX_QV)47Cg&X}szGzjA)8iJ|zjYb1yuVyl>;y%p+y5D|{DhTcant1AM4r)#>h4PgqQ3=USJM0pe z?pn7TT7MqeDm5;#Q+uo%Mo(?RN;rCHf1xDYTRVrIo{zSMBBrnQ7b%pxv=M%A@Y9CU zQ}frp{WEMq+Cwz2LbV5O<4U`=jdTJXrd?VB*rTmE1Ik|QoVk$pY4a#0*st9ne+}#J zfOe}dMhn+=*TFwR`~E6Ok=mvraH6#CW_Uzv19#!NG1_R#^AR;sjZ-tK1-WVM@eV24Q&`STk8mfl%tio!gf}h zO^IW!wq+Ye$srS@pwwv%^l|I8jw$azyrKQ17I0HLNGa4Ut&uA02JLQ| z0?k_b%OuV%+COP+-q!Aa0_mLko_MjbO-`6Hihpkn6I1TVn8%>d?O*?rJpi^5w z3DG0%@g~4yZTM|CbZOrbK(!px1nAQa z5VKz!{|jJ1n@&+_P@7EOuzRIF91o?dF1ZGm-=QlPVK5J!avLO1-AXFOy>vfN^5Crt zEQjQy`-^G^UtM$zWgWY8F;AiO(@p+w3)cy>poZ#3eg@@k-S=_0QkZTueII0x4u3ib z6Ir)(3n=?_$&^AJ(EUIQCtN2_1SLY3mJ+M)_yFj!VHYK{vb!oP)Y@iXe%)xE2St*w|s`I@CIHs%h z1@pLW6J>5Gy4F>YQg!8&ai;0+aslbOVe{dhp{u6`Vy13!8k8q=v*`N`Cv_8BX#HjB z3e*nnUrBWW5r@KOvJYSba2||IcpE_}cx?K@~i@MbnfJ?d? z^bpE)XD49na@`;$4;8xWe(<}jTbB*EqU)s0@2ak&7}u-RZJ;ilqe|CCPxhMb69tUb zI?r4TQ=@xn0@UiHlm}kd9XSbGoo)siM$YxRr}PPL=*s^A+|>DhkI`=F4t$4E8g#wX z0c_M|86Y+3#ClMgbz0iBT6Fd!j#x)JzDcXa(MFz-8brk{WhbPhjITXj>Yf_kX? z^Bk0Ix))T5wCm!BL+a4wQmxdfduKM3k93$wn9n+fCSsRvfS%zKooWEgZr!b408e!X z$Kblpbj4YqJl74cg7SrK3rR0^%`{k#&QVwe$6nnC$`|@{WB$u+byvM%9MI*QfpSo{ zotD!p-A39IT=i$h!nQ;IF(n9Y`tR7F?9^YSq|{T-B?mA4ut?mRx4uUM$w%)`ao1O` z9uD_i`iy)q{q)Uc7{y;7>>v!#|3>pFQ2)6Q#vuJcDs+SO-c;C!=-;MpL8yKNEt%c= zVN}tF>D#x$VUJ$<0F=G@trY$D>90M3v|r!!8>9pJH?Lr@aQ&OKLqzGH9)K-cU-T)Y zIQ2K*F9nwGg8do~3U$Y6yBl^D$P$ugy z#Xvf$U(pKbn0{(L;JAK?GayCZO1;%oebr)6GW5670hxN2(@I0~IbV=_V0!o=a_zOU}ezSu5FBSTfJQy$Q zf2Te0irzU1gI?8(D1)oiZ=!6eN4O#mI`uz306fx9@c}&6CsPL3rN80|c%m=a2ZwHb2L+&~`iCPS zJ<~6JjxnF>6X*!|h2GsA@KXQHPkE!O zg08g7;6{CXKf_;Chxr?3BKdF*FgOw@vkx@fD};ZLLG}lz!G^ncAcYtrDbo!#Y<~mF z-G%`^Ak1)ys)aoUmpzd78qy!&O8X2y(6Q%!LpqfL2Mkq<;1_PlW8;Dmh8bf(i8j15 z8&aHM{c14d4W86KO)ykCBrqN{yiO@aq9Jh-j7f$lCrF13|4^IYuwgPyk0S=ZM_?u! zc<%#_8caR#KW3OuZ}hk!d>_V6GrUI*>4xJg;gDgtcpl75gJCzM6Nc%OKb|!BQ;^6q zjHmOgQ-&TtihrjKe+OWgGlnFp{j&{zRQ=`{{MW+qtYIZl@(teaL0MoJNgHdSVUz$4 z=M3v9Z!I!7QN~$paH1rw#Bi97uFe~}LII_Q5ZY}o7&d+Z+eL%N>+ri|7(WX`ml?+H zrubKGm_7#D3d1zoH!d5}#DFV?zRvW@8->xwn zqU@~JaG#QZ>xSVUf^x&~3H1sa46+DFjfU#Gpfni-^kkY1Z(YIlS`2eh^E;ex8-gir z-!uF$5!zP6MjEZ%;5!P+M}`;{lur!*`as!hFo#3gXQ-rvwcikK1`HS`Q|dKnm`|C- zE5lo5P`VmF+lZUlVf0uIrJJ#T6TsaV7zLY$ag7ePoyG@LMLIlp(SH(Pk1?4x>%GS0K3sR7aol^L>^G_@jvR0peW+g?ZrphrVubPBSa?Jmr_%-* zWBio1_gLee0C>b1cha{l;*HHefSF+Qr~UPyaot2XBpPp1)}CaXRS!61Y)b{@u+j1v z;E2(m_VZ*TyBN|@S(iWC_;c0npOCd(j|7`>js;k@z3 zW=N$*c01sLQK`n5WyT#23en|8FWM3-jI$~1*BIa60&0yO^DxYH<6kuO>Wn9w;ZbjN z%!L09PW4cUhE8O%QQUZr_glWnJ zI7XU2rU?~gYAFXN+BA1C>-eWS(nWId$YCaWEkJEkw@;<|TD1JoS3XDX(X!uzJoxqx<)8*ODBrekz7 zou>CGI6X4i=w#)wsbxKEU8d^`;r`s@2;sy3g(+wN@Y1y84;Xt)gHfRNn)vU6GhlM$ z!!~FdM#H=^Ia5p7)jarLz%@%WV0xPO&;#}|_iljXZGQAFz{jlnFT|Qhk$a$-Mdd}1 z`P&MN5^V0LJTAn{a~#Jd_L_^|hjE{I)Cj4!!Y6I^XDPOm>1CP$C?wn zFr@%aGR#8~UHOIQcAMdp`J zDE<|jJCeXDF;`PQcit>{3)E8c%3B!ig1LqAg^T8bMA$BwXHoiGW{wGlt=#-6?Q<38 zIw5SA%{I!Zub983-Q%ix80|2X<`3sWS!Hhd3CwHe?oR>L=GHchQe*Ct(E6)2AEvGN zx_K2s z_CRVkcT+>J!`w*oxzqd}b%7q4=hQ;^*!<`(NL^-LCg6#A1!dpe=B31ZYEC$bv7ebI zM*{}TuTn7Pp!vt2A-ythn*qty@&gr`J1j+%RJuDXH)zg!Smsgq-Dzq41(KJgZZpRA zvGjckj;|$~*8MI^DXseeOKv5nvKVH!6faTpoVfpPUIF~KIQ2BYqa_$?9cGYr{3b0Dc7^*g^ zEJxh{*DNc80M(Wk8)2)l^ir}^Yne9^e%CF3&~vJ@OrWgcrlmp!>6XRC1Iz{s{-=3N zV9Q&*6#tqmpVGZGTRLX}S}dav;@)mshW-qXdzOCs+RuH9+!wY7md_|iJhbR;LfK|H zP1B>pvVwB?PK(QVP##$}(3bSrvU&ofCzhvfusyXP>AE*=~_qwmUkY)anQoE09>uWI z`i?ii*Sats_q5CU+8ltN_2dU|53ssV1q52}QbrME4JZVJSSODK#SvfO40^yidJV1=ZoRP>%1G<6Mku4KuT#S{#yZf4VPdT_-vz{3 z_s}efx7v##9klKV2P9c--$QxGnnDrpu(h4uL9%r|)k8-e*2Cj5_Hpa7PoPb)vU!kF ztq*455^2^cuJFjPidR9IWnD<8uBWUOHZV_H?@-*$wub)+WsY?QC4gtG#k59ptv^vV znrAKF4=Av5QUHb44oXwbS)Dcmimmsqfmvd8jHcxBy!8W0>q@PcyfMrL>u;X{%B%@N z;FMbr(1)q8{zP&6vULX8u2|P>fbyzU+5)Jwp2-2T%KG+?fNR!o#eiz-){o#&V{MW^ zs1;Meb$mqol{% zR(lxAUAAR%F#T*JD1i9eyc<9Xu)QdTEztJYOfZ9NYpAChVoRb*BGgu}0}i`w-*W(A zw$C*5{m(r%HwONDZFvT057??7!z0o*Y&N7QTj?Mm+LlS7>do3*3VmOK^W1>4Fk;9Ru* z`75MLwnsb+Q)Y`C3uU=&4|S0$YzO~QwZR?Z6>7>b+&D^?CTx2PKqu!Y=uI=O`F;SqusK7LFr(V z?T_Q&G~0U00WG%gY1h1M%cYvl*lT}9eer$vo0wkC`|Z3}a5!MEVSy5E|2Y7Z z2)il|V@BG2Cpch?vj0lwJkj>YhoFtIziR|F);^kckvRLkZ=j5~FQU{f(LR;Z^(6aY zda;M>dm90V?TOUuIARZDgOY6jm$K2LcKo$$EC;)F1t`buzi$F1#lD?(k5qf~MNrc0 zH>S|~%e2q#$DmpE#O3hFw`WqQEU=Hrhg4|aOpEKB{Rz$WBKt@54vOtfbfpse2d$9K z+Z$+JT)$VZYWM+5xG~{&_b%ZrbbTL3&_+!wpwzwfobQe`xok60^--@)juV_Pqkw zI_yuVE7ECS907P_A29>)*uLR9Y+ZIKMUJQTZ)y2IvoEBq!SUQaJQMye?BjPpd};su z1;*~N;~#2bUfJIngssm$ffA~IyMpq_0sGjc*pY{Twjhr}*<$@vSeK|MMP7C$4P&oj zQ62NkkCc?Ho=V%pum1)^vuO>bfSX@XhW(cdC6#|Wdg(21J$w@?Pv$L}0A9@86&T8! zIj02pFg+8&*u`9=7`~4wqj0&O={g69VCF4>Et+Zh0^`OpElZ(HV7{t?G7W!i2+DM3 zH5Fr-%sxsFa+y#%?#pAmw*U&5|NTz$?-CPAv9ydCN@1Xa38J8Xonb4%sbhwvK&oeg zW5K+^h-u@$$vDMrNGQS1F*2J8kJ-V4$NHtXpbDkQyx0$PdL3xL% zD22ma=5YZW?lDXtoj>1azF7j}1LhzlSFOxgirNnuH(EX&4Er*M@n)suL+Qi%G6oc1 zmdm$L?qZF)0g4}M810q*taj?K1h6i$U<+i)1E36MO`sAsmX+iKDVfzt<nG3%jCVa=rGdMYbS3P@vZ;sDZF$y!`DgSD8_>`YcrA($svPG7_SBx@Oc zm@L)-O7>5&=8?l`mYkO48P?KoA!W1LtZ>g^eNK7mS=Q*k;E>1qcRQqf);dZ790jZ^ z?il+Vt8h1{<*avOpsirN(*xyYmee20E36zUwy&~MDcn}Fl*=GpV_`*Ny|5M)Ls`#C z#-zmG0OCxB?JjGr27dQgi>a)>&l)@f+XI%@i--Oo~rhz>AcM7BDnN^D+lrpoWfHG#|PH@YaMGjo6 zhAF0YaV>L-TC3NYp{-EXG1(&^-Cz!df%$-mrDf=7WoA;%^N`8ShSLp>%3jtO$`kfESYk@|_p`z&Sv$ZA*#VDmR*xPY5v)lxOeAYD z4HLzR`xeS*Ry++8%j&28%VAbK<-JE(Curp+v!2#Kd6ZRF2rFmxErs>J zBrsE1pPqm+opq}KaE9e@q0@{)7W*Z{i>&vkoq35hjOKnhOPz|*YFJ#VNo!fVDJ`pG zjijQZg_W)bc66@GVEb0z^EuwHmU*~)qx0A?3!<`gh{Sg|DavX)ZT(Z{lk0`#+H zZp4@#PGt@qJa#($91o7CQ~g7LuT%IIaCSL;N}EKeQ#g*foOe3~(kBdaT6YSzeNJoV z!*9RSYF9|HP7~?CFwUuO0wCULp%`N)IEB#uk>upI7-JrC+DZ@huv4i5aKveC2q4`_ zz0v_{h7+5z;1f=7QNTXywDb)~xlX~f)f6~=MKQh5X^@(^7o8fXz;?-L34QCK!f81@ zgv(A7CgQr+o#s<6Ugz}JazMS)#Uo(ea4MpD^`_I31SoGgy`BzbgVW~`fJUc$7utV1 zoR(99(&^Os3ff0bUpHdxCr+&t2D_ck(mQzS)b<9XXHM&>b@AM3!$`mjr;odF`Cg}= zqe1C&+D$LUb;yT*Lb+o|H%dPI?;P)OVRIicl-~BvA!pN|3>e}c2?!tZuYkUP7Bysl z1stP?tfhS~W=IM>lGq_{vLVF{`Gq>X@k3aY-6ak=YJ_9bkWb<;+L0l>PXNh7BB%yG zIz+Pxlw(6AKY?<5h=X#bv?23oYfB%JJ`>7}AxCNS@4|2Xr_>8w@Z&IOzFFlC&bNLPXcms6vh0`Z6gOA<7eT*f zHMQI}-WdV?rur`Y{7ZWSdROMZKKBkr8vva<mNw>84dCs?0ch|L1|^SQBnNR!Tdw}K|5pj2Xr!TQTY1roqW^*+JYmrmcH4x2ABG- zxEeoyiVTEiMacuuf9^`b7%MMQ3wYJZ&++>&RkU_i|4C<*YlJhw{O9=@Shsaj|Nq}K z6ZG5LKY_;KIRP412NN^|emj^=Gaq5JUj>kL|ybFGvn&HI4n#QfE?cP#KG=Wjcx5&F|NEAexM zL<8TSlPS+x<-P=*_2=jV{n^KcenaM6{JwFE174fbY29xAbtHcO%W;G9+`nU{LbdfS zr3pKkp-~V#nHDP~Z$^Rs)7hGrY z5*~go$t;3?Srav){wSmvw0C;VAJL2APmDnT5MIUJB&nOdsmb}%3Q4U-$Qg%*)J zbHNTL4@OG``A$Z=02EK=J=%l3m~cvvy_xfu;NZj5u^{;}3X0*om|a=8ydNW21_yse z`Wuu1%zyvWLm<;k8FdiT{{w8n`1_v}{z4f4c=(4h+-6XBGe!Tk2bf|?IrlL7<)G|i zVkvx{VxCj^c$&HJ1(;`;2pYSHIYE?SW(y_XB@C|xaFvOn7G)*#0}n%2F{3EmzQ*kM z6xVHH>PsRG4?tKd!#^+LL_VFHSkbIIh5u6EvT<9mN=sbJUr#J9(@{o_A|CB|~;InXw z#E#E(djV6LspOp6Qh=Y+bn7wbmvc_w_vwF7X*Odfx(WYx4ph+7|9+)Aw0~@;nY;cA z8GhgJni)U;J-h_g?dEClcV+fbLgve)S|IIWM$l&I&xDT#Z!fdI4YqxZXCh7P{mj$p zfN&Pr%6?m8>%&5n(RWgfR0aeTyny}T(%2|*anBi>t{!Js3yB%T^GsuE}GjoV) zixwvLSNPv%YMKFem_@(9@jk<)1=r53BxVQm?ytC~PG%bQJRUI(&7eGHqJIN)F&91o zJYmKP0o}|His?_8hxFZ#XH3*~z;i~cNBqN!{#pXDhxsK8(92}ge%!~j(F5&g)Tw|0 z=Ed*0!$HRFGr%jRiuOEL)?Lb+cd){#T+F90}pu`bh~eyn}I0DqQv7PJAZf%%XEStiH_bw`^Si#22k@px)hs*ufK*axo%rwI? znVxQFxvUfoY^7ZG5ZEf&n9h5(T>cP}YovYXOu)6WfiKLglaF75xn8!|2e3iTVQ3y9 zuk`^IDc{xr*eKtzhnr-zvryO~H{!L#25TMN$u>D;1;BRMhE8yYjBN$Uow9-th`VHq zuRz=_GYkZ_NA_W=bg$Iy-1h8~2k1`s%S_yv9gqi_034K?@&Fu?Z=Ruw!}2osen;dv z10YI1{SM%m>_^9QTn^zI8!f+d2Qfza)q?F4a()5WJSpRMq7|p){CB`&<@C{TCyeRi7q z9MP%`*RvS4r3}CcWu6FdQgu0j#+*{$tOJNug+~CKR=ZmQoK^cvp@rv^e?i8-=au^s zP%o$(KLW(5U5x?aRjuBvS6#dd(M#&<%c%FVYB2@a6*Z^>z%}Ld8sNGb`zyc=HRlxc zZmNZYA$dzJrowG?pb5YoRW%2|UG@D{*u1Ce@#OBlDjUG}?}7Tf8JG{%3_8EZ>RLF! z6V<#jz*A)e0X$Qy*_r3+z%A62pi*rBc%ib41bC^&GzNI3MrQ?htzvJ&*c+(wIHDEIiJFdTd0&EeOdIh8;JEf^IzY5$$wf;C$yhN0XwNZ%>~{myS6t9)L3mKgR;|_!5Hg|HYEtySuG87f9EtShvdB0Z78q{ zT0A#-aoR9n)ElqW=>y_LZEzT{OWI+!;<8qSZs&?N`ZlnuTF>GTxu(7I1Guh@=T7K` zww0Z@so6vL0^QPlhk$uoo1XyYU2QLWaZl^UP5gar^mz~;X!>c0Jk(w`1n-d+#I)99 z?RFzn@kE=!9zNCl>F1tluO>s}xi*omJ3)(B1oao%fi57v)HZ(so3AvFwg9iShxT${ zzR~15h`!Zsd`KA!miIL*654r3fJmsxJaziuLVPYy}p3?<_-E_Mn(~O2}VDW_*x8LqrQ4K zBsb}0_?S2A)tR2zqDRdHajTxa4ZwDN++`4V=za1q|GHBjddAXArs6~J>C;>SHyFU2vb}0OKKLmz`JSvFt&tGyS{-y3Q2#pYNT;2UdDAU|n7|7LYmWcQY4G0veIDLae|H3diTa@`8BEjO~5_UV0i714g`XO<4DMU_*z!f0Iid6|9o)*Ph16&q4JwUu7g6cx=s%Y&G?7rB_ zr}scuxokZY)wndh64$Fi;k8&=55za3))k(A`^k;i0U}mfMxXbatlbwf0Wx6)z!cer zNr9x88)W^ zag$uT5ZD&!GX%VCa@QsR`+iv>9qK(UQ)hwgXnAG|K#Y9#H8f60Jp(jO%6mL{J|%-g zL5!6dxTu_#n;3YVkyT#9%vt%m9k6q99S^zA%kHxvazRF|0v0FNqoaDfEcg!KqKy3o zyeqOuZ}5WbO7VT2t(wxW1*>HlAu~snTL2KE3iEM=s_2Ez$-AHX7S9%?L9R53i&DLN zEm3Z{#foybuoBQ3{KHDz{lxAqU@R6hIAlx2U@M5>Vj(vbONHJIV3{b}g5Mu47yUXx zbcJZmz1T`IWDdY8(S;d<)uPpI)VM|*WD0eycw7ZwohZf3;(F1D({F>Q$bdaUgvdAObd_X>npRJ$t-3xDmXIBGebWOCm3q#mi#v5nxxuWTtAaiWht{uwST(3O|TG zj0?xeO9*!nTYHZNajHB+mukpMt)XC_Bg44SSRmII0CTZi#f@dST>B-65pwq#V3D#h z9qmRrq>M~*jWwAJZFzbCRNCmDL#D&E+pyNLP)1NX7h=fv{jo*359-e}@!%uOYW$d! zpV#Yo z*(f8%0NX6*_J?GY%p3^psC+aQ3ddwYI;rDw@JJA&} z2b3Gy`{e-AsQFy58-K;lHA%>Z8k=Tk+UlzeH*jyiAP6Qp+>1rI<1Y&!(-;y?$kSx^OUgwa0Jtqe!-Q#`(rN^$k zknCBaF3JO2F>D(&;0m~dtIY$&KA2JEa1q`O$Ov(0J+P5tp&erW!rq0e=~ zJm?J&HWQdr#qh=8%@Aj1Iy+cr2MCRp>9{xcru%5({R0%5y76^wvx^~O+YI!7U;jKC z<#vJJgZ|AbE-)PezQO(b-{}^6r+$L+4~?-GwCjBgPO!e?xgF>?X)KKP-}4!i2mI0u zl!4|v;0{`Q9Q46$xZwTRnwg0q&AEj8P8^8xPd}GL`RCSOfICjypf?>a;wu81Abk1) zOcbx^GyFyWVi2DscDO@iviST0# z@!AU_+e9eCR{M5gFwEZ}#xS$BQ-mJ`wo7ct2GQMOIak#^!k62Fy~3cAiV@XY13Mw! zjD+M#ad|%U5Sj4X!B|ltGkB-PG%j~n#oinM*Tfih>AJ|B3cQ=5dM$t_;u?uh?PBC) zz~{nuGemzE@4f-^gBU#q*a*3U0nS8uU3kdbETve!o9J+i_SDD0J~f}pTZ<|qr^0a=@??I9U90eZ*e zH~AoPR@UR8%sKfu5WMr!w;sR+8MhT8H{?e9K){TM!IAO=D!CWUNsKN7?f1RjI^rQZ25~t8)^?N8Z0#pO~{UB8)FTiXy>Ht8ns=W`q zIjVm*=!Gb+5P(oMhi+}I>dYaXr`&%)74y~Mj!;;j{Huc(rpj|#EmV8&04!D=z5rOG zPCsYmu})B2uU>u!#SJPZ1~L(9RDWQRY98O%jp~>?h?|s!u5h#Zp7F#M z)gTC9t2)vQlG{|Nq5#`fB_@G(s1YxL?Nrma8Qi6U@#%>BZ zokjvyZmRBk0d6S+o()-;QfC3~DF2)w-c_G7gLY4ux&qkmtC10)K2Yr$_&!o%ENc3o zx_<^RTpM*9V1$O;37)nVK`$~&+rencPxBrPFk0)*q}6ZQa_*AGXf1XDjMWNo3o}mZ zP#j>qHh@vZ1T9w{C`{B&7X;?7d2#)jY}X!4h0$5s^UuKy)arC}?mn}*LCilKDui^TXAsAZL?%jCmq(eN^eYs9b} zAZ``|PXO!@#p1aC*efQchs-`voynU0VrN!>1EQ%8qX$LaZ4f;qy6{atEDFQ|J0c1n zMZHl-R73Kpn7a?eW1>NCfaAiO(GB214O22qt5`$&@=`ShW0I2*+4Do6^OI7=@nplj@F0a*9vV#1pVJC zEtpPbjnm z04w!kU!cNO`hr}LT&-Wr2e3weWCd@nZZK24PA|b}xj~oDAsL|$g&RZi?|C|Bsr-&@<=~Quo6MC9B$5?%i zaA)o_M0`dU5h{jl0dKB2V*;2bc0Pga`Qic>#sy+%8(?9gLp3NY6!BRA7Ku=9JQs^n zX`!&hE<(9BgbSNDM3;(}%|P8DCjAQHP7yQ@W_F3Vu>eOz{gWU@i9Kr}a#WP%&hLyE z|1+?&A~iQH=Y*#T;F@S14RBp-x{OiOHm%g8Pe7R z#F;XH`xrwupNpCn$Ob(D!sNCtATE@ZIzwcU+&lzeu`K5J&yknNp8QU9gIq(m86gb@ z^^tOW2`FxqgZ6>ANy-}l`{d5KsA<2<%TV-ye6|*5V&vSk04HRIR3M&|OSyKQk~P{w zJy!bH0PnQ?p7ZjIjA5$ntQ1V4o|7+UbFn%vH%|kIlZCcJ@s^y+73j8HzY;QcWH%-x zp2=R=EFdP!#5E^DZpP6G_JP@$dm649a7ag}p{Rt`fwxy>)qw3&U3fUNUmdgn98ib&wZI`Yv=0?K4qB~B)9bcQ;0~qD<)fgtefHW8#@8hiz-ZUSjQcmm z-NEiqX(p5hcj7_SkH?xobjXUWDF6JNtH5ZnH3QVfh^E}Ej1%pu1Dha5T?fx!l>8CI z$>OV;AWjj!{LXKhI7at9Lp)%}W{5BNz-Ec?a@>CgiXdisgT!qG{n^65D427^uV$E8 zCjuBSuNRRGfo%}}3~?hwcpoT4ip)O)Y!o-?us4ZQoV=SwmugVhD(e0OD@Vl#rW%fk z>kYs=E_RNGl^D?~93t^ziyJV+Kb}Zf;IpXHoj|=KBJzQHS7ca>n(m1k6+yf&j0G_B zK&)iu^r1MN3B*TYH+PedMIiUIPsCST5ub`&?*X2PDN7*oTokDXg#^*{D2OjaPz5Nw z6dSmgeI;%UfXHjRXi*Inz7fTCLG-Oy#KC?iMt1=5y$Ixs@w@2U0mKi&`zK(-<%=+Y z5i)Ig5J$@E^lGDIS)L2|$=1a{94+t9Kuy0%bAEs^@@;hx$H^sp>Bh_bGl5Nz0bD31 zO8dGN(D#>zqk&G63H)wpvRqym)&iuBO`IZwnFyFFTc!nXnoQ5V%XC?B8x&^9NQSaA zOvA}w84-Jl1~C5Ia@wk50PNG{ym6Dp)+I%ksFfn=e=P1GYdelK^3IZ48JDWuHbcvq+B32(Vb*UIc4P#Q-<7h#dVp0j=2w8#@>&q*{~Gx+8rIfI8zv;OaUa@2W4%mZ ztg=D+guzUNL<{JXEA<9(qs%@J*d}>72-s#>wI9G1`CDfYx5~mJps-CAY6Y-e-eMHC zLl&9^k)6_yFUKzVU@9uYN4HUofA+{ltwG%@)A>MVpKL!2)$f;I3&)va8y3yhVz)*5C?^5x$F*X&QW#v;)JNF+*^dINz;MN zQ$OBuh6Nt>HmGca*z_%wc8aMzfb9{(xEntt{HH?tn8=V1*cnkTH_TrWy?26mLsT&V zdm!2^1Miu*@)+Q)$m|K?XxW@`V1U%QPEV0#SHbjDxs~2^nw-aRm@aeF0C9%A+t?1# znKFHC)Eg`tv;nqUrYQiK6>{QA$gGr|E`YdERvHCsn`ElS;BA(V^8ni-Q`3uWlSkJ9 z?2>Lw!R(cvbNMd6rT7L5gAV#`#>vBStTn7*@n|ndzqFmg<@%@i{J{8o{d6ALwV|joh_e4ge2Y4%&J_GNaoIVQRy_6TBK1R6@0B@|S`6YPB5sD8Q%iv^gWGVt{ZRem$To2#C}o7wR=($m^9^`z3p!szI_W^Z-{ z72eRao?zb80*e9M($cqr%x%q=QNtareLG-xwar|H?`h5G@$PG$6nUV<)CYK|rGE(C zBP}ma3?6F{Or|~2zS#-hQ!RcHuxDCn`uXSD;^81BXwNT$_(E%G-vsJQEv7NRbUib} z_8I!`y@ActN7E}A`k|4~n1z;u7^r7wNF1c!IExBr>qV;q3)bs1oSUQPN`Od+UO6v7 zsGfE@h;#LLM(gwR^}B)1*VhEY*aCe6->on`*EPm}3-#c40E_fApFw7)zWoO{uJD!8+ZN2AwH0F+8 zY65sqbZs|?@AW)fZhqHq1OR-{i*g|zYp&N66^=7U_W?HEd}j}^>1NND5Sd}Fz@=oS zdBQJHpKH!E6vTPvCRls$_y5gT(*s>@u5}&E73O<76jz$lFz8=pe!&QAi+MyjU|Y>M zn1S79{tlZReY^SmEa>epo9WYcn(OA}sF~L?nA~k1PVckFtQd_PHNQRuEZW?czC6Z! zlyUL}bJig+ZI3hGp-YT6hch_5W$w}*%-iNk-9fx#p86Evxp@(LkzgLmJ?#tg{Y(%U zZW(tMyb+f7?LZu9xxk#>C`&xIfqoXtU4YS+eq}-Y%`&1Wz!*z#B#2`z1%iRivaGud z5NNkNnFSbRG0wxxY)e^&dBK(y!$DkP`GO%*xMg!$C@!_En+f82OCAQ%8!UHmYEszTQ`Tbexrw#BmfH59g5f|+yOX30xGwcXN^S-2e*dn2C8 z?6l-N25`*cGZ5gcWj^1yE0)qJK6Q>Mzu_7`vX#!eC!da+*d zdLk48L_aTR%ofF0ffp=fXGqQwTN6MG5pN;@!bR;O082%0CW@AcjW2+05aHa`M~EW> zK#UaUnflu(!lwaj5;vG&+Aq9*;r{D@=u9VeP(;K-^n~a!49t_FqX)24q9ot#i=rC0 zVV6WY?!+&Pb9A0}Mf4@;-4ot?+V{oAt02A*1G53V6lr$?yb|@F0gRF@&p^^o-rEM7 zqhqZAfyT?*T(@t_u1uHRk(C?4+Ff}i4%VJa-%8L=ko`R&f zMFxl(>M(cmv(&SZ0D&rko;XOIdJVu4=*c zex3?&1DLPk(gQ3|ix_o;sWEGyuuxUL3T%VwI;j&~<9WO|;^G`Z^xOgX(%3 z5DzJxf#qS%`XY+b9J~WL=#kw0AMfF$>YFYs>Tz5 zy;5;l({T`m(_YB{ph}IWD1Y%Sb2C*tc!5%_H4|yoQ!{?59asf+>$LKQf3P=>km+7diC8*YSpHmfjA+ zWtCGe^5QWGE3wm2>q@xmxw@=EDPJx)sqq;IU>&nQw{5z{?`@uA5i)O*&F=_bKl;s%XuV01Z-oE+@+&eWU z<=fbmxOW@A4EOGZR^#3?$5PzCPeYj>+L7LG6)FAA@2|2sM>b7zZ_|F<+jJlG zHoddA8Q9yyy;LXTR2S9H+2yYz(PcKz<-LSMx7E?E7DR*JphAPGyt(4;l~QCH_74u(5lJdkEQ* z{I2|y-w`5!|Le~P?YrUT|Gn=Bks$f&&j^|5_!qw;{QrJNn1(Tu^E*N#V=t^gb&O)Y zRL=jF%S>J%j&0`x(MWEBV{f`}Bko=Ux8UC5_XyluH9|Sjm;R$4I_Z7vpY%f~J;44+ zKXlqI?4R^QCo$5_Q2vvB=+yBNQyrh~L#KgEb$r4PotWythLQ=JPx7G?wpyR`LnnQo z{gZy^bYIy&>4#3*gLHrV(8+K|EUa+yXq4!sKL2m60{%vq0jiEOaNdfq>5>BH|LWJpTMdr=ND`@#iNx{j@ueKR?mwr`>t{`H4n zdHl(k_!FFdG8lFoe==3~2~Izmi!sT-;x4%aZ?m2o>00AaC-wQix)bVYRP$BcogVPS z5eCDZefMD^d}rB>d#^&faQ{Ku?+kf#^CAOGF-vHc&)AOGF-vHc&)AOGF-vHc&)AOFqtu{7L=se&H|JyvJ7(3Y4j>^n?l z`!XpHn>smLm`49751aO*Y+*WQwlF=ig~gDnER?~B?xn^UEq+lAkdh7lMb)qRKPQlp zHcm<)BW;|NKt|d)DS@1c31rWa>NBI>bavA;nRJxBlm4!zEQ~XpJ=XB4WJl#M4 zc)I8KXu9WgPIq_bbkAh;!)Ktzx1-hI3eNszIl}&Z6~+GDV!4#(QQSS3v0VKw>5ZNo zPU>+^BkgY~&km0w>Dk$weNC0z*VM^<#i>kEUojHRjN=oK;&L-WV5no#%a$^CQ#lPm zJ!#OOo-_%do@59E+3X!&;QZ+=6M4F0DV~NK7L`}&?my$;!LfIdAx7mfDXnFL5|4!b zk5A@5X4+?bD38aaoX=!Sekj>Lekh-R^iXm*A4*Q1Sacry$9Ln3QF~lUi&Mro`4B7R z-T13Rtj~<&S2uf+ld*XG#T(%(D7|!4 z4DOz3ST4Vr^on^-;a>R?>0fj|jeE7@vxVlmbYr>OWXg1Jz;e&BEPr2; zhJHxLd*7ze)l3z1lS!Ih8c&v}tVW)*YNzpji^>w|AE3gkit$Fw2^H_47@!=Ae0EIv zDOIjoY2()uDp$#KLe#FG-?NAE%~QlAHDNC0xHmC30#xRFytk@ZQ(8?z)Qp6!r&^P` z=}lI(-y{l_#;-H-lTz7)l*vLA8B)Dsc_*B#qI)EiA~G+xE2g5tT6D_)fpzDARHRZ2t|L*rFh(bZTL58f~%mf)=MHeR(5w~b~O zRrV^CGNX>yQe?^7xEgIvlzX1!PERBaopz;7CoNKlLLSbp z29t6~h`h;qVUc;RDzjc=Jvn8B$|}?kRXy@~ly(dc^ok$D#iS?!TZE3&?$L=lnC!^5MzXL291 zMRtBnJ0*NVR{SDz&hvmY7Lgvja~jPiot#QgoOnk0p+j2MZtf8_sQY#FP1hvFyf5u!i@@#^-GtwC0qh~QPzp-RD z`ZckpiG1R#sY#J;7QK5}2P^V&6}^#)Y+hYARv9O+nloi=+VHDp&GQr~Gq|X!POYyx z)`Q$IJ(qFZOLH^wKGfsgYd34=)3HvorX9&{-lk*I7WF$etedBZhi`=n6(iq0)U9=l z${|*FQ8ZGOG1q8s46UL!i}adoUX0HQs+jS2_gCtvjLOyZ?2*~R%&@=PSIcii-?eC( zPu&I{M)Yr%+{WB4_=D=_$qFlwz3S9cRs7es~6xiJqtcOt3w~>3~>v;&eYbfwLO&O-49W^|L5u zFk<4+C>H60XvNtm4io7;3=xlkW-$yRZH#%xt(hI@JD_t7?~9l;d19;?Z2Sr-82-j; ztcbJb&O03_9aJS3qih1{aiHf(6gvf!UWzSr)=e?ev%$}}ImEtn!)HDvfadu~jCA@h z*IL+d(&@hNVUXjA6CZ0dy=cu^ltp?l=a>1RRTX~apD#%KxtlJ9Mjm4UVQS%I^G)sGAbAn=!KIm=39n@R6ajBn0q;KuGFp-#eMh+!!E;=H?8;V9D9qs@yow7o5?sfnOlXO-E^3?LWrWF#pr51In!p#=AMI+O zq{W4dc5+*Phkg&xq{%asun#Vm-qi%!DUtLoK-a_~{xq^f$wja>NQt`mRieZnHo)JZ z;6*UqQSklvUh zVo#YAE_xK+h)bC8iyVnRDO{fvZt|0-xrYt~F9Iu^I&=wAIf`Bcl@h50RTHUIGr~E2 zl}IM=N+f$3g)`Xl*qv3=_;ZJGZvy;RUwkYC)L}2YLs@E`$ROaVlE`p1^4MXdIYB;$ zY%2n%k>)N&TEU1t*-G=|DaNK;c35da(8^(@wX=@vAl*#l5+ril5U@Q~HneiV$_0|G zWlE|Yy@`HpV!r@FFpa!Fq>&@=HMOM+#w(Sn7~!L9iT`G7S`=EUdBBxw*X>Jv_Kuv-xX;7Zg_QfGWt1(%KmHcw))I#6jEXd>){3+|8L zTU@D3X?8-&+|QJ+OehIhb}?B7nn(#H@*OTS2*g?Lg$o7<0*o$4QGnoEN709%2d+QLGUi+B5b#=S2Yl`*w<2Kt_n*S69Rl72PJxuB9R&3(E6}2D z*&P-LDmjWi1U`vWfO~}+sSlRON~CbgsHhJ=^KzZ_Xs;V*e$*V zu+s*XQ>Q74IMp~4);ie9?CHWdODHoBoV#)M-tKGsf73MPv??T|Ig=? zllv>4^qXhS5i77V-8y}qf!oA z22n1uVGdgjVH7EBOJi>asfSp15`~57I~1Y<-)eyork5&E)&HV z2)U{;s&T~`__*Rs#Jl2QE;y?>YivR_cILvIVkAeo;H;LpF<1U&7o7a-E;#jHx!~lR z5Ni;alBQ#tKjKE~BDTCD!1$>M+QK-@DT}`xV&ayRHl0(irVF388J0Qa@%y)?FM;!U zIOV&#@F~w=%_&d2b6s%o?T&n%tH7&23YZu;x$-9>?s3I?>xiozKbomE8brJnaQs%E zx_(`nfy73xfwU}gd zB{y)vsm5fZD}R^^PQIVfu(&NpOV+>sBNhWaSJksFxTF0pIQ8GT;N+W(L&dQ~6fkTh z&>tkXldX}P!3C%GL8BqW>`YQpmyazUo=$e)Zor(gs)!4pW|+jJv~z~nb-~GJQq#ds zdINl1aPpbtbm2EQb#)<7fYGUofT@AYBw@P?V4Za3=gFOdd!hq*jLIc#IBm;p^eSn~ zm6ySrQ`{SDSDfYs8Q~?diq$m^0T>5M+R}VRa_^5;t?7oX6b6+USEn_Kt^Nq#YBYpc z#+@ILZyP^>oGJPvGLqLzOWAT6vr5_0=nh_wls!MX`5R{ z50`G@MKgIAKb5xi&0EBUd=TW4m=k$m3CE^IX$@4)zXS@bEZ+3LaEy>71kUcR~Qo;XZ!r9~r;CGD5 zWiU0y8Ewnpwd!UB5^HH}0`M%C+(2O(4cjGv)3;^B@XP`}7>}Ayl*6?Y_z#AytgW=D zVN@;)C(;n@%#g&*Xfv5&lO_hGvjXqp(%r(qe*y07KxyD;3(RDk<3jELa!2D3$ayQe zXa|5Ci|#WH`qkbDFK4Tfw}p#tuT(g4alwBD{)J&H zZ>y2q^03v@7*`&)zBIxing zq*1ZsN$-MCaTi-hfiDE^d_J+nu@W-b$(G&*KEnvC2y0D^O|X{nip$fhi<8QD!&V6` zc@L$zNlHUOjxj2eT*sxZc_5cHdXj8&nYz&+dmDiu=N%1c=aYW|a(~3H^^%P0ICQLU zoU3Fjov|94;1I*qZ2)p*BYS0NfA3P)7LXf4tc0^kz=hEkVzs^m+3~JJJDl1sYMX(_ zB=On(oxnHY#VL?v<|6Q(#wOOb5HF?EMWn)cWm6Y3MS%wOdzG7sDs(hNu53(vI(;D{i8ql}RE+$tx@~?MQO>Y6w&m9OzLqmeHnu$z zH;ueaZ284jhost. */ -#define BOOT_COM_CAN_TX_MSG_ID (0x7E1) +#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/) /** \brief Configure number of bytes in the target->host CAN message. */ #define BOOT_COM_CAN_TX_MAX_DATA (8) /** \brief Configure CAN message ID host->target. */ -#define BOOT_COM_CAN_RX_MSG_ID (0x667) +#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/) /** \brief Configure number of bytes in the host->target CAN message. */ #define BOOT_COM_CAN_RX_MAX_DATA (8) /** \brief Select the desired CAN peripheral as a zero based index. */ diff --git a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.depend b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.depend index fc24a9c1..3f2fa874 100644 --- a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.depend +++ b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.depend @@ -239,12 +239,12 @@ 1471419075 source:c:\users\voorburg\desktop\stm32f091_emblocks_os\lib\system_stm32f0xx.c "stm32f0xx.h" -1481972818 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\hooks.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\hooks.c "boot.h" "led.h" "stm32f0xx.h" -1479916385 c:\work\software\openblt\target\source\boot.h +1499159026 c:\work\software\openblt\target\source\boot.h "types.h" "assert.h" "blt_conf.h" @@ -257,56 +257,56 @@ "file.h" "com.h" -1477294386 c:\work\software\openblt\target\source\armcm0_stm32f0\types.h +1495810634 c:\work\software\openblt\target\source\armcm0_stm32f0\types.h -1469431761 c:\work\software\openblt\target\source\assert.h +1495810634 c:\work\software\openblt\target\source\assert.h -1481630752 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\blt_conf.h +1499942552 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\blt_conf.h -1469431761 c:\work\software\openblt\target\source\plausibility.h +1495810634 c:\work\software\openblt\target\source\plausibility.h -1476728168 c:\work\software\openblt\target\source\cpu.h +1495810632 c:\work\software\openblt\target\source\cpu.h -1469431761 c:\work\software\openblt\target\source\cop.h +1495810633 c:\work\software\openblt\target\source\cop.h -1481972114 c:\work\software\openblt\target\source\nvm.h +1495810632 c:\work\software\openblt\target\source\nvm.h -1469431761 c:\work\software\openblt\target\source\timer.h +1495810633 c:\work\software\openblt\target\source\timer.h -1469431761 c:\work\software\openblt\target\source\backdoor.h +1495810633 c:\work\software\openblt\target\source\backdoor.h -1469431761 c:\work\software\openblt\target\source\file.h +1495810634 c:\work\software\openblt\target\source\file.h "ff.h" -1469431761 c:\work\software\openblt\target\source\com.h +1495810633 c:\work\software\openblt\target\source\com.h "xcp.h" -1476783465 c:\work\software\openblt\target\source\xcp.h +1495810633 c:\work\software\openblt\target\source\xcp.h -1479203921 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\led.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\led.h -1417710862 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\stm32f0xx.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\stm32f0xx.h "core_cm0.h" "system_stm32f0xx.h" "stm32f0xx_conf.h" -1417516674 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cm0.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cm0.h -1417516674 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cminstr.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cminstr.h -1417516674 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cmfunc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\cmsis\core_cmfunc.h -1417710862 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\system_stm32f0xx.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\system_stm32f0xx.h -1417516578 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\stm32f0xx_conf.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\stm32f0xx_conf.h "stm32f0xx_adc.h" "stm32f0xx_can.h" "stm32f0xx_cec.h" @@ -331,237 +331,237 @@ "stm32f0xx_wwdg.h" "stm32f0xx_misc.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_adc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_adc.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_can.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_can.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_cec.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_cec.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_comp.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_comp.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_crc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_crc.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_crs.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_crs.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dac.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dac.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dbgmcu.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dbgmcu.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dma.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_dma.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_exti.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_exti.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_flash.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_flash.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_gpio.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_gpio.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_i2c.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_i2c.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_iwdg.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_iwdg.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_pwr.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_pwr.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_rcc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_rcc.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_rtc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_rtc.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_spi.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_spi.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_syscfg.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_syscfg.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_tim.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_tim.h "stm32f0xx.h" -1417710865 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_usart.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_usart.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_wwdg.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_wwdg.h "stm32f0xx.h" -1417710864 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_misc.h +1495810638 c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\inc\stm32f0xx_misc.h "stm32f0xx.h" -1479225073 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\led.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\led.c "boot.h" "led.h" "stm32f0xx.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_adc.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_adc.c "stm32f0xx_adc.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_can.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_can.c "stm32f0xx_can.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_cec.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_cec.c "stm32f0xx_cec.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_comp.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_comp.c "stm32f0xx_comp.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_crc.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_crc.c "stm32f0xx_crc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_crs.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_crs.c "stm32f0xx_crs.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dac.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dac.c "stm32f0xx_dac.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dbgmcu.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dbgmcu.c "stm32f0xx_dbgmcu.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dma.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_dma.c "stm32f0xx_dma.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_exti.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_exti.c "stm32f0xx_exti.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_flash.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_flash.c "stm32f0xx_flash.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_gpio.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_gpio.c "stm32f0xx_gpio.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_i2c.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_i2c.c "stm32f0xx_i2c.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_iwdg.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_iwdg.c "stm32f0xx_iwdg.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_misc.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_misc.c "stm32f0xx_misc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_pwr.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_pwr.c "stm32f0xx_pwr.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_rcc.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_rcc.c "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_rtc.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_rtc.c "stm32f0xx_rtc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_spi.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_spi.c "stm32f0xx_spi.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_syscfg.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_syscfg.c "stm32f0xx_syscfg.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_tim.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_tim.c "stm32f0xx_tim.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_usart.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_usart.c "stm32f0xx_usart.h" "stm32f0xx_rcc.h" -1417710867 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_wwdg.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\spl\src\stm32f0xx_wwdg.c "stm32f0xx_wwdg.h" "stm32f0xx_rcc.h" -1471419075 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\system_stm32f0xx.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\lib\system_stm32f0xx.c "stm32f0xx.h" -1479225127 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\main.c +1495810638 source:c:\work\software\openblt\target\demo\armcm0_stm32f0_nucleo_f091rc_gcc\boot\main.c "boot.h" "stm32f0xx.h" -1477294428 source:c:\work\software\openblt\target\source\armcm0_stm32f0\cpu.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\cpu.c "boot.h" "stm32f0xx.h" -1481972568 source:c:\work\software\openblt\target\source\armcm0_stm32f0\flash.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\flash.c "boot.h" "stm32f0xx.h" "flash_layout.c" -1477294453 source:c:\work\software\openblt\target\source\armcm0_stm32f0\gcc\cpu_comp.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\gcc\cpu_comp.c "boot.h" -1476741290 source:c:\work\software\openblt\target\source\armcm0_stm32f0\gcc\cstart.s +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\gcc\cstart.s -1481972513 source:c:\work\software\openblt\target\source\armcm0_stm32f0\nvm.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\nvm.c "boot.h" "flash.h" -1481972568 c:\work\software\openblt\target\source\armcm0_stm32f0\flash.h +1495810634 c:\work\software\openblt\target\source\armcm0_stm32f0\flash.h -1477294395 source:c:\work\software\openblt\target\source\armcm0_stm32f0\timer.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\timer.c "boot.h" "stm32f0xx.h" -1479207236 source:c:\work\software\openblt\target\source\armcm0_stm32f0\uart.c +1495810634 source:c:\work\software\openblt\target\source\armcm0_stm32f0\uart.c "boot.h" "stm32f0xx.h" -1477295250 source:c:\work\software\openblt\target\source\assert.c +1495810634 source:c:\work\software\openblt\target\source\assert.c "boot.h" -1481971479 source:c:\work\software\openblt\target\source\backdoor.c +1495810633 source:c:\work\software\openblt\target\source\backdoor.c "boot.h" -1476728156 source:c:\work\software\openblt\target\source\boot.c +1495810634 source:c:\work\software\openblt\target\source\boot.c "boot.h" -1469431756 source:c:\work\software\openblt\target\source\com.c +1495810633 source:c:\work\software\openblt\target\source\com.c "boot.h" "can.h" "uart.h" "usb.h" "net.h" -1469431761 c:\work\software\openblt\target\source\can.h +1495810632 c:\work\software\openblt\target\source\can.h -1469431761 c:\work\software\openblt\target\source\uart.h +1495810634 c:\work\software\openblt\target\source\uart.h -1469431761 c:\work\software\openblt\target\source\usb.h +1495810633 c:\work\software\openblt\target\source\usb.h -1469431761 c:\work\software\openblt\target\source\net.h +1495810633 c:\work\software\openblt\target\source\net.h -1469431756 source:c:\work\software\openblt\target\source\cop.c +1495810633 source:c:\work\software\openblt\target\source\cop.c "boot.h" -1481972707 source:c:\work\software\openblt\target\source\file.c +1495810634 source:c:\work\software\openblt\target\source\file.c "boot.h" -1469431757 source:c:\work\software\openblt\target\source\net.c +1495810633 source:c:\work\software\openblt\target\source\net.c "boot.h" "netdev.h" "uip.h" "uip_arp.h" -1481972673 source:c:\work\software\openblt\target\source\xcp.c +1495810633 source:c:\work\software\openblt\target\source\xcp.c "boot.h" -1479224789 source:c:\work\software\openblt\target\source\armcm0_stm32f0\can.c +1499942243 source:c:\work\software\openblt\target\source\armcm0_stm32f0\can.c "boot.h" "stm32f0xx.h" diff --git a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.elay b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.elay index 6200b9dc..028d604b 100644 --- a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.elay +++ b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Boot/ide/stm32f091.elay @@ -1,9 +1,9 @@ - + - + @@ -36,14 +36,14 @@ - + - + - + @@ -53,7 +53,7 @@ - + diff --git a/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Prog/bin/demoprog_stm32f091.elf b/Target/Demo/ARMCM0_STM32F0_Nucleo_F091RC_GCC/Prog/bin/demoprog_stm32f091.elf index 0d2b22e88e2f416b397ba242ec1851d170a320da..4f7628ca8d246b7e620c4de3ef01336312dea4b4 100644 GIT binary patch delta 70877 zcmce<1$0%{*Dt!)K4<5+A3`8V65L&id!axHPAP4nrPR?8e? zl=~asDEBWV{uWy-cEW2B8w1L~*CTV?)kK^cn-^HOS0c_NQ=Jp{#zyDJ_UclOXjMrR zNotZQNlP-1)#`m$i+V%S^q(Z!;zCR6tl55{HBG~wm0Ml+B}akm_7`GK-_F}QTe_GNY9#bc*p^`K^hb{)Lh^>mjiqPElgrzz4p_ z(OoH^9d_0g6DCwM9a3_r7nK~!MUg`kNNk-mn6dY}h*a--rL9usn+QemE`Rr|-@A9u z4lW&*^HZy+o_Moh2^X5$x(Q8NK`1f(mgXyzM-^ET8y49pl%>9+DTl^v6GhEYkQp_# z!pf3DOcN~zdKAU1@Sj=i{!vk!-7JcdcdSX0-hZhgqVPDAVlvl-Xx8#zslsaOYEo2H z>yFkng-)0hbAcvgsFrBGut`LPBFC$WYSrdn7XX&sx=_q!?Ys6rE2`O2Wtys(B4AB} zN6_yDeJy7lCNro)(TaXaQ)Wx1x}eWOIwG&Bm_W%C%l4*1F_n;M#d5=HR!k+uDoB_u zgR{WJQi9@Ei_o{5L~fC!-!X|yVu&7L7I{QXy|7tC<=wCdrS>$g*#v{ZC0Lw@n_?`C zyNljN;oTAYIb57EdV8~|BR=LnB){O)bBN3pe74B0n9B_&`lY9x=Z2cY%~s7XV2RzH1-CMtOA|uvFno(obn3 zIy7N7h?R^iRo`T4S5{^5RA2WfcwNP?ulnYYS5@-7s&B>$Rf(u3c|p~;guLpK7p3}U zl2=3WvZ%gwE&UI-@vFy7E-5V;}BdsMOZmLq;^4TBY+>&Be%cf$`xh)Z=wN8yjO2i$h?Yb|O^oOX(=f0llL|XA!llC9yCEP0ZD8 zDZUcOArt-aTBOm#lH<3u90<-46B{yUZPXAh9F<~GmN|M!t0<9AR@g}?o!i=kKAw^S zc`dTKPD@UH#aTfgX%*Rv-HJ0QmI@+8nV|}gJlw+)`V$Wuy!Y*Sei3!56*=9mX=IA6w^&~7)z^dn9T!jOPj-( z27<-Mf&Uc?tONshVdER7)!+yHkp6*bb8y)lG*w5YBZB5GknhU0wM1}kSs=gCCwuTs z2c)|*?FjBlg+foJok2@uIFmpJTo`BiT$_ksGrc6&$?B zqzHHR1Ppk0WG@U;#k5avXcsvO9QZ&e*2aA?y+q3KX8mF(((daQ(AC@z4`R5vi+_MA zK{55wQ|uzLUgkyQY{m*8-0#Z4pkm58-lSN&9D!cIY8_e?3so@x*DyQWaAm+~q(}V* zwmDd9m|3wVF$*ntZ@VrWBBO}WGdV=IHidsTE4ETpLDl3{60q$%I?&589Tj|B+oagaGo2;)#SGZ2z;sNoq(06evWK2c#sHF{ zO0d#MlVYz-wmJCmCZX7?F>MX*=xtW)HJNq>t2vOa$Fw(?aWK*im<}qYV=rN-(Mgmx zyY8}S2+C!K!6)yy0*W_5m z`YWZ9o7oj5^Xc;l3A(W!)luJOUOX4rulb;+j*5?70MV^(MOg_vi-=c$#=D^?rbI6T z;~*G^{&&U}FwTH+Pcro7P7xGm^gT|I^~38R=SGe+)Rl&ipAxOcDb`2eR7vB=EYzNg zlGP5*J8<65Sjjd~FYFSTVn=0%pZUd4EIIyVZxo5b=NFe@r6BQq`nN8TJ$Dz308vTqcI_~y0 z7;GvUMzDgK#C6RGoo0fgP#skxPI}Q?$OUjzwYYqFAlyQ*rPNVXqG!Q!My$1+97dsf zvJy1Y{UVAri)dsM#g*t(h-}sCyDC&XQg9fxr8yZODACp&inXBb^N2u!vf#FRpUdj+ib}2rnHpfSl1J50lw95Q1|AU= z{H+rTcHt0I4xlcge}q`P{<}wH5v}xb9#PSrw=u@z_o^wsOTXX|HN`SLmsgbb&nkv? zNnt0{OcM}+WGR?E#quR!{%nYGKIhDMGP;@;=cY(R;Mz=94f;AT^szkQ} zGX&<>|2wlNY9C!&$vYGhbW6$8Is(P7ISx47Mdmtm@=gX?pI%Qxg1##D*`V{0Ui%aq zn>w!J0I1l+2GBLrW3;YKw;^3!24 zWn35!5BYC87NP5l&=f{o_+JErYJobCV=!0+QKDHp{|o~!py~_!!cp$OjSLwMnsQcx z1sM*SiZ-V)goFA6zsUW)jM8jIl&19q%U;m@w|pR^ zzNu0?!oirtd`pU19gIoLwvKmXGO)iPH^d&JSn6@NpjZa`{b&I0V(7wow3b)B6(I%Hf zTl$jNJ{VpSZE{Jpy|*N4U2;LsW7UQx0eZP4YQwpDD_$;%+9<@WirLF0QA=VLniosr zp`gfEC+26+k{f{L`wlHEip)U&E(cAK>_Qjt%PFuJ&8nk129|(10_3y6gCgEkrDD#Y zToeLF^r9I=rf*L}U^WE6#Ha#KwZ_177MiYk5lnU;kxUzY2Z>3cJX9O(l}t1Y6+!3H0#k;oM8=9XMh ztw7?VH5AGj3~RHJiBYPt<#Ek7y7sroi2rJ0A(@S-=>08^`hVK;s0HeW_dNgV=2&*C z{sd#_vj1&g=Pt;UwhPkN=NB29|7X)9CphzUaRRn1a@S%tb}cr~GBf(QSPzD+?1J=k zgrRX0vk)}oII$Sx#1^CH%_!>B{Wvc=d!(8He7n~itxiJ1(WnkOeO4oqcXFd+PTS}> zxzRDFZFHR6=$OMB9a{~3UBOp(OxVh0Wms7I?Nf2&s zbj;<|BEV9Gn<2Zof?65aA>pZ2A8u4M+)&-z{g}hMANOQ^eUym)kkP+6ywP!Uqhk(l zbli;F&EbuXn;RW-c%$QHdWllW4C#d!~=bB(li}UNa*203&$Kh~NW^ z;58$H4={q)j0iqZNk5+{ErQn?vZk#8M(|n_rfmU6@LF@G?EyycT1%$WB6zLU@9@Fz z*4hk2Ck!xx*Ng~0zzAM5BKQC!c+H651B~D`BZ3bwg4c`)zEOY?ykne5y1x- z!D~hYA7BKpCC~vz@bsp402%v(+JLKcfYH1*h{2{37^3gUEQ(az-x4Yl$#p1R&LoeK z^l^E%D5eyQ7j06aVPaY3lNaE~C1 zyRdJBj)<-pv}UcPJF{Rr&1F(7WPxf!)CwJu{8_r8g*1fKScw^qxk4-?M>LFe(XmBT z;@lZql_g4@1r*yAMagDHjVf_mbVV5vpIfoM22~_afO4cx*)oFZ4iFASU1G65kOvea z4Q=*;I4D$gB3g`_Mt6@( zu^1_q9T8JTSyQ3of<+YZ89yV4?ksT~YUwYLk z-6AJsk$f-RVkc>F9uzW;pu>hFI!h$Yb&=;AMPNsXt3X4u>1A3~#Ukp@7?OU?mR3*) zI&I9Bxv>ry`iSG|k7$ErnQ>dGL!sm8Dze(rR3c?WdR(R4a%P*&%)t<6VxwDFEXC-m zlfs5VAg48ePD>UOD-W6HU<@X*Nz|GbdjgV^uR+hK%WP8ZA7qkIDjJd~d3DxojMS@! zypt_X&j4`#% zEXPzMB}Zo#_l}thH~+Q8Ba$PqtBW+Uza#D3hi2qzTDoJVrH5#$s71u@N!4!17xRN` zRW@*1f35>NhCA_ET91-O;^k2(Iz~p;P$P+rrHLG0(w(8&7205cXXemPPQh$x)b3De zXE|$I7Hp{0tq*=wD%}|_Rrjr27%RLY^gBt9r9-JOCXzYr8V)@UJ0#6KPIE}fnSk!Z zC*l)Ti9sWpl@HKNX))MExXWYbvT_c>4DM5u;YD|{G^oR!M%A4E*=bNUeWyWb z?lijQ{LfB<0@!IV{KHOTQO^Ij%(okpg;qYzB}xdn8xV7IViN5w z#Rh?wQHsr_80P(Ns56&zjFZ+x=F`2sI3LK=u|t8W`{Fu%9%9dgVnRdNeMC!3rX@(NC8)9Fw2YxlmGn%T{43LDQZXw=B~DuO`FX>xwwG!ZNYBme=>~R(4OG#m<`Wr0scZ?da5W*GGaN$8QA~JZR!7wU8(Kg+tSegPuo)5xS4N?e^4c9o7X$oKVbNe z;=r1zKd1H1|=eD)1M6AyCkT-uI5vOzZVj$%t;&s;J zUb(zPg3eq-Do7;C>7!0XiDYq(rs+x&iE&hK4AYe*lG8bdoGL^LDtVn%;F7tjWEFJY zrnzboDe9=+s5myD5-F+JO6su%MRs}NpcxkqZeBQO#)X5M7Y>?n;o#8yMWrGoV~TlReCcb5z8wf$RpALg&y^gRfDS!XkGqZ`pJGwP+-dREwdd zxc5%S0_0*!ye{Hwla{Bs)bWKd~5uRmZeClnGHT1jKA(~aGy zTG#iooC9MlsucnYJ4d2#0N&Q!VGM=w7iXuDX4!GT3YUk(Vb>mQDw-8 z3j3Z$xXBDlO-Ro_bGp#yw9R!h9GV`6P@pwiq^O)+jw45-$%;$-ne?DBJ*fMh?WedV zO7oy@y79j$HBw3$dyw*2Au?p7@sY;1CqAIl%aPJ_Y#^M~Xo*(_uK3LqFr((f9(IP2 zz-Ibwmf`=;QY}P6gd~iiUgQ+EQ*3(tZ**G!1J*ar!qcs7q_r42T3RY^On0KJ#4k!G zEVSNKszpInBtNBELzcwY)7UGb#~bz3TQtD;J>JS(}*M62_t)*Cb zy>d}>p>8bdFiGzv>8Z?i>U-J#K=q3(!&E6YO^Vf}*hVQJ&W4Mll2$0LLNgP;@1K zD&5mi{o#qIC%qZP+%%0&CsWuTsizb}mCA7+sfEYHO*&CXDjOq*ce=)yER-&^0|Am~ ztZmC5-a5Z#4mqjXSmv-EIT%Wf4W)*PpPP2kU0OE!dNHiNflw}kOuwF`Yjsc8;!Td2 zqg_nTsvWhCv*dGRR>jcWjI8FQD{++Uoh?w^JorQu&>1PgT`T`4j`Rx+w$CEfoh0$in_Q5WT?mB}D; zs?L-tT&j=4TA8iO^v_D*_Vh8^w^Ibg8bi$JS6yUgKLZaIXE}bBctmF4GK}pSaRTz+ z16QKEQ{W2MeEutqM^<@Bg1WwI;E0_?y3hXOK}{95XN=AK}0DN#SKJeg1Xn8wMEY0QUA zyfpAS`7w<-?PD5eOT9{IT*l1NTb9QDYl%J_m`5JAhd-0CRnS+I{vU~hN|#Cd@FK$a z@WRaxFCvT&FWmg_BBC0HfYr?pFCuC(?R4|QiwNVx3pYQ!hThf^rna_;$d~Us$4`ssHJfQy;R8%-tJdv(q zQO}t}vL<9U79+Z9s6=gImF9j=$Bt#nC{AwZ{6{5sy2?jvt2*zgR7_W?^DmV;=_=b< z7~NDs_>6XGpC%XGiIvb^25MK*E1|uihf0{oK4ipxMkOpw&uZkqvKpD5RR?A@|2^-n zrK`+`%6pYCKV2u4eRHSOF(SNZtZGIj>iYNK~dUhM9;}{CJ*}?^-j#1B9vEUlT z$NVFQLiBDHzo3jy%~)%ds6X@zmBO=4wXjqKbFU_)a!IL)^slcpZFH~!>8AUJl?F2V zzEY`{R4PxUaqpF3O}f&!e-&Yzxk9+FG+>82Ds_z6>2A8t(SPY2O)qu@eNp9b336*` z<@{Vu3mW66Z=^GVhPm@Xnem{RaolfIPWdz?V+Jc94*Q~(usbz-Pi0`bO0$2dG=oY7 z*hCw&XTBZZ(^;Rc(=qJZ1J+AN>Dxf*+oyV{O1K1l!&1MprTR*#xl*bz>vy{}ZL~oi zgjGffwuhB2vy|IqAiGB@O{0}l@A=a*UFp=n%5X|cZ-b6({S26T!+md9x=x0O_q$$( zaM&94RBuoD=4DrOYBRaj{-OW7*o<5^HBB(?f8t6@^h7z-WJwT6YYZW=OF`WQ+^^A9u1gtDH0j79eP zMwy$^kq<27J_R>(H0!{?YlUJ#_=4&N;TPiVh?kQJyMZfFBiU6uNH2`Q;zxL4R8j}& zg@}*dZOAYeTO7D@A?=Xn1C}b5Y_v3C)Yw#MB4m)$hE)|d>1dg8b=Xj{@MAc!!NPq< z5c8mM1b!>M3O2~h+cLXE7IK4BMFb=FE1RU=WEzT^&N4rc*>m)Zx~T)KXKr{etGaapxImg`yG?~ z1xbn@pL6|x`F4sw8+q?L!A>C_CQ{IvcD4*eUtSCGTuDSH<{J393mW$phe!sI z6Fs>#gmK;Sl$1$*L1-%pJS21;0QwH{iYprB7MGAxk|et~*lu~mbcIV#DKxZ49sx-- zfv7wIlITvNK6wHp@qD?U;;p7P`%vT(PxT%jVuRc$t-a@%!Nb4Ug1D~Umfqa|{zmlQ zABO&ypUIj2^<$y5-vXI`<~KaGZ=hJ1kWuW(cx(57hR!ne5UJ}(C=sn#-Xd*~d<>c~ zPe_rMK!2g^TO=Mbq2lLjZdLVyeEewiq1xA+3HkrPWXCVv2HWJH&JIGX+1g zH651k@*B-cXVXDYyO`?Z{ky3FD1Vq9BONji#al7|IS@yD=4_y==9{3PvXVhIFGN~1 ze~Nd6`6V*6n4gWq$HwLrfe?PiV19tlrtRi$>zWmZ`MU;Y#c3W7A(vUeklS1gZ;yEi zC|aPZ?pHP+A*jwO2jx}p%i6lhUbG^)4` z#Z_|(T&f4$#n%(TP&2xhEVUH-1AGdLFE*tKU$d(96m_yhtoADuOOZJ_m58y#rr-yaIL13g z$7-pj(LjRiYe4u#ZLqSDrVC1jO+-ejL`lk1@4W~LW=K*~u{$qzt^o(@tIOek4?MB+Gj zj2YE2YIHx~E&75J{Tou_IOix?A46a>&}<@JV1Q-kcfO)I^RyNYI}>?}S$`D-fwn|) zOaYWeWkstS~c)l@y~cvrDzIacRWIA%&hqD22eXb78FW6fnO$@ z5M(i^1i5qRhrbYo^(yVq8B#k5tA6M!+{p23#d3xe0lzuKXZRvM#V~Drg;))r48k}; z4LpVbQ?(2RLG5$~Qy&=&0)B7!Z<8M(6LL_TP)b+?_QP3{|2ZBOm#{q^6gMwa3L0uD zgcb0-R}0Li3ciekAp(A=`0s2RL7*ibnk6g=iIqpc5I!};FY2D+5lSP1w|J=AD1|9p zhmk?R58n(%*jG~AQXrlnm?jXP!c=C1K@gM9U@FdF5b!e)+)=z=<+SO?Lq`c|3Z){* zmPRI|A&|rNC@l@HO#M=PWDn&AJAaxu;VdcMDD3$A?80D`mF&g@pX1S#Vx93b7baWd ziBP&K#F?J*ANGG`tT@=U@+y(=tqGvD9v+*t)=7!TtdHs{>gt=iiTrwe8xg5b`AKw^ z-!QC$m{1xL9KpjHX_(##`H{mKDbR=@EYK)jU`d()D}fRR4B@bUWRpZTAq}uejejd0 z9mGUa=opBvB_p2TtxPr{Fz1ssO%NfIO$h7;m7uIaC8%Uj391-Wf?5WZU>2@DP&EX+ z3`%eMkaXrGvb%_fo)SLCgHBE_5ScQ`tgS|p`IR%0%%qx;{0N{Po(QE2?$$D=#3S9r zV0{VD7LNsuX;&+e+dx|W%)KC_XqAu}=okN%HL{~Ite<=zVWP2Tu%@6 z+UcEBRybu#OM!+2hHTUKW&bl`V<0dZ4{MxoEFQQ|kSvqU3Fd|HqR2eJKP5e$z%boB z+^cA;Egnh|w!bCn4q>yHYBKLPzmB?vJt_j26X}eGeIwAKD&%0!GQvHk{caB`q0V=-9>ZZ z?ukUPOeJSL^1NMYg{9*kvkL=KTY-Wdszt|}$|A2j?n@Lh_c zAL}hzXf5E)IK5aOk)iQWZ1xdR;a~WNT;X3`Ng`g{0jWxJd?R7VkZ)?<30i!=J@doabTIy!z!n;>SSgnD-5B0w<^5rmrYt3x5WM+s?t(F*jF4D$MmWFM0Q{J`kn$=@Lbdn_ruS&UlRoM*ZoBCz)NhI{^R#^2u0~t z`-|-2vEHV?2&vA3`sMy&g9z$V2Z%xeXSJ|+c$?f;zcfH(4IHWwW`sAAk9FTbk)iI@ znqdYvZgc2L+P?~bs?xbum`^e*E*t@e!`yHX8q_-t6m=VpL;T2x?Lig(WBNQEwhimu zpdw5~f)8nI2R>{EJ`B0|{HVJIi4sw44~8;f6{Z>O=tT#M7JBL+;f)|VE3fVzB#P%^ zYw#;eK5UAxS{RacM9(@{9F5>gjX4==nG3N+IKhU+nC5&L#>0@bD81qkQ9Xk5*y93H zUCweGa&+ofD^naV#8yQx6<1Ko(Ov6jY$2Xq@L{8bZHFs|w8v==+a^p8%ds8U27K5C zd>H@p$*F%m44q_)-fx)56?loCewv(SEn>oc{K(Ym)gpzyewZkp`vHFwY6_{AZ#axh z2_m57shATqOMgkYXbNh1KhrY|7cWBjV=0>}zn1mHa0=&kXj!}7#J#v#`{vMO@Yqi^ zWpOEdzo(SCh%fq-X)W>no>CeYubR>vr;wWR?lRxMVsoP@Q*j2UDZdS8IzEaroxfzd zb!lwqmC^WkPg5)_X)X6oTEMs#?z_OZ7(x0q zeA}ccdGQ^$rnrZb^1%jDns?ya>LtBxHKu!_6q@p6E-80IlstfQ4ox{*3fz$L>o;Wd zzeUs8aH**&jw)1(7|(S5wxq}4PsV7<57_2w%E4!RCts)0m(q!T>nS;J3E!*n$6+*O zO$W+6?7{TJ#Y|^7MGH|oNO>B^>}zx+CFJ>t$VD&Ti`LM@qyW=@)}ZKw9Tci0{Vgz! z+%GecyZjS!Cs~+2W#&8EbiTFENUyw)S?(%E$}(KK!inxo@5|2g_IrH)EsL}vgo{#5 zDf|!L`}APX1e4nnmAUMZ)=h)0X}$!QT*^s zQ@X{Ha(x)Ne>|rAmP0gj1k;(OaHg@p%C8ze5!$l>qD)T?U;Up-wUGu=W9kJRi%lw_?r-#QUKSHno@2PMW-f^ z{@@Aem+>n!P1z%TFDHl4fH#ymBOT3ijanCE>$b*+tSCLMST*JFLh3d-$@fwm>uE~# zB+`5HFA{~6e${DWNgI+5{>t}lIh;(Zn7(_AYBje~X2uz&+sZcnBaVjtmRTlN9)r$`f(>j5(_wxdBXp=&>D1`B2tPn^~0KIG*p`Z%JQ< zxeOg@DYK01$n^3fOmCe;Zv1vqUi8*GCE-UYk2;g~rU|tRpP|&xt))~`<`Z9(^i=%a z0HlYL9`haPhjvnO{s7W%W@Nh7d2+wUPwq6O?nJ(yc4j^~Kc!5GA4!=k+h){ETIkfA z^xC1WM1G%5(bG{(_m>&ZsX~P|O=)^vEZ^lzQeh!Zv(W5Vs5Irf1*9kZ#B?cq?vGlQ z)8xriQl4Dr`zDSBH3eU$k%-(SDt zyMSzo%D3szHaWDF{7f$xNp~}BV|oWp!ZG#NB`zSr;zd!+@w zfWoSL9h8t_E=@+0r+k0%3mI$W$f)*$l+|(q`wr*7nBHW2|JZ~k-c@BbxtfuFFp`pq z-TBTYm%p}aNI%Q3M;I_mQm6 z^9?G}^M)^@@NOKSYfWDxtkGI0*8um+z6X$QTc-ft?JQg%zdg-X?N%R`Lt20F{U1OE zicA$@d$7o~5=328D+B2T;#b5x(x=ih=D>L0koclK_(R1g{!xh}ak(gz#)&Cx*2&_d z5Wozf)`#vqv57q)RgCWjutLnkrbAmPzG4qvC3JlMrL7hlUIDBT$t@tVSJeI-V4t{H z1jPNK+j@Wl;+IJn60DUXHD;SI<$rj57A(|`=ma}`4?KA;67d6PEkX5t49R|K z-X6e4s_!_=lhp6_L1BXW#suCxHDgBb)~JK<%Mag z#~(dIFTfZlao5bLp_`vbF=!IEd8nMT_pyI859*NO%o6801L&J3jkJ$R?{G|S*))E_qGb@@irk{-Y!awL&y`cLrAZ83hDMP zL60N$3%YL*t%QU1 z{K0|=kgxb7eXnd~o!7W(0k=-~in6C*{>%6W+aPOBHbD*-TOi*MG=NsB-)Ep#ZptvH z&OHx|HU2~3ohV8$d`J>QGr{N>{4pvJ=ZmDHz!r$FIlV6ws~Hk67hf?_S|Mt3=2$82 z%mQ(vcTSS?gF#q*dv5PbKUeN`157tenkHt0ftH zURF)a@0RLFhM)J;cqq@KW zY?JzFC*-t6wS5R|tGb{Cc-z$^AFv&2h7SOCsSW$W%5HV~W$^Z@rSW-$woje465ycv zGwbk>>cV}Sc0_%)6vU%yk^3sT+F$BYgt^*rbstyild8Kiyf~$H#4&_+Ms?=`IIAZ8 z1pV{s;gyiQpcdxHyrep+fp}TX(F??@>PR->-|A+}GTL=@@lOCZ)T?Z!+v?9ff!$Fj zL_zPKYT}UmN6n95B!rf^ybX#E)yr|9K2}?^*iY2{uYo;NkA-BaIoRTr;3J%ha5c{cKZJ?g-|ZHlqo(d8W68L0n*J^#iblrWKQ+kZSV& z0R6?LdyEX1nFjv?u-vpd2+38ZLmaECO}lqMVXY}aKQ&oIM<#G9eB5+``{)xUeJ(^! zn%3#|6cKIxtS)#jOjY$lDY%jT>kD8HEJMG6YAO8^*fYypcEIPB z3;AH>rR78wxb(`xPvW%KmfjzO_{Q>hEx=pLJIut|JIhFh+zHmUjCFchm!&|mxAo~_ zi1e|J`~p~C>mgQJKkJpypP=@)#`OdkU@guyb)a=YT__H+maY!sVCy~HIcr0#lgfiQ z)LP>;M21;y`14KL2WrR!f0Tu z^<6i(rdvBM0XELMH4A6<@zy>?K%HRi&>0y{v@R?NkZcv`GukBU9nN_v)*V~|r&zaE zh3%=`0Ol!X+h|IE1V~9E18u$y6bF4G*195GxHDMF5 zdDhJ%fX%nwZ)(!FOcDOj{G7m3tp~2a&SI^!Hike+cS+YnIPIJz#Cn2*iWdYu~`kA!|V{Acw8(??U8=bvgsE zqt-tzfq2Y1;WsG!WlhQqaNN3kBX}pRor(iHWmPz(oVI!zLGp~XaXf6EwSMvyM9x{? z^#<>}_1hsG054eM8i0Ax`ZG&-$(j#8bJH$cueJj5iuF_;U{|eWxjX*bs(udQHR~S( zA$h}U;tuDgH3tKYTUPUJfZNu(zd_`Vbph-9uC-hXNZzv+z6jz!))IQvX(Cv_f=g8G zk@W>b>&MoAnuGVm+7+v!_RKnn^Wt;svZLU=u-?%VreXaU)(qG?>xh!jOR)XX9at~h zfHIKmZ5zZPJ>J%d=NS`hC)o8S+P-6Cmu!1shR7tF{t2+jwo;rkr`l@w05-$cyCfuM z+OACoah7e^Dp;9qOYQ@OIkqm`>dm#K;wVmAV9OF>FI!~GIUCee+iXrui*0MT30h){ z_!=5ZZFv?#bcO90SA~_f{D%Nm*@k`&k=3@_2cfXW)&+MK+FBd`Oog`2)`)w6^|q|f z05;g>eFG~SZ8I)_x5*aAzxTA+_VE>9TWq=JBDB=D+NO?$=r-Hbo}g~GmEjD!!`AI4 zM0eWiJCM^ZTceQ>*=>tuw7AFCQmzp;e8z=7W}C^Qko~qyhk+fiy=Jo>w3)L(?~tv0 zUht0Ee$vGZd?E7Vm%z^0w&GAKI24I(M4?98qZ(D(@ zAYQZmrh|Chb{5}?Yd36;D)8mDEpb1vJGQoYA@Yx{Cw?BK-M6W&!Fyz@z6{{8Z3_20 zPi!k0u{^bXSeBjhnaw^2qR(yjD+7CBYr(?5wB>39Gp}src%t~)R*~)V#%6j5-dkHw zecuf9l@tawee7{f0s7j@u?O_C=irPz(4HI#Y>2&c2-r~j-wfY|*(Wn7A7jrp6;{UD z+w_1<-Cp-Qh>Wv07zr@meyAQqCfM_FvYTixasnXPUhf%rlkB^&!fR9Pcj^F}YJV4M z0_HUPoWDSwZhx{BiZkpZSlcu09SLUH&vOcyZMQJqpJTsK48-~NQ(TZ2*bj^caiP5( zkD>mw-?f6c$X=TVNU8SGHvksfOL7rfV*ep4cuVbVKLxhT{)XGm<@U)j+&8VTA1I9M zSK340!`dqQ@L~X~?bF{ue~tYp1H^UqU%1Y#w~wC;-Uj=X?jUZoySWT(w%2F-Y_XT& zTDH~x%?1)UBtK-2tVb8-;yp#6zU!xSK?5`>UoVLgR0Fg8H zW}HCJqD7#7&b}}&u=DnW%h0=EZ^@YTqCJ(7=oNcm?DIm}ReS72$oy^p6(6K&*X=1B zA~)=9H$(KM{V0duExSJ%;Ew$mMcYb**BI4_SjyM z6Vem=L`G;Y><7w$_tHM79I#jRo;*K!ZSU|4urJ=&KWz!42@YR>fL@N@xuNat=+48z zevVE7$nNd^8HymOHMk26cs_8N}fV3lJ_1%S1VlHWjNog;H;h^%)!#ZFP% z;Aq2hqRo!l8~|G!r#L5Ub>t}l-ZsbA8ZzAJXwAc@U5;F1!Q1WV$bq-d@yQeL_B*na zVJAP}7%&vzpd-^g$Q*IBD-Zpnj*qzt9dnpkLgOz-*_psjI?C4scFIwdyO`6Clk8Au z94&d8bKX&lj$Uv~;G%ocQD!BqTyZow1L9T3d1myt!^5canxlOKfSZn4BY1Xo%kkOA zkh$&XzZT$*BhCwO-?4Hx^dC9CX1Mv-(P}cVCyx5xL-DC2AN_sisLp17?&#M7;Dw_- z&&FOl?yLjvmE%bv5MMhw{|W4kqX@&ew~k--!L@gePyPf*aN6O0NbBVsC?ML`*`oqv z`Z>39YuVq~6h|D|0A~)ylmnf^G>8mxe!;Oa*xBtfaQR&#hJH0z*J{>d={xqbKd1NJKbq- zg?wi@&p82RJHO>A(;Vkf27_~*A9e#a&v~LTY|alkA8`OKaE_)^3!OVYg~p%GAD6(| zB4Ec3GhD$mwD|J$5#l2GJ+ZWm6#X)VV1R;F)taPl}#9 zvoa=pGc%7t&>hGLw88RifHY|r;FV_g}b$h!$<>b@H^^CK0 zUstKy+;a4DrA~rOe^-y000Uf^*>(e6*BQzVa+T+_GuX8v8Q2h4EKl}_x`x(<~;)TyZ%dInvdMn}boV8QcSocAc3HUZU$2dq9%wERP??xCXNF#=7<&;w_i% z+E)cK<6M9Cg5r4BF<#y5 z`Xvt3Ev}Yq?X9lBeVEziisiw~c2_?hEA4Q3J_5GW702DoF4v+JklgJ`V$`t5)xR~s zURSxj5ZULtX@brDuGhap?|^H6bzlcwB?^Fd$n}E>l7~aC3p^+};u=!})T6F;T&j+_ ze!mRxm+NLN@Q%Aaxee@u>-YrdpLAs&0OBdvl@&00+I1lYX3n_kJOw!Gs$3YvbFKp0 zA#&c8p)2$*xiWCpx$G**==F;02ZoVXT_wMR!r!h?;vi73xk`11?dz^5ZGqizy%+|W zo31t7Dcy2ad*A5C>tskib~R)e`NVaI%kEQG;VJ;nT;GSLf%)9kj=|Oo*KqFoUb=qi3h>G`lc(0N zUB@1Q_r_(32YBlm{RfEeTv?mIc7nUkeh~Y(OMe1|zU~KQLG0(Q`WnRk?l$WI2Dq*+WOd`N|cX<`O3GUo8!JFvr z!8S;Cm#qxqB=@)__!BwWWOpAPEvC4~6##XLyBnvSsqWwA0!(vP=khz#ozxkMv)rRN zKhAbPFAd%t_dM={=DO=~QJm-Q$+N`y?wahY3*1K-@hx;~jAZ_F+kc0@i`>h2x}WOa zlmL;%?rT+5jK3vronh=!_rT7OS?2CI71(n3MK;h1_q<4mu5=e-kVWf*wt+{w=&x!!%n0&IhO=@5X8?%Ld+ZgMZxA-UQ8&;_u?eKR+RTisnV zK%x0I_rV^Z?sP}i0lLdQlN01__w0Va_PA{sfbDhTe;kD2^58XAt1bF2BEf_T1f?J?Dkn{5M2ix@U4mdF7rt0N}NI)ngFfxR>)P=dHU3C&G8` zZmYpd@U)!)UN4VrA=G<&o`o3J^znSbWvH(wANMi+Jm(ox_V*Nzh0FlYjE%qsda`o$ z8{+BF0N7BE|0D2*d3L=6Hr(UR2rDBz-xURMq^BK2fKi@2Z0pgU!P%jg=o!KdVv;9` zJ$;Pl=56rCdT#DzysCRLR{h*$Rq_J>PH7$-1h(*Jv(@yy2&FL(r)(jo)2t`=NeDZwt6P=FmRja1ebyBo-LP<(+*Ee zR}go4Rnz`iZ#UXjwvteJv||sr z;TgCe;HKwPRpfBXBfbFdwkMhs;2qBpmin%z1=rVmo_x6>`M`7HJ75nz5ex(#d4|T>veKs=;u8Z3#`Al+-I;k!29w(tPJ!X<-$0~du|M{ z!QO)(KxBxwAfvaT-ahOd!@R|&KxDYL2v7M(cyrB#$Vl&>R`3$N>)2J3yj$4TW4sSS ze*qoqoyzD@_g>!w>NxMgXn^tFvfQUl@DAhJJJGA|L>9^3F24dy@|r(}!es9eUOT3E zPc4Mx6tA1p|5R_6jZm28y*eA%bnld3pfJO`>McZOdS7e@nB`4k05RM9n>?7AHx z8gsp;J_B=}_jh*g`QCY)suy@maW}uv`{i?p{OQ$rhPcRUuLO|lo$(8Zi@n+2KxBzm ztOIemx7TtIS9oiD18k*tD*C^+%G>M%5LbKog|xQD8(9{_wcd}ea`9W|t=1U&>%9k} z;qV6UcT0e6^zP>7Z#XE>|`&MsPw&XVNDGid_z5PD~*x{|up10FG zaTIvFyiGZ7c6%+nw%Ow?!NI@Rdw4M<_j!x)L#O@T`k2N;+5vBIw)R19#@0|g||037pf^8lRiR$_IZ^e&wP?3A}3+u*df4deJTUK_v4IqMA` zhx$2h*B^kL_g3Sydck{!XH^%yJF);=@;3eyBB9IPT|WU{@$TeB-c|2~Y+(NF{g{j2 zHE$2jNY}kX**-VCuQ?8GdOzkl@Gb8&Mi95Xy*aY)c#ClK-}PpS0Pmi6N>gC}c;C{| z``$jhrh4Fgk{Rj`z16ucKk~lfR_t-eyP+JYPrSCNka_C;nPd8eH#;}7FTHu^1AFBy z@(-}r-Z@8t~u3BJL3V6>O-;2?f{=gvcKpf6M_73d(}J~q%`-yNQu4e@=z&v%CUk~qeO`95U?KipS> zbHWJUI35^`^i8e`-YB0gfQ|Mo9RiT(o7ot8Nxq{zTN~r6#tqt7-)!D3>b`tD&>!c^ z$%EL5zF&R=NcO!9al}sY^{fMQvhQ2&sZxByGJ-h8*N&b}^?iH`V45#i2V_6pms}W< zGkj}U!kNAoK47zaku3de-)EH|GRIfu62M&FN*?{p^X1_jKi}u(ncf0lmsQANp|9T| zV1N2%@8Ht7$Txf(G*W#EXNtwX`P>LE@$DH0-cnyRUK1?y{lI&&<-RQRX@zexSM-&> z2re9}d>tMFTkQ*^LS&7vOF>}keQy{oZ182e2!)NlU>|@@zHVGwHv4+;EMtpr;W%6Z zYg>JPCO~nUZ|6M7Z1??;0L2|XPXU0Pz6U=+W0x;~cJOxlTC+v>_^w4lf3NS+2oU%A z*6@I2zi)F@C>-!T%>;#mzRcVv9`beN{^7817UP*CKKwCwj3!^D&!KS4H~ASie}DNf zb7TGS{WBimgs&pMG&$+3&gJBkuRs(OPy6=N1$M@F!3o=EeLoKY@q(`%Lx_vM(G

*b>BNzNx)HeC~V2YnT_lj2t5`(FP#C3i&c@0`;};!dRehd>-z} z-uiqz2kYfOG6B5a{&qCl2LQaj{%2nB`uXeB0I|RS%}``9z`r>b*g$`N2CswslPf}R zu>aTbkR0NF!~N_~|C&<3hWQ_G`!n1>hTEnQ{!kNANBReU4~TZWBto!1L*!s8NnOpKeQGK-x zj?Jb1;!R*|nZI@!V9WjEuW|gX@DFPP>Pr8cn*gi)9XRc*_P6N_u*Sb6D~N0TW3vNW z=l^IPz{zpWj3Tm1LA@!0O~ULM#E|6a}zJN*|= zgSgAz^AWJ!{zEpQH`yrsIeVzk-0xq_j1Tx<#OA6Fyz&j@JTQgu|11`>NdceW;WL#iybztKI4=%vygutTN5SbXL!k9QYaP9$! zlLAAp0Gk}B+Z@@a1UB&?cS@kdC=jOxrp{C_T}}(+D+P3V;K%wfIwPQQAk7TSnGgM0 zfzpS8%?_;Kwq{PCQ!KFgfoxnV7X(VOMHdD(@%w>414RUEFA7Zi3&hmGk3|3$2R5)H zEeSL(2KA+Z)0ZK#EKq$6!1BN!3_e0D0?|DIR|Yz8Agl@$`V`F7fe?e+HGv5{=UE#V zQ4wa=1$y$3d3~V%Mo4Z5xH!#j4BVxMn*uGlBi#MzzziV^hEXt1A)WPKNOhR z5a4j2UwaUb1dg|c-qFCz4}cvDwErG@e+6Ebh2HVN_gtG#1ln_=IvH5ZbIwzNY25Cf z4lLk`cP5aDgYj%2RGLk4E--Ht^v?&zbOQB4U`k_vi-DvR5HAJVKFsxh;B(?;&5y;2w{mnpaPM5a=v-^Q~J5aqX6z&A_?F8{@ zz{calXMvhcl3?_Cz|Ud$DsZP7sILQ?SnF>BBZmUK4eYuI;=4eLBLE4(ss)f?uV6lI z7kUS)bBOl|<{b@Q|DfqPz@Xq}{lQidY^AjXZ$$7EMMehiu+NPOZk_>~ql1r{!kNTi z;j|7*;3UqD zOM{;0z?KEuu7k+(ps5FZSrL4|!L%}1iKhaqg0&c}uMT!*th6TBqHGJutPQT>rg>fP zxAl-&9~?n{Hv}us1lSl{ITgfB!CMxHY!1HVDBKdvrWf3fnfKN&FtaO|p(#Xm2Y=cE z+k1k(?*EIXFAu1yeBSpx=iW9;%eec#FIiev=9ZedXJ+PBX1QxxYPqDD7Z6Yp1O#Le zWK(t&L;*qBaY02{6-72TRKQ&X1%J=<`F;C?hnab1-gD1+-zvaD z+B2Vb>P=l_nbUka6~5rqvj)tIPT$flq1;K62xW!SXU$MnI-Q#jWtG$NMkuSDis|Fl zIQ>mas@^H)C8Q>&T^|8%I4y8Y0`;cTASuLKPTwbkbKB|s-%z$V#Zzg$<3xX5LU`B7 zI0w=_r+$=Q+MOQjAw6^&!*HQpPTx`U{K6^yH^57$Z>d}8cKVFcagWn5+U>q_%A@Yp zS+tOj0$oJB9N;DjU*iC}i{!Nb@({hc1dnZ^w%m7gh9fk*rzMv*{k7(-ma0n7@ zrnBW>k@s+Z1g4cJiMr4*k(lmDxajqv@YpB%-3d~x=!^o6aiaNDnd3#f#=$XBG(8-) zB#}J`fAL)5a8PMW6MasPH(li84cigXyJG-HMboH5%@9p^1SwN=^lMO#i56=B*`l}( z#LN+`F@thk^lCMfCq%y60ePYy=HVjpMcb))Ef5V|2TGx6;TAx#sJ0uyN<@nn!FE#A z;dl<}Y0*Pk=VwGiDKC|Z<})bIijM7o@|@^^1W+c@havU_QL-9gDnyx-=_^H3mO`o# z_1_GKTG7xq0d=BaYW5pMM@9mgMb~NmUKgd%@n5T`itcZ_=sP#SebLPSzFYr5RDq%- zd?>m>m-tAuItU(*MVGbkcp|z;H}Hm0l(&zFZL|uGiX%S4Rb+^j|A3Pz_Mm1eOT2pk!sLkkmcVvge02cegm~;9 zpyY~g9Rej!oPPw6FYf&|q7;Z9ddfJhl6gS0!c}l#s zgc_yO;$CjxoDuiC2`CjSXhV8dyq3Xq(UPhXAEjAbEv}?yw?^zpL6lnYscleR5#ONw=vA@(7ur|WiQia) zFxSMtmH_I-vGnq&LA>QYIE~_u=?ONAdr>C3E*?xLbT`BsR|0N{`}TtEw)lHGsB00M z%z(S%8}ydyo_GS~vsUr^5-{7vTZX-) zPBxgKlIs6jNXak3a0rulP}3JK`JoQBeG?>!eSi^?;grcDCBJvUKT48AZAi2vDh;+6 z$p&hf_e;K@edPhkJZi#XCGXJZjgzcA4@$gbZqUqg%qISY-fA3@#L@~&l^E#IB~5Z7 zDDWK9FKnk;pDA&pL$xePAKZN5G0B6YfNY6V9Q<-52}U>^mkfyooRDNvqmnBTQ+dvl ztn&usORiA{E08?d42MF=$pT1465~op#gdBk&KFgL#ZT~EP>#s>B(1)*=;LoX`SW5=_ zg3>H`_$QRtCAy7Z-jFX2NY4R|hTO@TwFWZ{2j5Rxq)!taIT3eC-zk{4=Fx+Pz40HsF~c@4H# zk|65Iouyt2Sp|Hm z-Ab<&yrkK*RrQwsu@V=xOWL25KGKP2;ovKMhgPwlw73Gw-O>Ye&=x8kO1q`K(rr38 zgh{pZm4a~TAV)r^`=qB@!HJO0`xFo<4KD#iNp}r|f3$S$UUI>RO*;C zl3r3Am*#l^PDoG0Lzyf6g5H1UNtZ5zL%vk!4P}8egYsLU^dKFg7fI)z1{6yNQ_d}s ze&wJ>^&rbU3$(pVATjP$p^z$uk3zX8fw=_UrpbJE#S2zp+sp(k4=J^mTs zg7o(zP+pX}O#ri8+O-%`g*2MZ@GGTiD!NtD4g+k}(m`aak*;_Tp)W~q@iY@JORv(~ zhFYm96w(!GB&F`F(t0|4sFxm}189)0{|l5x>95r4G)Zrb1hZLsm!|%8=}NlKH>6)v z6}%~pqfO>5sp$sbwlts+%ogdOr=Z-C`q6y4D{Y+!>7MjL3>BAFsT;i)Zj){s4UcxI zcL9v|rN34q+5_osIx~4FUG@(gA4wm53wSI&-45n6>25ioL%KHxl;_gM21uRKKNCRd zlI|>r@`cn%4tOcOPExlt{4Ip;k>-p7<&|_C@`gj`EZePxql?UGAi!1j9qmfD%J$uY zhnp;KD!^TqNZpi&tnC$Gn`|#_QMStj?;zR^St1=iddj{z2-qpxUj@o8nH!ZcA6e;i z#PpS2-vS3e*|InU+bv6=zRO>x6vDv~AbWQ=Fi?g`iXtJKb_Wn7tNH={!Lr$Z!xkcY zNJr|SvTb>=?UhM1@DGz&_JR^F>%R=rKH1<8N6N68WyDABSuYDHsY zlUKq$R<`O}P~v2RhXdjrvR~-5BSBV3nKe7wisU3a-`9F>PE z*)aON)v{vcS)DADnxt#8&*`ANQP$Z8Ta(OA7t<`Ob$G$! zy3B(n+YQ-SY8-FM7Eso{B}=ER`EA+64S*Ked(Q&vn8C6Xy6bJSLOPv&AakP1 z{7}}E48KP*elescGU<@dx@5x95MRhv zQ5JbA`(PBHTUJdsxJQ;kkHSTM`8|x}CVxtkW}Dm*4Dgbt%|uXd`M*JaRm@2>aXq9! z`3l-H2g{r11485@UxFDXZwe}`VtU7ql;o4-rBo`D<-O=JrO1Up0uIWRlza}!!}r3L zD!0&9E=@j@wql3n>uBnv%fF)+0!QRt_Yvi&+{Yh~Ay1>$FjM|i1IUtVq_~J<@}DJe z$d<3!3Wpr|sIMU%m#Z9<(N4%iDSPC~`DQ?#{Gbq!FW*7Cm;(7|Jv<8Kh3`Qsk|)wu zxmZ4|9h4HeFYPN&%G0P7J|%A*iJ+(D>r{}=$S>0!EtNOWY(6XZyaG5U|IQt7US1jt z_cHm*UVH+WRZ9dzVUE$>$ksFA;a9dJp$ zu@i7vJ|h!;SLM;UVAjbysR~|`|4#F$UVh#ewg&mCxllIBKcLpXN&Xg1$ZpCP5|?XTvG|kQ~6j0;F+9zpIYe-c`rJBdoCCM z0Zymfk2;zz`I2D33whu;gn21XRqxs~f@c9xpcg0LPZu3y2(?i>)*wX-MyCRC_zhj4D!xM;}iUztvI~C)pWAsui zcLmj3(MiqoF2#{eu=yxjm%!$$c!$oE{S=WyAnjH>qY34&_^T5Tpa==WxPgkfg@8SZ zRyq|5QuK?0Em$F*3uTDnS_~jm@hu(8?{z57_CO3%+*$xmgkta_#Ew*~rnd}Him^0c zw4#{$p%_KKdNB7Z&M(0=9Z<;V^TsONOJIvrOrR5|c*XM&xF;xnJq1dlVw4?Gk`yiO zuq7*AQIbwk9A5|NpyKX-sZ-&&OfNT672Q|hk)|l1-PB>l>1uG&74dVRJfe8<4dAHa zYidX`6hF}BJX5hdACxS`Q|hIUDI~N9%~s5N09%e?eKO#Scv|0i;@mCKR?Sii>pAdR6f<9LhSy4QdsyDdy4Us9xct zg4Cc$refNt_=%dGCPnlnK(oRU4$5_m1ltY84ElgK6<4Al-BKK(DR5g6x~Esrm0H%@ zk^BpS-&Op$6`uDL*42<&6((AhZHf?jAK9)*rz&w@(QhFFJWver06bKb&`f@$m`nT3 z#|jgjX+Kf;(h2ud#dLbt|4flc+oBG|rQI0uxk5w_p;Pe|Rh}+|s2hGS6wXRI>3gXd zc^YE3;`3H;dKAjxkX|YDLC#ljfZO>PlDpD{PVGIEarA(FQZlUpz43X0;b>`g0nmoj1|Y(7fUJbh zVC4kL%OT1sQBZ~|2hbATs~krySD4cK8Ytn)S#%t+Px;FnK!oy*Ww1pmy>~&1QYO+T zidMFM4P}f{OU>wh<*f~n;*>%c*y5G8Fenq0Yxlq*QQ7QR3}cegPIo(5nMTcfigI}` zz(M62DxZgxMKsA%mAffgnsPxPq{B)B<=1rOs7SyOrJCN?9##IV17s+N(?%#$sib~2 zOX)=yb4(dU*)ChzhrWlHqtuQB^SE;Gw+`S5rC|ZIxyq*R@d@*kufGc^U-=>wP@o*S z1W>3fpdpHs20frynLG>8N|e*OAe~emp}KiSc`hANsnS9>@2v8*3`pmc_h=(>UfFsB zQknAFKL~a~*}VjpeNlP-zyH6ZTp9Qyj1|fy3{a^ocn+viKB5b*Rz{A1RHK}A6CRh8 z?^D}*S-F@xf?DN0s%mx0a(c?wlq2YLw_aIHO;&@_uNAgN<>Pk&O-k!X*qW6e)xrI` za&iTfx0Jk@3c+oq)EC+oWi`Dxxuc{%BQCtFd`?f~o-*!t*jkk{=wjNG?e}mM50oEL z*?y>u902AcWqp6deyl8@)21iNK6DuJRM|?0Fwc~8Cc>dZ`NL~)=u#$c1iVmwvI@+X z%8^u|9o#R!m2DqqxehizNN>Ar;?yB}dPb(Q&q*F+^O2}6DVG)kEv<#R%Ov$-=$hhm+gapM-bqva`K0RpF=f^ z($;R(%mbkMtBjPH!c;wH!3kISQpdMXRZa&r5vu)E+#*%FJy1ree)0z;S`|#ETrsLX z+X4GkmMc&mP;H_b6|34X8xW_OLYw}0m5LI1g32uc<0h&W)k8{BIliIKl&mVJzB5JD zNIB@BDsDJNI;5IQ=ZC4P<|ruBRfnjM98tYR?yU-bWe5Rdr(?c zOXYwzRn{XY+f~8qaJ~0cXWhBCF`rda#zXs1l@;__BeOZSP6TzE`Y~;nx2w-k!q}l6 zmW2U5)e9P7^Hwhn1^B9moPyF%J&jtv-RgfAff=aI_k*-g?RFHl2=&f~U`DDVX$u{t z-bvXqTJ5zBuwSj9ZsmY_AMJ5s)n)W0>^QaEK*=CM-AqkWqS`S5+9b7i3?Nw@O>;d( zy*a475vlvtYFzeVwbxR>QT1D8uw|)jG~h9{VlSj@btpaN9Q80SxSvqR%OK^dlW4By zsY_@%Pk9Rs8YZ6HXN(f>**%csB33o+)L`sl&3DM)l_P(s(+z(xOHlY4U}tY z8*SYi)b7;wHmWuK;LxOQBxqJ!LqNHqj-~VHo9d7TxZhHrdj|Iw^%RK%oIC1F%5!(s z!PIWtQ?FKm)24pP0ov7noQLCm^_EG12Wt0vz(e&#${vr^cTE`ZiCRfr>{GRbQrR=L zKaVIK>R}tee6HT@1ZAiC`9(-w>OnNgUZ@X!3re@T?*;mQY|ekP(%jVdLh26IJfL+Dq8U{S2-EnC1~o!6j=ohB zsku($Mrp>=-aA_JjMh(#X39A(`0C=Qp@()0np*g$> z%1q72p16uE%?nq+G0g$mwPtHpzYp7S&Cs!6p3vmaf?uxYce?sK%`BRa`I^f8uoY;6 zI>9W|+`0-%ktS+9q+*9gN)@j}bD8$JCp8yxKs}{t90ks4O?w)YXEY;d!Io;Ku7UEL zCX0HA^O`$!X=R$jy#N<9v#C&D)R<{QQK3npv!_bU$pF}@H2Xe)RIQo49JU(GoLf*{ z(nRJ0E^8dmX){}^d4ZSM!Yi6WdM~&t^&FM-wv}%09A+>3;C`!8q z|33;i_ch09$M!(8nv(THO#+pwN189EK>1iRP>Y~XH2HKc_EghI&*zyY=~qPS(0oD7 z`*Y1^N*kS;sptiST^cE^;uo4RbiFS%QT}l6)@-M<#U9Ouc~HL6yrS)@tM+WgbWpcy z8>#HOX%Cq(guC|I3rHT?I(h@NP3uQ()pqUmWl-+Wo~Qcfsr6Msxl=o5HypgQsdU%8 zwfQSx^VN2+1^UpzUywGB&RK)Cv*$tz(Jqid3e_5Y;kQ@YpN) z7cq(2fsPMBP163XM6_h>(xnKKf=h#RP>;g#HWaDasl|}ewA0@K<*-&e2Vv5+ zE2y8((0)%{S*A9f-m+wCzj}h0Iob_-ARX5}q$BGS+Bch_%+)q*L6ki00?On0+RgqB zhy~i(IiMD5Pf_Ppq?J>%U#!(rr7F=bD2DR1_E>?Um#t=nepKeP(3Yg@V? z-PGQu3%#YC_Ay4ft=;z>YhX(edeH~7oxjH4Na)dk0$(r>G=$7sR^`LIqhcF(}>F5k9RX33Ozck%zQov!|KR>`fUAN=`q8-tBWHNna_IaI(PGQP)F4QMq&~?!hxu_dN?Ps~}m$MkKLN|*J z#42?ybZ4t{H+8U8>uwYyN{#MqT7{Q%|IlZ-tP@ert=0YWHZJChZWfdd;Z@yUR~YMb zuJkVBnl6ZrlInHS>9DOq=V^u1s9R|RrAaq|vQD$^@E2fS*Wu4TBj@U5H1luk*l&Oq zUDj?e@947VBi+^IltOt=cZ#+Jt-95pKx)%XqnqEZOQ*8uxUc)3I@AZc_h}+N)am{~ z>_@tzly4sE!dAiKiO&5CNKbX`CqQ|o>vt8(4qY@!&vk1lTBk0l7Jgm2EP6gKbWu%+ z`BJxowo2W)Y57q0=;CP~^GfGU3*A{CNjnx7hyD*L@UHq-B5>UG!?PiI=nv#U+NSr3 zfX8-yK7FlWhkiZPO;0^H5dJ&$_fCQ7rT@ATVZ8NEDge9m_Q$R zMkVNd=>8_^-$m;qOwx}D>eGr+af>b@ReyRuZ0Y)^)S4dA&&o%zO#Q>Pkh1lE+<-%l zekAr2!sGfhTG}V{eIwzQt3P%Flsr%X`TDK&J(dFfr?h7-)a&T>7U{co-BpNLfN2ylmMww|Ke9fY0{tFj+i&}-@XsqZT+5Fz+HXaT~O}nZNCEA^|{o= z-Pf1v01xyj)I~kiYv_dVk-i}U4v+Qj4k`{$^qHSQe5xPajiAr;Mf4uDLto(mc&>NQ z)~Hi|jh=3oJ|i5I7y7;w`=x$!E}&aqOS7s+pHK7el|GX?T4#fr4qaRfdYVVB21Oa% zw;DE5Xg7msBplogyQfgP@Gw}Hg1XJ{?Mz(EcEcvBA3F_+L0`7x(O*6ds;?n{zEb38 z7~KYGx1m=Ez~A8B2g(4$XSC}JH1zF;`yRtb;gEt1U*1Q|U_-$GC_@YILO=@3vN3=63SMjO8T4a^t=3kPMt;eZCV1BOnA6C7g=D@VZ?XUL&c5^r$l zz)3LtMNc`=@ar>BlMFe-0Lca=1Ed(zY2$y;a6AOD(+oGL137H){2dPI20Lw7ju@ux zg>=*~i2Ah*L&*v-GY!YKLCP}JQQvgTkQOi&VX_UDebD9@@@Ri~+|chYIG!-bslCWI zY@~jsz`!UG78-t&!=cD9wga|e!$WFrN(}iyVfS$>t0#d{YRI6?+gZb^8HjSuAPoic zykXQ(D9a3!XhmNze5M9mG<0tQrQF~~C$JR;*Oibe4SimRRArb$?H!J zGOQ?v(%IN|Jwm$}eW$~ z!5zlAO;CCoA6|yi+xW{^NV|+b(wg)!*3mNXH4dWs>SvtzE~MSY_IODC#&?!M3NU^X z4ZlF+=i>o;j1Ctnr9s9^4F18!>qEf_F$yThhZ;HBTkJKSx(6xDc;icWL>L>dLm6p& zL5VlYxG?|@(Z-*KV~7~z!ewCYH@Z?jJYalIJF8gZgWn;=86}N?c%z&;fCOVHb#IBr z-;ru336qTPoQ0Tdw9iJ+6yrqtT0pAtAa#%F#(o!|%rO2PYVNAOM zDc3mcJZyQ!x}ffdm{0quA1F31*#%{ZQ9ya-q;X6)F6NZ+N9y-Z8~>z+_>A$n3GU~N z^QlapHx^PtD>DXD?X59dC4fuD$I}tzvT-`ij#}d4tG5-L;#>4l3`rjJ+s7 z-8L?zgX0!sZy!+Z7{_-2?i%~kD!OMpx)#h<<6}AsX)_Mr^B9@>T|Wp9jlY(``;js5 zPry^-09s4WjKe3x)?r-g4R~(+mp)3jaW5^69^=mp%2&p7HbizdNvA?`F)ek1pQ~w= zFQjdzXSDs@Zt^Ka%pImyY9u{PRn&g(G+n0*;$`x690$kGH2G+M4F~EK#XaBI&Aw* z3KT!#0n-$!R&1GrZGR$OieMZrNwa2^dZ%vL#8|f{8LSP>46+IU8lO5 zVfsxEDbo~B@1C+ugPy|Sn8`KhyC;}2QFIduO>Z87vdEM$1W;@`oe94)rggcHN=+|m zot-spI1cx7rXG5ia^6(t3T2t8nA(nV(@)gkRG4-g$3T^)SxVTdO!z_@CXQ)8eevXq zX(i2=tEO?ZU#&Bh^o8Fw(_{y&{bp0@Ul6aG-W~wX4b!GM826@0Ll5+p>F5Ud-!@gw zgss&ir|o2$X);|*yD4x0;J#_?eAphC`u`2vL(`D?aDQeRB8Pj2DMbM3x#`S$*g8$O z>A0%P^l&hg-KK&Ps)#+Nl@#Wc=>VNqIh#-YR|?H{=~jA}PtvX2X0D_Y>FwrDdONnm z{4VW@cbV6ez`@5nv;Y)ea~nNLKXU-}NxRLz9mNnq=A_}U1)H4)0Yc2~)C7f^uYQ9l zd(EF*pe01CX=5us#v)}A)MVL7A8v5Q`y!mswr3q#(9JWOB zWx9$Kb2b&PRCALbAkF+qGAM`5v#!A*-CQDobi{nW64FugkCz~2n1@j5%`{Ke^g=VA zWsVMo_L#YgmSnbh><${NwbiiD0ZXSjqD$K73={k@E6toYlF~3G% zcerF$Q2xAZPNx2&)*R3m4p+>tXx&~lf06-Zoq01IBwjQ3p}wWwJY@o;26HLxiyF;; zj)$_z{5|#E&E_2f_+2-T9|6A`=1(uccGLX12jG_Z7@dONHg9y)z_G>be+t?==C3Ei z%KQfHrdvP2hHOtr3*_=0n$@d|+Rn^j((F{h;_*z8?zkwM_jK%H5W(27tfi^d2|_ zSn4RR1zK)(0)i}(I|vqRsh~6D5R1)^zEBZr5z^bHy_RpB;2384?RQYaEuZWKXP-ql z4^ounNi1y9mPfQjjj>cehP2-@B@|MuB{&b1c+2t-L`ksBXnyF@Zm0Fa41kw4Yy>E~tdqY5 z_*nm@lJ9GErVYk!>pjN^aQv-{*Fg-hPThwg03PgN>$d4MM6y*h46zSdpN)a`kafhnkW#J6nFyL@Re8W8-CD5}%1rBM4IHwp%>%(a zW}Ql@GspV-dMJ-uA6P&+VeO!apKE=a8pAwmP6VL9y7nlb(AtNda*?%eBcQ~3zK)8+ zNvneTyi?ZB=?Hq-I%+4voUw|>0M1!22O-*d>r?tLW!9xseJ@zQSP0uitItL#%dG*_ zwpCc0iomS2+Li;Vte>g?)z&ei;ZS2ep@wwHDx&;<**YW%%37->h)#O0Sijf`<27qH zZKWEmA5o3DZk1CDd&}CJ&N}W`H4{K-wKmX6-hFG{zpy>B*6aiGsdWf3pIQH*w_+XE zN;)QZZtchbbXtAs^+A`lM~QJ?SdUSZm)6_ifNrZRHL{LZ*4jvLoNbA;A#|~&iy>{b zEuatMW;;lmMR(g+nq?j~p&OLjZ2NzMa=R^`ws1Rbuj!!lvhAbogSV~a7MQziJI}!8 zV;eFZOkdk~bn>{{HmV&;f7>tH;SgY(D+UBQY+@rE_t^Tq2?(+swnH0g8&0V(+%}4) zz&@M71}VbUpGro&?Tc-I1e+(dc!@SQ>bR0@6|~_`stw#icFSRzo^#v&#_XoGtfDD9_tA4Fa>wrriMP zg6&~uh7EqT zwma(_z$><^U&DCSc3B3fv+br9aL+bz6&zY^juDXBZ0iP7ZELqh zeS!h++lH5b`oI=+1db1FC#f}kWSdB(=CN&7B$Q8VQ`ds>)Hauj_%qw72tbGJb}?*S zwxjPO=nLEZ4G7j_`<)ifE1S;@z*hSVO3QBcxir_d+2>MkxZQpqhlCE{4tplG3!e7Q zg^0G(zLDf1{-Aw4WxYdoZ^|C2_D?E6NpskT)A`5|`$|gTnf68V;h%30qxx51-&+K! z&_0UtMv*<2+SX!w_+RiZvG=9of6~7DA*56Gx9M2tjJ+5B6P~lrTn6TOdnEN2W%e#D zqziT*s`D4^6I>vb+n3CTUxhs=j&Xe)_o-2=u`i?&e#xHGA8xgFG4<+o_Ah^gt;K$T zvc?_zOUiP0?f2;=>pgqS`=GSi>!=lNvrngUiFW&D>fGcl@3yueTop&7xpr5gn4N%rkvMpAMq~V z3uPGpzRG244Sw26L(ct<+TD55V{lk{n{wSU8#P$Vt#5$&TO-oEaNR;`=hok$Zh3=8 zI+%Z7qG!9QyqPAU2dhj3cN_a>8A5GmNjks|7CjD>ooqLi?qD{Ks$>XrKLrS5&I@6S zV6NXFZZwOW2jzY?=qi+H_=ifMJk0+398r$2fj&^?vd15RlE<9?0TeLhT2Riio?O@* z=hz6!{$*^W3&hK;TMkYwTbu#u3VSmi)T`_j&CEKM6Ak7y=0y*@o=II{YhW3Eur)FP zrRFBKkak4P>_INzI@|gWlsDKA%GWp92XxAHiwzBg?KX>|ZlcA(7SX-C!%S4H@3Q{1 zYTDS4caHWx$=t?lNGY7|Log3=2Pv5z;v8B#E+dsYK`&C$xNIlDVJ?6&Pdayy zTIM5M+Zj-ga!Y5yKZ83%$t{!Xrp7&s3nqtS+$LIy+1!smLCWDW2f+O}ciJD)39jj1 zIOK6nE|BuMcsgGy;J&6rTg1gULP0&xCB#Bo#?5^N?FH_|ZYVEuTRQ>e+-$0{6oriRXYoHQ%kLyRx zUn}>1EtqXwXCO6U?OgqT2anul+8aOQ`kMfcxn(%y`)|T)il{_=a@z|RcZ$uO58*V^ z)5<=_4pKLV|KrUAW7V*jAqaPg1<>KSC*Cm+Q*;o59)24W)JO#{EH)$emlh0LpFLyY5i>a&LNp>Bn^! zLb;pEr)T2N&BM!9{OeBpet|e@;P!k0|3q#dt&1e?&7d`}aZZkpCAgSF z+`<&tQaMc)Y=^luC4g*h2`!I8?)x4{rQDJ?5$r6tof?qy+~!Qgtl=iC1mzN!L+w{B zmoOetnz?3r7T39H)ZgCV#G?Q$+|2)u@VJmbFduSllfdlcCOJs#;zm<@@q#<|8Q>*n z{u@Ev_?K3MapxlwLGj?5El0uFKf%U9G-#PbK~RwnS7ltvQyk^sPAe&%nWrt?Lv z822du29?Vb{33dpk;`wQUb28+O`GdNeimimQoivU*v|5IK7g%^_obU~f$yeqFY^~$ z0JZ$eC4ejZn8RRR<>LoZKT*eLCPI6SS5X3}=jX-%8u%tB__y&D)QGh6b6*GC=X>8o z>_>b#mAl8hA`8qX{Lg(MJ>`F(V~1z_Yjh7g_(3P&-o?kpg7SjzI{`{(fh(Pcxd@z} z0$c?%B=q;$whA^DBdEKeH4|EFt@i`=3bLdKx=+xbR&IpgM@n>&0xfM%qXelUNYR26 z1!Bht+L|H73YtHFU!33_dP$ooh^9lpBtgRrI3x?k`~ymgAaOY;2L-{A@JkbvQ8IHJ z7OeXL+H^r)1H=r$UYZHHf~2Sazi??D(Yfb$2baP+?X7e~{eIj?Xr>o`0k_$l@!^B`45G22s$lfK8zdP%JCh3`#s( zvH?;Wb0%gsbEfTM4!im_LLX-ZG}}(F=akiQ*_ziOIq*k^?tqieX3--mVB2ZSRLFd3 z*IdMUQ`IhJN9jzwgjM!On3GIN-)B0_z9@&Slnoh+X!UH(Y}guD)aPI}vX40^o0uCq zMijPY+NoVw2ikmEl2jK5B<+%cHvul6CzlFKq2Img5E`jncn@#DUmBsh~ z+S!T=|Cd`8Pr#Z{Mr&!N{7;NHJNX)ZF4R%+So90+n-*&`5MoK|8Zej6nT+3;U7@wJ zJmV#-E5=U)^PiphCYU$hr-S)_XHr|cC20&aj=p1|ab|^Y!Ow*y1nGKn3dbAIAqBB< zy#X=owP4Wqv)?v>e}E0D1H`f|G~MIbYBS&{>qChvgK<9rGTGw!P-ZdD>40pOP3JcF zC*TN5SqUirD;u4G2=sZc*%)K+C};fs#XZUuL+<5K0vI-#X4Hi6pYi)dAwAAXi>V4u z{;40RQ-a_BzgattvgGG&azr2eg38wy2_+bJh$RA^LqjN04Qu}!zYmXaf%VJNufsaJ zcq)D$7v2T^)VDX|_wNQp;ODd`T7Hi2>)Zg-YpAgO;6hnq#$0lqRYeDu3wKezS|n7% zdGXKG!z@i*2+kT;I<))KPVMhs16%R?+MOQoTKD5~Xx2~t48L#q;~9Sb-Df;Bo0bQ_ z*PStHPCVGj4;$fIRYaNX*6yo=p)i%0{mva1^Gj9wuKTe_y@e@yt z|J?La=;y_L3H|D;RBhG-(nRJD@6UUhn(a$q5Ya#mXrmc(d@o zpxnh)($>+3^-#C$%T6qS&5xa`E4&4rLV^{}sk< zWJBpSRTG==5h%^b*2|*T{7E z{jZ|_`1$Wov@_jOtcTv2RZz3#$y^TrcCvYt7roioA>akE?#ECDv!-N7A*}T~z+Uzp zovg&NS*O8_V}Ft|p1uCxzh{@owmpM*l)X;|N^Is#)j^NcBujpSpoL+yRYj*IRIJVyj~S%`ANd+^@5Y z+r4@XNA7I<1CF;@_jnjv*)zJ*Ha4A(KiXM;I>xxqw0A*yz&P6ZKV|t9c0ba4=l);?2GHP;Nxc8|gaOF5^0=9CA8Iat#wF-bc z=g|QN56&77+cwU&5wL?3(>?d(22-xt$z7zFUfgIufHzl56?PZ*@yBx^`fz;?Vt8Nf z2l_69AE#`AaW~gP)x@8x2?qplT1r8I+~A;^cR9VooA!cX+`RxmIH%$eWgpl19w-sq zTLEy0naQMY?5~>1m+|o!;;<;H=-4nQ7 z^pq00cefx|5|<%`GMU?31L+{=<#-D55Vx3&sa*Xt_@{AU;ef;3_)Tz3=ajubIl_H^ z7L=phb83_`xW?aL%jEpVL7BzL-UA%te!K={4)-_??#H>(D!>Wu*HkETxmQ%|^SD2L z1~Z>K@B@?uT-S65w1r&VT8KrQ2c1_Ib2|cY^GdjHDF2=0*3&lW6xa0~;56q&hsg2RO%-{SGMOLMT&Q;Bsk=UF4KTD9gE5;}E)n8)`tzN^aOGTtyX^ z%rX3b5!IY{8N?dyU=aQs^gzc2WM|=dzI_FhWqcETU-JS#fDRHa^1gJUQ_k-l45;8U zd;pdFq$?Pria(VNsODQ}8PxF4Xg*x#=X{1>wR{w<=_`E5At2r zj%%vte|rdK1D`#T=1e2sk6z3*@yizhuJdDifqH{qMn&o-?^Xxt79aT!n78@Y?*LkO z&*^|Wd|=S3_c{ICN3_Bp@N?4u5BWbw0Uq(GQGmyM5MA#RemWgxKE-7Np7EmXfDYa< z4e*?wtpRlMAKypJF1{%T@PhAM1nB1Zptkopn`6Ole0Vp(s8s-Wfx8F5L*V`nV7s92 zAbg}#g7)7q&}l(6WyCWAcWSK93bq&!<-Fip50qtsFQYKf1%WCI(nUcwEwplhxd84J zfexC@N-(ADZ;;b#5^c` zBd!;k`$NKgG`UlSFR3P{37=AaIxN)DOM`Ub>KOPR!5os1oN119X;l3VFDds}Y{9PdJ)ZeZJ7+CdMleJ`07-Q7C*k z0>&cY9y)|77QQ{X*#k2A2VM|~~w*V4Z?k)Hyu{SbdOJ>f? zA*HaVv@8#@zOnF2V~eQOJ<3+l1j}HP%Rnh+Z=b^Tmaw-TLV1Q2q<~VzQ2X%M*@|wO zOEoN&?sy|Bpw_O5jhGC_W;WCxQY)KU1!!ZhdI8$mz^Q=e?DwzX(8>Os0%jLGL=CVT zhlfk;Mo1(m9$YDPE56*fM*)7^=d>Z-&7Gto6~rvC%H-y#=XFe6(ROTP8$Fy z=Mv{5N(J|!2o9B8CB0p(;>58~R&%2Z>1eu!`0Rlm3J)lpjJ1c`u(sDJqPwl7bn|ucD=~kI$xJ z9KrWa`M-H;rbhnbFFvQw|3xp_Q;u_+3(kxW={4ixHG|-`?j+qhH}<#yhBy{L_bi@m z?h9oCd-nt&ku^~wPhuR+$z-Nn3T6tcJ_b0*g6U=VA-0G%%c;zdra>CB{R-(Y3mXkc zXJwO?L3@O~PQ~dcdqr6%gXyT%%4Gjinmfjx(>IH9SoSYaRJW}%OMg?ZA=y~>USIgi2VVbK;y4eTH-mqunRht$L#U4%R4 zTLav0uz{m7!YlT{0!Z7qS^Gip=F;gk;%+XM@?jA7_dGa+b0M_AW4LWL*y6ZU+FB)W z+Obe(aF^(GD3fcV0-MF@hH(F-HHT1ho;G=epq^F}^Iv|qjzLo2m~K!yJ4bEF5q5hkqzv|y&M@=XL@ktOn3Zm2C0j=8vWguF zYEAm~#&(9qf%$fah%SODH>8^Av>cV&NfB zzF@l|A-!atmmqaB6}5srtc)tuD|VAsw=J^_D*eKIL4T4%70!ofIDA=1&{pFOQ<69u*#FRlZK7zJ`6R!S&-zU!2 z;`d3Vv9L~FMIY{)3Ju1eGVC2#zddsoKYv~lg$VPqr~v#jc0V}3${l_X7KVI{pNnVX zIOu-^-X-mbCr=UVOD)aBkqNYY85Kf%k9Ik7inhA+CwW~_PDv=%-MrG>Ja|;hXJakbLn|5OS9tV^6D`# z|8<1=skJ3^TDb0={m?k1-(WCj7Pth03(KYVR<3M`2WZ}G09C$SY%aC1KJ2kKhWBOW z*J0buCM3cZ$iBzM^M9Z3FzSwQ(m;F~hj8*;+KYX&Weq&0#C=MaS3|wqoNp+Z{n!*cglcWltqg7kOlMhF{Ur__i4WGU>i#zc(NkuD0Z@fbpS7> zRUwQw6MPK%E|x^^CVbfSMo7NQNRz^k)sFk`Luche>CfJ!6dAx~({XelTl^1{d)VcB zpaiiOF?8Y+%zEgqhqCo_CHT`}n;|8!fG|*#*;(omQ`n%FfJ4k}60RbL&Dn&jIL=Pb z1my&}6+kZYr1m?HouIBZpE+HGRKTv$^%k-ys*pu&Xa+_oW`;IM<*W=ddp}TXSSZ!5X0}ofxXzS87j?*Lf9Jvf5nCJxc)|*)miMr1Ixv03 zRJ$O#a9{rd@ZwHxgwmTc3;_6WC!(PY;SSQL59RU#;J%j|^bN)f<8D5|==-?11Sli8 zwIcwL+%`(4QQRHM-Z5N8KQQ-mLzf3bdw@HC8xYIYPJm+q7kUgsVR!b}E09Rhg5mG}ala)mlXdB$02f84>vQyG8G%CvJNR;%lAe6HgUZ}aUPzVRi{DOb z(3>AYlf;KFi-w0Uzlk<9q5J|XU@w1=PR_#kn$xg_^P$V(w~t>F0f^uSQ+18x1L+n< z@tVIdL^QvC2^?bh>2!X(pO2)Pb%1|H-DezsbF>4P#=l0j;4r`NH*nH<4ZY+#!h8M> z&$!!O?pIL;543FZm@tAT)AKAjFl z^7u3=$NBu-iI58Tv6PYv`8(UF-zwre7eZUYJGmlg8UF_r%M1ML(NJFG1EVlRId7uF z@e2N3s&bY5qL=Wm;$?J8s(Ha@u+{JbM}T>WSJKX=mS6e?qTJ$B&jN1q7wMF%h4-!p z+~F4)puEe!{VwY@MtG0+{|(w!zU>6GZG5ah!rbSRyfM-%{+<%xEErV{a1n$HF_NpG zUJ2MLXo-Q+P4Hci#)Misn|2pF1Rv0=9#26TbptyEH!Yxe3GUL-jJM#eRS4}P7{3SZ z!GcqI*g^y=7orUPSBYM}g2J6ux&S=brW}yBvHZV4_GJOIMnhR2FDPSJcYi4Nv)+DS z9$>>MNjqX$`y7mNh(*w8Y%0rafi{g@DSwc^qq>6EL;sZ z#q#JV`ZRMCfq90#LyNSOZM_QVEIUCn>m0kD0OolXaTdxlmPB8hxWK-k3372Udz=Z6 zD=dB=#H-Ac?r|Oa_e>7B(Hht*s?&|EgbGCy8~rbo57@fJkRGxRKL)sP z8|e1Ca#tJxH?EA1)O@%$bx^pOlRM7Qd}jUegRu9 zXQb{VpIbv!u#o%8L7VzwF6cW@PjW}8V>`_a`U4)N+%NA!*~}SyKyBsTpqr0;JQb97 zZYI5Nz0d7m4(01;d!jG(mW`L25G#QTH$nl zD|I19`EQM|W%7UKLMr5csl!Mm{B~+PPw`?qpq#%u2vM%^?cShV<#&41ze!fd-|7cr z1ONJZ7#sQ4g9y{a*HRC3m+wzqP5Tw@2O9E$xqb6 zp@%Byam2PLGckJuZQF(*e`+2UvT3ZwKai)c~t#_1Y@W=h6rNz zV2Hhfqay&}g5%USr3liF0}csFC`ICJHNCh=7o0r>zYM_-b+8o(R`>#n1iAyTl?d9~ zVLL4dpc`8*$lL;Dg`iW75h?}J4X|Akd~bk*qh7Fi4a5e)RjR`+f_qkQ9ti>)p?oa3 zO6QDE1nUn0o(evXgvT?1a5^X*g4a!et-{73P`U|g13_^YN`AnAyM%))VDk~4r4;Ea zoIVORKVildFn0@+=%t3g@WmNO0S;j;6@ftE{W@^=2-O^~&}a;JNVwhrW-7jA4@eV=XgM4frqao4 zy0DO@5#$27nH*+JvGupI>m;L608;j)#DqFUm{ z;^{j!?yNQoHb3S^pL91mM@asxfO_n3W~Q^meQfkUaEM^?JlG;xQ!hXiEA2$+Xy$nE z|Ju9m@F=RTzxUjkodrUZ0HG%ssvtxKq=X_MAOR9X=-q@apmc?IDG8xN2;ou!By=z+ zC}C;A011R%M2gf%N0F9*6y^J!O*V|{_xSogpMKvTpUbmz=6BjXb7$_k=iVKFmzK*# zmruc6Axbrd#Y!=mZ`3Lg!Fvg-MSBm()`&Zt@Yf1|uCvyOPXfXGTEs*oR0nLXZtT#x82=Iqyrbr{8M`G-HpvU3|UY1AFvI&S{G94QO z<`k-z8_Z~`#DjV+rPKv8hSCOsIgd6jX2^pi-dIBRaE6t2Y`)bcgRw$RZ7U~Z*<{Q#0mZ?8s6ducJRX!lXKZSZoO zqCG)Q(4B%{enYR9;{W95B(3TQd;F}y_3$a`&lCD-Y8V3M87j?tl4q$o?-6}R@4gFk zo;vM;{S6vh8U{D%$|f*xQE$GJ52@2?kPK?Y(+mE+A)bL>&<4zk$c0I~h#W76^nm$P zIWUsP|1?><8dRstPDlsHg@=xV%#`XV$O35_2g8LjpcBXY1ZM5P0pQiCF%D*R3)h6Jdii~D^q(~x()xRcLE5mxYdCK;>pb*oMYR!DFkVUecyuLu>e2oox0k& zlFrFt^X3^``)5QVp)5W>NC%(3wr)qhJ_C$9TOyhx+hpFPehbelFQ~Q!$1f zbB#KW=5zy54lkfFw{w&eH2Cm9O`6LY;_-lN3Ls8|Zi(&_=R1?h3;%DS?;RU(tI#V6lmc z)CV(>eDR|eQg9Fc)z3jm{N~jbidqe{m7c@{Z6n7BknI%R2h1JRnL8ngHn#)WNhyWE z{F=O$LM54o^48fd3S0oPn?5=LvWJrGPobJZ?{tPvDoy_c+`Tm20NF=%c*0Gipc|0w zrwlG44p0QoUk7R6Ado|pUIXMXE$t0*gyO!3!7&QH3!jVS#^xYPWbz`IFO@gu0maMj z?z@VBs$FoYiFPrpFN~7Kfq{T~#J6SOX}`EW7urWe#Y(U}Ek=|F^O88X2h3}t2|t8) z#K|uqdnnHS4Dw7ot_5ZU4dt?LHeK5U%_y3m2-kCHz&NNx(>Cshx%3^Uj2PO<#p67( zKYthP#!@;zMG5qxGIZ9{&zqow_pj5z+(MHg;B6~u??bkYCYJ%)PP^ivl0-MIquNO^83WRqp105GS>OEtla zmR`KKJ6B4sU1MZ<9`j4()J2fR$#%Txj+f7#+F|jT>@xx|LAK8evReT)cX&@aRaR>TbX2DDO6QpDx(3dU%R+IGos(5~u%4Is0$_eY zcH9YcQI4$-a!FQ-2Khl+dh>!FJCzLY$SYi0J(fjzwEip;dDZ+x#ad{S30B+Bcy<$F!+YkR8{CB!KyiHuW{2E7}3Bg|2GL zZlFE;HBAkM@Vd5@=eHZ$T+RnKwFx|j-O|?e0=li;8*a*K)>Os3%-RgNyVR4S{0yP%hpU>l2A2gg!<$U-pV^nF~M$Lp)ofR^d|xf)rn`|zM#p^w4s$It%uw=}p}rI#%Uon-yQ zPzZPFNu$Bsjek`iI(zgquBTJ<6n@xJ_04xc_UhO9SAu=|KAs+r>nC^{<%C|CH`u?? zy}05&sZZh!$8Yt)Z^3oC{^K>E@AVB_n_SWJr9$PZ-nS%AbJz4+GeNHFeda@WLm$Jx z>fY4TReDWq`EBhA*{^zY1@b~C-fo?2{e&x%Db^Do15LHQaS&*(wdV_{#8}7jGhrN5wL$NmthdIYJk>w9HshlH3+qKLxwczp)CJmMZCVW~ zN!E$>^PoGepDcyy*VbY@dn8-yRpNfKel!@WyRBZFZ}wQHbNzAH+Tk3~G3)K-P&sbh z&4ute>-QY?c`L<1<$^V-DpYP*J8+4A)7qbF&Rf>lryv>DQ+yGb*8GP+9$BM{bM_i% zY<~dZcw;+HY!i$jJHec2jB5^^aAUv&kO-rA9WW;u-j9GHjnpKN$wsSXV9qywxel_x z_?kO*p`l*}S!ARygwI$bViII)j2JEs*BTq@z;2zfH5SZG#&?5x`ykPXsSef6Mm+DD zIE=8;P~BoY4F}q4l;t9On^FA{(01ec3&?gDDMi3cGVTlq+G*H61NquWJPa?%My^vJ zM~wSiQk^l5@(@cmn(_|PEhBm+>~0&ic<%baScmnIUC%JSSc#xAjc!~kKQe0bNPlbu z^Qd}a%sB*Rgss^Us7$g=84eO@EBgXYC)cg{n?^H zI~Xhyof0976|aRsbFp2-JqLG*h~ELSR#d76vQDJ(*2ZTdmN(84#T+gnH;d<^z;uXd zyo-lduNQ!96}xy=*(=hcQJ$*Z+lG>q3Nvni67rBO7G^Z6or zo&@t)I^_%IVhWD|a}8aX0E4yk1utFJQ6AnZ`;5-;B(a%3?g-+b)oizgO1%!UhsyFT zNTJGnEva)+OQ@L!KAVbQ*V50050$GHtoQJ3F zD7kqx;2b$LKYT~aj;mlXSMHb&5+gkq!f>7}>IF?DSM&DUeEERap$p_JPOl5)Mgg=) zcH??BR*vBeu~?>T0$L&?_CvN*W{d`jlMh>h#7obTAj{;$qP%QbE)%$xSRpq%V6jpr z-UM1DQ=X&2)$%J|ntUbe{D>&_$uz#+G`Y(Y%>8m(7z_@`S$DuZD1&+c9g_R}Av-LG z4*>Is++PgnsEp+OjbqZ*0?gxb3#W|}^18nTgYp|W`!<9p<(7UBek=R(PZX!6cnw!^ zQEs~h`|EP>TVQ6$8Ev7NDcdNZN3tf*ZI5LJPt8BeH0&c=ocrmUcvi1-<1rek`wds_ z^>()d$KNs!iuLbS2j`t-4dJ)(f{^!N;WYY{Hy_%c;K{c`gX=i&c(FTFI$f`e^Uxl{ zaX!eGv-IFxoR){gtRS|e<*1{KWDH$t5z^bHm)flc`ct`LPyZz>(t~vCLgXrG%c~a= z&2UmOpX%9wJk?Hr@+yBHI-0Z>F#6<&FNp!JSMbNF2wv{wZU-)ey5-*@Bjo}{)npDfJ*!|k#A{47wve-=l}8@ zp8sH*!w$mJRcxw8hspe8Q|f=A9{nAiO=%*T&mLKAvyANeJo zoK~;#F>uT#9E105z_DF0&iPOFI|zT*D+qQxMStcUgum+*1iSci?;v27_*-5<2>Fxm zApG+R0v^hJ|Ga{L^5UOY5dPj*5E}gtUqQfM7xHdNRsXWNHdNIKpyBoh+c5%qpW1?B ze}j)7eze1tqW}8U&F>aBya4-OT-~@WZgOkNUWdH@S>F8rUf#U_S1)fULX`+4U-zoY z=Blc4WmQ!J17B8Ed2*^M5BI7nFIQFT0_n*sK2=NwxVO&Bn8Y9|mz@*xxRx;Ilt@$4CO3fq-z1=Tmkg(GY5dh&2BX~IA`FtDP^T1RP?rC7_6rLXEakV>dCeW_g#>hanWD z`M%k&uZ1*Wt!I^^-jjLWtLW#1?^#>DK~@X@u1xbC3p>$7O{`F*yHind*-^7Qg=(JF z%UNu4fe_{Twj`0I*<5}80kso>N)M%4B0%|v(it&O6$zu7WUJ_C9Y&f}_^RLmw6@Ti ziZGubMNuD@b%M%25M~=3%?46{Rh(3FKc*!jMZGzcDu~Of^H8cQo;%b~^3a8sS}}@7 ziVR1|(NtTIM-@l=PYC}`vZtfk7+R>Ikptt%SA;w6j-&OO=glf;EX^XyR{1|t7W;Fdv0vf1 z;e-DzNf|W^AIIf}`t?wc4|)!25!$Nfun+o%g+`{X)E%iSt+)TBwlvf$I==8VIut1V zPMbDuyEksrs(a%`t-H7F(7jb~v+gaLcvq}Gs#a4!Z%6qW#>~Q4!mF>|GmcmC!rlRY z8v0sn9Y7o9vxU=4(I}s{#e$!BouB!Td8nWeqeL!N*RbYap$@k+iss_e%a8`Ds1Tz} zVQi*4KOQ);G2`mWC%`CFicg#4aj=1Aw_#yt%nwvS0Y=e+d>T-}V)F*uDN>^Yj1oS~ z=8reN?AV1l+LDY_Vt`Sml8fEfO%1=Z2HrKu4yFp&W;L4|#>+&1C})>u`%pK`QM-B&r_Me{x8>%0lVzyVTN!0UJ%yKa zit6|^+v2NA;ndEj{NW2LfUgVt;v62F#x5RykNXXFMg3>wJUFrD%&||%!j*FvkU7u! zGF=YYfCFQ^L0xEVRIoQ>9zH$rWmOmE*v;70V=lS(e<*j?&&Kwg23=2rxdCp}j9pKz zIk1Xufw*J$z*+v%ucAQXm7Gp+*Zp^$;2!f^Zn3z_-EosF{USLR$qGCK_@Ym$wSh*7 zoY>rBalcM8PO1EIB)R&}HL@6A{x@<&;0y!(+}{}s{?C=1q50oAWP`Wyec7=VOHJTL z`7};u1=WKK_ItGTczdft`dUHY7D*|!Vh9fRLK!%AQ#uha$m z>Ao=Uk*&@+95~dZ&ThJ>79mE3QV9@q0mUu5Mg)tQpP^=i7_YYa5vTlN75*~jf{M>s zmGKVX0GzvAxb(Qd;&T`FRKK7Y(c9*+SjwqV?Ql8_r}dP7JL6S77N>nxSUaPFo`%y+ zDhk|O_i(zmn#EQ?-N7uGixa{rK#Gd@Qkm_H{KD6vw>KIHyA3g*DSU*b7LH|2xHYhs z33E!T?1rnD@LP~KG2x!TfhIfzIKYJAk1T6cY6qktZ^yL`2n%s#b~J)Tt`5*8#8gr> z>S7cs$Tb-__<)7uJvF?uF}Pp`uF1&-doYT;43*j0XydcOq|HHb&E`^L>xwF@i_s>p zr^sURcuP@!XvfYayi1cUxrTFLwhSo$60E-4j5)!YF%Nqe z=2*S5r!T%-FSVcvdEew6;Yr^a%6bUBlQ@}FTc_n$@HVwu# zPFB@=CKg-uaKqsyFH^Ei`nsvCaKi~Eli5y_Qk&dV&X`n|WT|j2%nCQ_mO68*lTpC3 zI!lG~qgln9Z=~~6!19GtrQjKp3is_*Rk)W?rr>!u_D$8am(d_^$?7j1+SUE`NMqh6 z7T#O2c&o%-Mt7{;3x9wdxKY*kz^G=Qh&zm2W+}@L5q2uNn(({8LC87JA%6w(5MaAW z-XFLyZWYhhEdK43R{3LV!m%qT|y(QS082?-e zI4>7?ib>uNI32l$pDmV;1NO~{URY)V^KIZVpdjS&!2NKy0b&c zMj5}MCcXtZ#Sc?~D~>$CXS44*w_6Zcso^ja(JF?m2bipTK$;7HaC#8zD3hD>z{ONZZ=;%Dq={VseLCEPeSrs?@SDINs>I&7 z11++5B-ndLut%HJJ_Zg`nNagpQ^(B5VD`D0a9?ONqP%Xe-#FHuLW?zxrSre54QQb zPXm8wGP?s37807>M2^ge;9z)F@P+ z5%^0QW7JkY)mj?Z{YvN4W5W&0F%>k@D5Oe`HVV*N?bYj}jq+Z@`Wu!mImwx)*R0Qf E0q5^O;{X5v delta 70681 zcmceG-w+IwZYZ$cmhlHgXfIK?Sif@=#@fYQ=JX$x&BJGet2fsF)$J0)mv zcXu!DQrzvn?_AmW(eIw~ocqUj4}I1ebLbq?$DDKRbkpOgyN{w0qD8&YF_|syqKbmY z>>gbuXH*0bKAOUR0{CcHN(N_51MKK*jopB7TgpB7fkwegIemaSY}K+5u8eWb-l zin%dhQ#{S_w8YaI&*ymB;%SfPD?Hy6PW&XgRpO@Te8Qf1E4m2YSz-!`Qi(NU@;V;B zi&pY0%7Ybel?Nvie~T#=lki5wM1#`%O+xN_nut|n@&W7eTErS;s#D_Ln8=*|*Ozie zs!F0rQj-ixT9R>`R`1)I)a#S3e<#rrn?ux1%pV)$==4s>?>-!(DC3m}=MtO8784f} zhr~t}{PUfNiI0vqDi55{ijUr;Di2=2&QsqQYnFN4d1Z{X$kg@3gRwcXB)sZevzQW5 z>;3Z)?;~nK>!r4c-bEyuau&>?ycBc$|FrEVQ+H#0_4xkr+v1IVKJBhN==su?`e6OJ z#D+Pu*y_9y`Lx3Z=vGPmC1*nsp13n-V{tbzGFR^U%8Eg$N{j=%V=t9_-a}%(5@P`t zcxkCCCQhtsIV={O{r!DG4KVT#rJy)WKTN60`b{;Jua(Lj!_dAf-ajAp{{8dQ%ckXO zZ5H(t-xez2Ks%c^p^4!< zXoULcX%*5+3Nc-@=;=}vqr!hivHN>PvG*`3O673|N&4`mim;mF4T`~77ot(+!9un% zzZeu%)qY2t8-gbdim_l5GE`HE;70}#5hyu9RaCP!;)Vb)%?k>}Xw<&_;b%oPn!cE> zDuysv)7Wtp!vyGSxoR_+NfnA#^h=sDnu^s0eKyiz`3%Jb3ayxCH5H1XgiI?Y#bQ(p zCB-U87){f&!NrdS#m%NH!R-c-M=T89HHa)?Mlj4M@`}#EkBlNB-{Qq6wX1QBCKx0R z!QzD7660XpS?dl8?+n||VPr28{J|({iw?n6MiG<0{yw3EWmomA5@!I(ArZ4FIRQwt zMC^+F+n}n6@13KU8>73edr@vT8E&i1s|Y2c(?35JivUJmb;--7dXAA-Lp?{2RL{Dh$gie)7g%Lgu?D+`iFV(*UO?niwS$Vrn#8Iq z6n0JBj|wzZn+nbiX-YBubql&TRWlfRON8B0rMRhLZ*Xo)F|+AZG3eZph}~SfdLt$5 zuGDdx76vPrM3zWd%KK6^+_Y{IR3Av`2-EdoSCh!&mqmRd1qvuy6||atXmGws)T+9W zg*j+ou1-^(G$4lz^v7+IMh{Dl*Ywk1aE=(*kbZNchH&Ai6pJuz3YIjB68U9?os!ad z%uVRyX(^D;B&+L;UlOpNppI%5<1NTX)F+!nC=Be{&fi zJ?WFxpQ$U-zcX#~?@EQjpG@2RHyXj2csk%v4E2KZEF#v?aVPwk$!@6ly97^JL=mwl zXts)v{nPgt6yd}?q^Qnk@ffCx;dZd0Rpc!AS6P7-raz{aa5>)0ZFeH=e18F5&H3mc zhMTiQSxgCvVNx)~D#Gg(Urf#xtP8? z#ZsDSyT2R;w51HwZvWbkAybxVzu&zM^m0r``0cd~ilsc$+58P=!e#}gqx~&|<82~G zAX5qkkQ7z?%@PcXwG!Dzf6+}su~uc;>_6Yvs90+-ZTGjbAzhDYx4+0xq#H2pR}3#- z!BC@9C~fAwJy8$hMN1197K}>qsyt*A|FS+vzh)X$zBOP}#G61#2t_1gJgdEgAxoXY zApVjZqnLYAD!G|eQL?T(k5Hip>roxuDQn_9U_J9gO&uK<#X*i>Oaef}96A($Ft7g#469HC8cS0jF|0 zM`oe^sVGraaPEWiVa7`KwZV@ZB1=rS9PqP%=)#iYUydH(D0~5N8CD7rFA(hH5IOQB zVg!hfq}X(jV}Blm8Ym+6qqK(6s{&C4kjHChaFGKGRol+sH4+IR9fGV#?qP?9tez%9 zub+0Y?i(=JR5T1@1vQBskr_J81V^Ddx_YeiqPdU@;OMHc1@c0;gBFI&e%bTH0OljpvNVA1@nR1;X^K~{})tpJ;;;1p_URN4}e|!b;5-kqb59Kq4CwWGPmvJOatK1c}N@ zt~9I=B0*G!0Ng@rv_*ZyYAcZ(BWhzvtE0b;tOxE_P%oi(6TRT9siI0`EAV~0zF#3iHJ=}|0f+Ssmx zpkfh!fvy=YqBSj4FMObyQQ>20sum8;_MnGFU>fCPt|bb0-YFD5R+CzoYY-o+O)Y$) zltJNRsi%HaaigN}DV+4DQ23bQ)WW&48WcVzm~FI1D11zf)xz1!3x$uViCXw!FQM?U z)>aGK`WO{H)|h}=qy`psKDOM2|N2@ed`w@eg|DMA`Iy?N3Z`{LgIlo9r$>X2=NV-* z7zYpeZ!DIc8;j8ZdNlZ7gn(+n+K^)iSQ)XQQ9J(}!_B7#*Lj7l-2WI4G6*!}`UDna z5NIgcoW>9Y28B=L=`3S2iyoV41HiHtGG0^V;>bwEcthn#S8R+#j5pQ8NE>Yoxs10| ziig=4i5PE7F|&=4i1Cg@>~=;X#=BC-ZCe-|?MshDjQ6E#xQ&sB@qv_%uw4&sheI+F zF+Pz3h(zWf3&+sld!LBX7eb3%2rU^4VNrkjLZ~%9i3Qb(zKVX+HY+OJA{Ro7TnH^0 z3t?}6Xd$%7h0yZBLa24m4Lz4x8=eH{=0d2AgcY%DPWEQ`5bV+h%;2Kn9DDh z0^f#U3;i$?hYPX~X*lJxY8k%Was6Ny1x>QnkK> zgl3ouLii<0)F$B-p3Fy}zYLnbH@SkFk(+P^&t?)iGX|7aOGFfe4L({UktNj4O}QiL zgTzN`D3r4p+(sn}V^e*j^9~GdbUGmu{ws~;V-6;u4>vmMf49+53)T_X+MVATl@q&sIl9NX8rDBy5@FUd62MRV>PKBl@{m4~8ZG!i;p7 zu5k-<5j5mDG3n#PQYKg?v#4FSLq2r&a5WS7R<|)yos5L7QEhbkC_R3+b9-Y<-`?1{ zy)mY5Z|vOO7(?3|%eTROnbWs7#`NuteMzupgecKOjN+Z!k2bz=>+0Xw-nHh#yqfi(pC?kzK~^njW|N7`JPB-0oxC zuIX{Rk8!)E$L+pm!GE%($L(4}*0kBjxLs?)w8h7`U2D#?)yKG9YsGYW+^)6x13q}2 zTKmE1gg(aYnjW|N7`JPB-0oxCuIX{Rk8!)E$L&7G?V299H}WxV*YvpE$GBb7<8~k8 zc1@4leT>_+csjtio!<2IA!Dy!8+4TpFoxHLFvL`RU4kdFiXs&kwSvkda&3y6GszPq zJzSJciXmYEOiWJHO-ygYJ%}`+Zv$YWYNXr-{1l17nF~ErR1LdA>-eWHtf|lmFSJN< znD0mME%WpL;G$u~1Y*^tUMFysEL{1-glxGLa|BS4Y(TV?wJTf^6PO$Xp~wQ@9zhoO zU|$ay5mPZ#jVd0@mJOR|E|X#r3se%KX6T6I&oc}yqM^AUMh7q@h(+XxhB5Ex%3>{o3uC#3Yp{Sur7(tVxqb3`iTeZD6xfUXb!zhuc{ct`kA{1s5M7gK^+X% zG5XIsVCW-Gs4dV2$s{FzEp;e#B11)1n{-e#43}}}36*l=m~D0=2Se-=s+d?TMenMU zLxut%r#HZ0S~3~ZGtbEwOk|hn6F87fI&d9&dR=ChYKM?XW~r!4qU0r6v(Zv7C-PQu zj$~EDNdi!1Djtzs1pz7zRU(THbcJkqd3H`+G%sioJ*nDAs{T%V75PmKvteQaa3yEu zVAmWXORQ|&Y0{30wu$IYy%|!k0&p~AZ~^4HNVzpqt~KRuu*mDAy|KijSWoMv-YThA zorQUk;X6tX-&L%}dL_J&rcP4zAx*uKk}ss{6@O)Hb6W zQ;n3IU(v_AMlRg^_cb1o9EQDIxZV%%N;`+489twu?iy+7D4Hr_D)E1$Y76A6#6FO% z$_7sF&$VGkcPCCu?@`i7oID^!$1vkqQ#6uT51Po?nC=YMuFwVpJR^sGatdZky>^F7 zJL6c}vS7od?nmH9Ortv^rRt)z^)bRN0^gJLL^_lTVK16GIH5}8-soR@6%>A+A?+;H;~TQX;3_4r$Modod%JNod$Kd(`cCMKRXSoX6!U5 z&7H=8T>sf=Pyjm(hJV;;Y|QoF?KB?b%Ghb#gVRnK9%^liVsiYicN(AM7U2PTTxt+>Iat35FpZ z=01h3u2y1VD>YAf8OA$f5`BY{Daw^B`aEUgq0_NoQJE9#KNb-GG?q&Zn6B zO0gl}WtL*|D292z1$E|;j&ahE$O5{z5XS^ry8hTb9o-8wA`kPF+z2;B!i_AFrwXYT zfv3;!OPPhVdT|JY0THKo)ZnJP!e2mU{4_mdZp_3}Hk@df_2({K74e)ctB&raM7o3T z@?v6=@_7Um>LAA`sgg(NI@#sCkWX+r>ra0o$J6`Dk_}V|!-I7T!!nyRR9PCDAw~00 zw29QED9$Dzx+p`mi4@JfEhEz=|H`zPRD35bRSd4p7jpFnsTPINs^s7XOY{{o)ikB^~K2#YCK5p1$d(l^ooviJ%ytq4G(FO7LGQ!3>q5EJvzPzCae3bC69SLnrlL zI;rV8QLl9sc306z$0usdX+Sa3;xktvW2$6{qBnK5JOWqFq_lkE@M zc_%aZ1Qn32EW5=!Sy63)XOAJS$fzWqcthes;wh}K=oK))C#<=uBxB+=Dh{j$=`-Ri zZ0`b`5*d*4U(L)|&T;bf|8ZOTe`8l_`S-Ro@c+}c6!&b#TjD;-n7(S{+d|%0Rw8!$_$5HfNyKfh$h~rT ziTLf|L@G!m!md)MqC~RU`_c3#5{b4|YYfwsB$CTMft<=j3Mu*Q#o&^$ieweCpQE{| z5-Dn{)~Gl(pb{ymSaJu86%sk*HG`&KGdOw8py}5PPF^!;`Za@-*9=;j*{B1zlh+KI ze$C+IHG`&KGdOw8py}5PPF^!;`Za^IWpGI$Y({NxM2ulqX@^H7yZf=j?IwZaRwVD` zaf&w#-jHvmLhd;rH zZz=sY%J9FbR11(0CJDb%FMKrHDJCQS*E_BEGV2>>;ThI8(wc{Pl#){ z6kVtj>tckYe<|tHSg2_qWcwY}53>x@q*y;GR)Jz0rC4>D%XEruq_vGwY$n7q%NSv^ z#J5YeTokzZAM1hS-PHR>C(nkZ(wXpz;N5|UGH$~1^#DCpB*$U-^37r)qKr(pfS7JR zhun6Y1MW{`(RKWbaNzCfq>fJ{o}H!8@w3G1uBe|u2*c{+-^51I1&RX4A?t8-IPWyN zr=j{o6VadarZ02TG&=n!g}tWW;$o;$Iqt)?(3m(wCkjhtedO>aS09swGlX^^KoX6$ z)B>Tc^Bd-niK>ld4pWeWuGCmpYN&W0vN*p>%X(ighSk>>2&Z4aORZBGTEAy#@iIru z)%Im%)qz?&S@OA3$&2o$XEirNiL1QW`9W5bs3iT{DV6GFC3$t_C9H3HU6iAiRVQ<* zewCqBC#042<+5Ox61dU2#`f(NMzNAvLj9_{%&aMJe@>R;XNlh+F2mSg!cIcIZdSY^ zzoWnvuKD~|3Xe#B3kR|lCga*F5Y*8>N67<<>lEt@wWz`L?#4+4!(m9!R8r*i?V}^J zWHC5gL~_>d!73$1mNK#+tEGp@P}MzHD^C|s&;#!n+Bz#W^`P=c#ABJP!7(L8PH{E3 zvLtRVwKRQ?`a({kijqGEHVxQ+{VxS%4*8AL|IR(Z*^fp2eDY*I{Sz8HKcO)mGVs#C zZRaO6#`I5U>^}wLO5rkQQ?PR>?7#K|rvP)w!}id}F_!kh9i{$P;-H$P(?7Qe(?7Rx z@^gzY{c{T^Keq_0${}EO@^g!@8cf@r{M;f;|J=gK&n?0}{M;g}5vMSRJFGbQe`5i5 z=RvO$i%59GXI%XZz?vnEmX!t@-TK_Q1 zD2(6mcB?|@w?t+9Cb_48X6`~i?)QO9_#nYo3;AeRYrVYuuZ+P_$oMx{5u;E%(O!;8 zO!jbh1TxDa^KCDfF+#kEuY+yNVa}F?=qc!(h|7|M$`C!pA<8t&Dl`9GMn>(TV90M| zO-2l0xp`q5+Qz-6d8s;1SA}_wd@)a4go~Je;KN5@5R$pg3+0CO!aw<~<%e{+$S=_oaplEMvMr+$e$e$$36oeydgvDo5s|zsBddgeWtEVTRXb+2 z-~;cjXQ(WI$_JIOAVX&wyXH=*qX&4ASk&}N*ctM42kq{Zp1xz3iMT=EE_|RAm!Wha zq|}5hazO^EIkHn}Yk-yK{!g@&+%H4RZTPUh-G)%JE@wu?rHa0StjbU+o~{zPCbMjbLTs?G5`@Pmw^vEJ#`Fff$S$E>P>GNbk~qoZs= zUV&o@!y}TLW+*KSDe>wKGCVN~^rcode)l1^OUerjBwaHenvrrKZI_xeWCl~&Ltn}a zxM>i{D>5>88OmTCop>pu^legUCX4Sx_YDn&o9Rk%B!5`XPW^BkL*X1-xRBJ*>p2SR ztzLXgKXNEUu3_;D$?)`=%;?A9{ZB$eyJ|@4IW1L{Qejw-m5AZ=ufH^{cd$Vjru&DK zexp)C7WK!;Ke8g9puw(|)~j%BL&oGg$dh)E6;>-Kp6JDuXjrn*B?q z8B{93CfcAa^W{xYD4X>eI$cA)U8XBtrElL#-|7WNRSuP)e@N!M zru8<+i>OL3!S;~SQC8n}8OJV=N{O^``U8JjWhkBgR~b%g8Ew#>eJ>NH-ca8gk)e|* z?8B~?DHOFv+z7U<5-LIQbeTvC9WE|I)P2&K!mON{(y-nJ&od0y3@PPi<~60#J*l*c zMQZbbQXzaVrWd9iaI-|=_sR)$x<{zP# z33InISfy&HOsOHApXp7ibnjc4(IPfxX-v_f7&|{sf%QqCw27596k?HkbSY-F61bjK zX_M^rjk4CHBV|qGo&-0mopqq&c>^&Z#8F)*G$-DicsZ#sAGi|Hhh6nY>4hFwd=D@5 zO8QZHA>ty}=rYXZHk5Q9eoXfPOBG8tMw-xTY??F?(8=k;su-JejLbM!EDUAiw{T*E ziQ5jG;+dg>p>;c>3O2~hYd|zhe-?6sR7C(Iw=0{ZUVw%ol33=4GJB4GksO7)0+b+| zB&YW}Y2#tK4JF(0;QeYiG?z23*G!oyu%%bF*UW-G1fJDK(|(D2bB1bD$14z*)vx0n zh)drxnXf>9JaGJmMRqUF@d{=m_;yeC4QAVz|K^bos#i8~8<|TQt;k%zkoYO$vJG|o zIju%?3Uf&M3HBp2A`h_ng#-Wf$cvu@&Drul zZh@wXx$d?whZhREgoXg$tmcgQdGjOK#DWi>?6{IR6%m9z*` zgQ_^>-6xT3=3Q{uxL+dCie-P$UQqb#nMkxL{cM>?E_3?XGLd}d^rK}Wh0N(k%RqwLYa*V@jp)Q!9lvow z?pUmgp`sb*?qxw$`ht5TzbND zVTU45fF#pAFYi_8xO9VT7Dsqb(!Qr1`e_Rb2$O{W2c=+clgxl(E z8O{CgPelLyUFd)Lm7L*UzYt3Q0g$m7KjEo$48_8PjABp0TRQ_ZaE__-NZmj}39HZY z7HN;XDK846`pNx%$l6w8X@!zoxy4j!x}h6dWC*UzT(`e{@Qv)guv zXXaN5USw-HEZ>DT8kL_6n?UVu_yq4i43$CYZMcSX!1yQL=+yY(iZHrBSB+;tL1iU_ zY@C9$W~_&InDGuWG#O8h$G67DG+zL}T`*cA@$&;?d|iAEZ0ys(sMw7|A>=SVf`Zc+ z3v({x3{c$0mw0=O5ukXD@4@vMyMy94nvl+9`~vTAqZjYY#&7YCFm3`ji?KV>S&i+X z6>01ZN;cz>iv}gin5n49r({<&{cGW{B#0SKi~Ou}A%8rk=|3LR_=~YXo%COfY5Fh5 zH2oK2X1zaX`Y*;z`ftN{yXzNlt%TOLDS{s?-rSo^7Z(L@uc%Y*tD}i%+R0Z2b06jnValZBMXVT~Qbx3UsO~ z8dY3`;;Oj>?sOD)KDC&50~ITOpr)3PY0SqKNZ>#T45RMc$Kpqbo2^-b&+7{QKrMSc z`2)2w_2duK+SL>Oz^8OCS!yZP!&zW&vTnlDtV%saogxvl^%%ucWKMP^Y!I=j_-!SI z;T}3xQ#FhM;%8q20yB!gsGg<^N~R@55>z6FR7x(;2?5CtZ;E;ll^~dY>6$qWRz=-p z{18+hhgYE!(NPlX!3GWovT)FdVsjxX zQ@<8v0{jFqkIo|ChlEDuv4~5dcl<}nNB9m9x&%e>=mJa?*BJyQGZ;*j(isH&i16Pg zOTi>Ls!}wN5)y%ZJwt?Z;Mc(eehOhLJVI&ghf0L5mO_{h56B@c=2Hk?o52tPzdrnT zwx2+tCLWq4jD|#-+D>@X06&wvj0ZnGAh?Z(x{Xqpx~nq?_%)l(2<1x3D=831fSayR zu1JO9fjb2D3+NK075amJ@P2D%?x`b}%*+HVKgigthBZy8XM`uGqFIn7&nX!ba1%em96dx5} zd##bp7e}xmqxqQHp)&~f6$lpVC9(z+eiWI5mA?{M3h)-~gf2jE29MtGOkLI)1UEm_ z#4H>Wbhj793-FTbkuF8>43DnP)H|I)U@Z7S#!|g&H&GUc0&5NwgjCImc+&gh%6z9}0k1sW3QvQ0mf{m+24L!dVvRybi_JjjD! zuuL{57#F~cBI5ujN_rfDZn}A>Q_)x)9!e56!XuPs1g+A^gl%*(!Pn_z!VWr_pi?@T zuq(*{r5XL0!nD%t!~DWQVt#~KCA$$ptV}j0C@GT-3CieHf(kO(h~QJ5IuL-Fpc6Bn z<$97}O+hQkjn*K&E^YVkqPcMM;PRCei6cb-2(!*uJ00)VLS+<_LiBF9GpJIlz5@8LK*QZdg?1Q+%nQ z%K?=ESm<{Up`S5uDSrcAnc(hzqO>>k5j(|seDx%6(A!^p@5>YYp`lISxPznni_(_R zM|bK|ua%gSbgm>IC2S7l(1vcX)uv;R#)~Qy?3jeL*o0Kdrt=;0qQS zD2n@TV~6t}zh6Me80Hzo zO{g>7PH5kEF<51=$W-@OjSz$Tthsb0{a*z@Rr#`Jh)*(O!%)B)ib_KWq09YFP`jML45|#F*w3 z8Nx%5G-I&KFi|axlh?(9Qe94M9A|W@rV85#Qe_Yg*xJJEa+}Nj0T84h=Qs{bjxruouyk zX*jZj{79x7Mo?zxmrVC8h5fgZhEMG@<uF z_&YUt`@bi5-vz$2j3WIVzC_ZL{P^k`hDMUoa04kn{>Zn*O?t1YOn;72Xo_z>DOx-w zuj5!kQ=WVbZa^8|k&J=2X*vh)AmLDDs^yx%bp5YMFM~hpqAA~DSFR}spYz@O290|0 z$6YjK{(4I8TFUnX`~er#(2tZkf@4)pnY4uI!lx-@$Jt?dY6rS@BV|?kD5oV--_{Fa~|mrajc>#{pwME@J-Uc*hBiOZUK^hiX-W; zG#8Gal4;8Fx_n0`$r9l6WOS$>`5qNR%FYqwj(bAgEr)362&OYlse+#mYRZAReBW_V ze!z65e~>)`f3FDXF|u!U??*e=0$9*BW#e3#ePzBI_-VSp28vcM!gSghzAMQZddeRJ zDsjU}=~9#OiDN1G{RPs$D@3|&C{6#hg6ZSy$&D|}cR_qu0ZKn=9qhpL-}MFif0bsE zq0+=8{M`nK;!;mj+HRug>Uh$RJ|*2`WBRi6JzE+n3*S;^t#mZ+b!y?a*m(EEXQwDV zZbZ@cizr#@6yM8+Ql`XY(kJ2vXXyX4s!?H2dy;PS$Hg zLwa8vJ8H^5-|#(u7vJUZS3fkRPnTRo22Eo&Ll=-#ur-zcz-6(fw5vyMK}>;gt1BsO zY4fgF6C#P_qG zm`{b)l<|E}%1YTbeP+?ZkmjVf3;aUlk2w^56v6ZqnepJtROr)`rq{>t-MAzbw&U;# z#l%8|idsl|d>5vh;^TbOvYaMQrIPaW2H&@Eiieh{K&?}B)+}K9U@Zz|tw)7|M@YXpn(q|+0u#Q=!O+wt<)!2l>BP3`)ZHbEwB#gp zCuO31(}#S|=lAeRnf9blxd95wUy6+1p7CAwS2EVhk$&x=~JnJbCE@VO!_~7KU{Ph12joo zE()dbA|5Bd+7wX$f0s#{DYDjw?tC$oJt0;6)&pRrn2$||mL~pS4_+k}<7+K#wK(w_ zV2$Y20wQ}wzApgwi5Epc+%HD02RI;-Ci7q$x<%T|F<{F7bjNI1sFeplbk=I8oq|lg zZJd-^-DfdcUup?Tn<|{PI~GDDAythNr-B;h><9@{qp{F5-zg6XOSMkvRUV_gf<(Rn z?Qz8uFT4XF*hl_EIc$KKSq-8CMNce&VSz!S|1_Y3#pPP~?r)Mg3iF=)rVN4v;k`6UceI6_Z;R)>d1Xin5e!rfHz;QkQux+>bLlvhV;}mlQU(^ zh~g};tsK%dVmZyUy}>Tk`_I5jFvg4Wtl__e-2~z$F+CSPM?4{BW7d~OteqTCaaTJJ z*{pZXNXN`U=onU}0OGi?vibNfSART|%AbkHU(l<-KbaR+@z!`;?|d>U2TWJmvlhCQ zckx~2>EH0UYSK{HsTLR&2T(n>0EB9M#&GJh5iDNQw0cN?ej*6bPQ`I4q;=oV-qmaQ zM7Y>bB((*5xZoe0&=N(RgCI^3xyJ!a7mus}i$wK>0INis=@8j0j^kG;VOxdtc$<(e zZx{8)BjgF&A*9zkg>-wDpvPhR1>M(+-vD=0S|;xkytDjH?;=OCK4X+Ja3c4W)1c?I zvu+EQ9tIzaeT`18mB`6@F1u|a``ld2g$u^ zHg=@b>c^bThnd|p-!%&mBd3Lia*WB+49hw|WR+oRO<>y$Em}Zi zyWz8(0LKh#*mTDY>q?~$x4et~kmZ=pNqw#QD`(uZhDos;NSta1iA6txGg~y`k}+32 z-~>KT>>dN&Ms=YL*e11mH{`TMy;T#~R&`+u@V2W-9$-7vr)258d7z@J9aj@Mg`80Laiu<`=BWfPPODvU z456J>ow)(dsmr@S|Gc^^4U!ksnjD#zRC`qrQQdt&ys9R!39qTsFw1B+)X`l4ZmMtC zOn1~Ke*(L!Zj6B5KkAjS!0xLB5R3%SGMBeO@sYYE7StzdI~Mz?+KIc$=W6A-(0`%k z-w*7yIphsMMQ@8;a2#B z;UxFbCk>0|LFANSO0XPw=0EF#_tMZZ_<0I0ke{{%_RuuEBP1W03=@DoHpOzFJ~Op` z1?;&gdq;p5rsw%#<(27vWw`X(G>;poH>Qc7f%w*BUkC8c6tw`7?@etviGuG*2 zUY-KUzGmlAi1afjv<24Re2A4c!2CM!7pMcx<^BX1WG>D%b+CDOT__GQe^w2|p=KYJ z0d1K1PcCr7&DGyPWQ5s(KenWeGM{P%EWsSp3)pD$Vnqk(be%st^+(A;$?u<_O}LPpOE1s^Y%gj$!38*qfIs&Rq#^G z=eYz;49jyXI}I?|bG}Eg<=i`J;;<-Z$3`cAGBz z1#{GgvBzf5QHVS--)|1yQ!~zR(B;kFHiwlL=6&S7H17+hPRIH&s~NEO<~b#y7jGH& zJFq^Mj-?^l*D{SmdV*!#O=L9D@|<07lBFdhyJX8tBSa=!7Pka8#qu%d%xRW(y@1WM z^eYL;S(fWlK%8xvwF*||SbFt?!d%NRw%I&ODvsi`g_bG-_Oitm{~ShYE!Bk@>(oY8enLHWMFo)cX?HS<6-&>TBmL*B?Uig5~uI5HDJ)u#a7`EZhL>vc>un z)UR2pT?O&FrDYJr8n(GFxEI=t;3oBpfy(t z^bc9{`~v->*0I<_X~(QZTu?l2jk^PI!a5-iypz^Xd5U++di)!d;ww^2x z?1{A=C#0v=WsJ~XS`XkZOnYUWRu0%}>z_P7d1D>)E3me2t>s(6XuRzqkL*4)tc zwYB2m-~ih=A7lpFDz61L$TlDa*kIcPPUFLDCApLjx9#kUx)@>0!f<}1?dT@}qit(A zD8|^btHFnJgfGyU%l&xU_`%SaU`yrdInh=PzoXD5+X{RGY>I8>UTCD)>M)j^YU_6t zV1{j?3nDXZUz~>eEZZ+NAUWICh0*dnTY%H}d|N6{zZclveTFhEv>n7BB-9q!uAGEq zs_jcI4NGj51KGh`YWs3DtgW!UTMg<;Ta#a*m}dL>48SVe)(QY?ZS}ZOUS}&_3L@)m zP9D{5uzksMqRqBW8~|Hvr#UBVwN)ws-ZtAe8Zz8z8^FV;UAElgz}sz0;K18wEB_R{ z{kAuy*vSvrIt~XoXv^{sWRBQ+mxumQThTip9<$|a1&!mjh*`i+*;>~CcG^~pyO=Y! z2kcO1ZNKw0=e+GxI(or2k&Es{TZ1%MxnleIEQnWa8<^2G+e6yCZu_hOz%AQ`Q9Qf4 zZTs^x$lS3lSPO91meURJz;+vFAi zFKq*PHulPPU>$g`ZH|vXd}C|!7qGWB7sI%BwqN(bwfDA`e*whXW8r;3>tkOeAll#F zrUGOJ*tc?PIndsHGQ1dM&&il_uze}Rk|Fjg94kZZqdrGY!|Z*yK^blzS`OF1JHQ-!JWrYC+K)0AoM&&_1K50fT4UH;5U@Yy09<4OG%d;94CTkZec zhUhl?*4a?pZg1%W*kLa?31Fvv29H5@*{gF-*=?Wl7Lt4H19<4P*S>8fZ11!GP#Ti^ z?V~1xcfdZZJ9r1}cQ{fH*|+WjIBb7fjPvUed*<(f9<{$64D6Ua7rW4Ldjm$)C+sI) zgLvBBl3R{5_5#7e3$Zp{;`!+Xd!Bg!7wunj<+)^E%r>}e4@`#o75m+)(7S4{$Gzt@ zdwWJ)*X{3&ki20(+ZB>G?Z4IrcFUf~sPne{?pTQ2u}AXo`mX(XK@jiR+pY)r$KGfk zzuiMwros&;Lhl?{q ze@Cl3+;R+Xq)vv+Ku51x0D~OG*>-~+?-|MtakS&KGt_Y)8Q3s~fhYUJ9m8uua)hJ0 z0x;5XI3C1Nj+{9mnc(<|n}gAgncM@8ajcyIUZTUtwJpiK? zXE;9M(c?_VcW)pv%aMZt*lfpLZf@o{W^>`1>qz(sBJ&*E*q!G)9$+&Q&=xo}p0q7= z^!f)HiyTWCpZw+cekL>)JMMi6km{JiZOjr!r+(01>iCTNy=9Ic6QQ@XqzQToqE>*`I zQ!fJ?cigH8-U&zVJHSplc1(o+DM!{pAf9%-UJ0XT92=uy=B%UOGk|lB=A5kmb`;zW zk@Jp^e}Ud5M<&iXmmMV;y-eJ&t;Y`19<0{-y6jDj<=k2)BtCPHy{pl4qOi~$hn;Z zez5a3W1b<-MO=M{I>+-?ahS6~q71v8Js2sEaE=hr80kFDSYVWMUQ383IKO0cHrlzI z>(CfySGGu^^DsMGl5-5F=dsSecx^Y%`NdP{1)axfbG-9$BESS^c@?~g&g!$ko8;`p zHb{0xR046bbNo{LK^tv~a}tjhQ=C0HQB8F==d?4;*?JzpbZ6_r;LUO-{RG9?&Uu_4 z=QvN50&lK!3->|uoL_TMobR0c1F~4)?99Hp(7BWm-y)~RNainR>>u!Vu``LM`>D>0 z@eoGK^j3oXVZka%b0Rz*ab4uz^-O7lcDJ&FR_;#Z}JDE{Lplmgh0T z8s~Ek@wLwBBfwkd-24KP>z!A4X1u|fI1FH;vkdpAo1A-tklgId>;%~26nR11>ijhm z6q;{y-slDDPG_mwKzBLUbAsIM+&KW)9%oD@V0)c8e}_-|oL(=8`<=hVf_T9BgmK_O z=T&y(L(Wy4`VTvY?10D-=iy-DQV|b^wmjI8QqjQ7!#F?G#`P5me z2Z+y{r7|JE=gtRDfW2_GW6yc%%ytbTubg{0qr7%*9|Z8mdFTmZiU6})n3H!O)avAFHs!|c616@g#G&>rrj+Mz}7%2R72>$_y)`T(ygWnBeNb5MZ<`FWY*IYkCgoCAw0%K}>Qj zWltaL+It7Qajw041Asx-EB5&Du6|tFCb;r&UYzK9oCjtmxjO8DMzX64FWn})dNu-> z;!5I zDZhZY({+e_W|wO+$IEWlD6Us~T%YjDbFZruchLJ>_@Dh?Ot|*4BOP+_4=`%A54(mO z271Kx?Ffh-bs4ZF)sDHQhXWjU8M$db;kxw_A}3vyxFbE~YVls)5%>ZXz z{eoFnB47*a3WbZVm#mpfuD%?SmtAKDfq2C=)Q=ply1wOg$Tim@-n3tLO(+fC4Oh*| z$l<1|^L~I^uG3YJ!);fVw&2}ymEZ(;*Okgr-*ffk`udN{k_VCxUC+M-_Q(~+K;W@! zmb?OZ;+mKY=2KTby7tUfrv!3(?pnuL{e^4GXR!9tW$p}-SFR~*0baW%<%Q%MSH3LZ zy>*=lzG7L9?f}-;J!2(!{oJdr0`zz1;>0k(eI^FjKzF&%VRMlC+yht{?7lMsydm!O zV}T8IA1VuxVeUeV-iEs;v3HDc*PaTIk?tZsh>UVqn+K5u_YO07iSA@})g<>Bw)I%| z(ZF$_{l(+sDDb*L`aVB=@RsBi(R+&-S_L&c=hYTka0uLh`n|FXz)c?yotr@47u4{rB9(!od5-9p4n#eRud@ zP=DZ_#A~XD?q^w{{>Yt^>+)mwYi`A!1l$|Tf%??_aw=q=xsy1iU%GQ}Bm2r-a{;i| z?jrYry>XZ0P0w3*T?NEFasM_U-36!n?lyp2^z) z26&R#paVTEyTGSG9`yqB273Zuqyin{S;z(&>bc94vtgdH{CsD)=Vy+w5uP@T;758I zb50oLN#%h-f~R*C@J4$UvtNwy>>UP>=$X|RdP$xYx4;|giER!r&NGL1i$PC*9_Wwv zgz+GDlBd~k0LdP2AU6~zdp_q%H^tM5d#V)Atjr)z_4KBv(>xuxbDr+0@guUI;pv6H zy06Xj9AOD(d0u*e&GtOwJT=Gj1y9B1dfHq9nCCgj4c>fDCC>2+JP%GnWTB_~D&(-p z(~;Z%zdS>B1OOL%7LJETswX>ViY1;&^PsWRGk-jI%RH@kO|aba9q-9jcuLZzm7Wbe zs7UkNN~tlTCZ@{HyF;jm{fDX6Q+{c3%F~+5$!Sla2q>QMT&@f3tmlFqw$FKzxVgCC>CF)0q9>6e zmpomZP`~WCcM8}QPuxRDUiCENzVe#q*GB#5xlTZQ|kF<(K-OJf$zPCJs;04}%gP^z2+oB@CB5yTDgMWFGk^mNavvDy^^=A76R+f0X z${R6nKaS01-a1WSY`M2~X<#e7e_ZGITj`zE9@I2%CXVz~-Ugg@R(q>(OSi_mhjp>m z`)dwh>%4_H;?{cyFs$0(t+E2VjouwO0XBIzS3tg-y_OE(ZSk7Ng1FuLdwF0xybCx# z?)1Jm1L7`k+sD9mdkVHk&6T7=bH8^2Gd|$WQVq@>^xB#O9P%m;0S_BQDO?7a8b zTYwARRoqHm^j1_LdC9x9BZ!y1Q^y0l;{A-uze*J1O9H;TdcO>b_wx$({pa6H}i zHm?ksJKnVn*YA33@uK0Lw@ZFt|9H!CUwq%&oOSZRYdrwThu-9=;63t|<`(O**Cm%8 z@6#@jeCmC+2a?acb=XeNy@7#Hc;Q{ZLGseuIujILc?*pL@wInr;Q&P6c<)vKc(J_l#Epf4xalkvWx)qqX#g|kH_`bN)z$RuAhW8!3= z$|-!Z?}sbErue>Uj_gx>n|P2r)#n=x;xym1Sqi4h=|0QHKxg>+*N4%Wz9I}B`&@0-~Wnd=)818jls9kW>ItH%~yK zn^O^H*7Jnfs2 z8Q_d>Ay>S!zOv1Lo%01su}S{+Z5a*y^S%|`K)vAG)EMBRZ($0Emwde$Qe5^mDg|)G zHvR}aZuk;g0o?Re;r9NPuN|k$+rBviK)mDo>T4+6^;Ovk z;xk`NPk`sX&zmH{=nG!~4#U?z{K;$#2j3>v`deSZaDaEdix)wB@9WBXj`znDM23C* zRk&T~>u=2=-p^lo40r?mkuLy-_^$_Jwh4cms8-;O@;{_Vf`1?T+-U#inXoy=?_=o` z{qA!hCiyRO;T!9JOq=8UFA75>=`(M-qnC$;8I2t(w>T!vj z<{!mDHQisdAtYz`2cHI*=`ZmEz%2io8342W;a>pE@h=|$km_H5779!JqZDMc)W5ze zuw{PN3t-Frz1Bfwg+H z|F`v!S?`}ie>eD>&jQ%!Pn!nfCO`joy4q%cIPb!?_)7)bY{$%N?Ey2p{F$0UWVgS; z7TDh7FZMf#d;Q;Y`P%32!9DYSzl~Ak0e^d*3Lo^J?*_?3{tnzF9QNm!58@Gj=F1=+ z^|GNzw#1SJ1w;`kimUXZ6hQ@%W}_>pfK){VK@kxUI|>%QXYzi({J}6Y&&;`d?>W=% zN^1L@d_TLaT^~t&e;oUxysc&oUGh{lr zmOf7?2DJ6*L2>wD9}~lccJ^6J#q*0k7nXv&?6Z)ng;#yPpm6-U&k*W%yZSUx_39$p zNTWbkkwOmQCQ4c50Cg7)r~b=B)O8sa+eF53u=f=GP0@9`XcUFc9im_9NqUL)>A>w2 z^`yqhTlC@Q5c-O`V&TP4WOxn(f06H0=yr?zuK>A6R7Jz^0MP&{V)u#`O@x6{w1;NP z`$Pwa@I$e!M7dOj28%wXdlDkLLp^1v$iEM`Xi=d8hB2Z!l$m2i(PLnENVF^jx_JCW z+E32m5r$D}OA`I~26V}y7;or~i>!2rQbg;hLQNITegrN}R5S{Z4AEu{$O%!*Gx$6y z`pXQ+DUoCqgr`NZo*! zp$kNBQL#}d8bopFyl4)C@PepxJA@ZS=OrM;qW6R0yF_$Y4L4%qMe?T-L?EvhlXz*SsGS#OK@_ZJYli`P%&7Gdj%4Psbq6F>6>@f45W z0p)hF_B2J{nG&<*w%H>`$kw|Fic)IDOo zE4UDG;LqSf#b#&!LPVPzIY35=uMLDSN-U!B#{qG18!qjj_;feuqQ$%k!WgkD6&bPO zH8iA(6Tf>Cx1)6xh*zEgIV|2%3FHy+1R6ga6}xoZ0!PK!-{ z0&+(D`Y0fo;w#5Nvcx^!ftPIYo8%=&oEQd@D_$gnd7k*aeSqYPcTr1rR$Lzq#Ux+wlR8p0y+^o`((#hWOgm59A`AeY4dQkW?f zFBuG{W#WFQJcQ-qLON3wVkd3mN^uKSyH(YZ=n>u&ODPoH63b{r*C3w09HdeFc{k|ph}YAku1P%9 z4ALxapx0C_;@Ns|t>SO;fou~8<$`-C&ZoNPiTLb?fIJfy45r4iQ@r1VYkMJ%qFee> zJeA%#yb^yxwZd!hKx$mN#3N~>;v(^(A&9FayBNqVl3LnNTP2#$!MRE1QAO!4`PBu) zL-O%;Ah$_EDL;Bjgs$MWO9E;C?~wHN0>n!)j{>k`r=$3-Y+qo z05VXL_FoMt*}M-1!IEfykPyl8>(GUcm6Y@Z4UF0fr1-mqf8%@>HC2fP?EJIS30&+s~5p|0vCD}$8oRZk0K~783s8Ts25mSE7luV(C zS(c=hLRhxsoy{=Fk+fuk%asgS4lYkJ*g38U@%s{O<3fox3I^vTh18l{kbFcX;6+IV zT~d*xow|==$>ARWDUtlFgzl2$HXWZ*$yVyR$|Tj~vs}{824sa~j1{^{Nkc!7D#;TK zR=6zL-WR$nk^%jJtd>mw66C7nL&p@@Uz5z?!Cseir(|Cv`6Ck+wURe&;OZs4eF3>C zd5_*U-I5Ia2gnA=o8;!Uq`v^9Q8GFix;v5q6F{0I740y%E6E85X_nv*SYeAx7EK3f zm1J!OxhGk^1;{o@o{Wz4eaXTnAP*$?BSqNw5`Pmgk0ds_?#GfIQqiwh2#(V&EXJSodqRiOT{@9Mp@;MZ^{U&XyD64=Kc{?dG!v;|5BQM(i*4b#COSgNJ( z6og2}JF)-`mA1A56DFPYIY_uPCm$q2`e%RGM@qj4f<=^6Oap@h(&6tNE$`$aJ+QYE^rCbz%U>WOaG>Hc|>}SUK<^iZs439 zCF~u?($jEyOgfcPW3sg64?vGg_g#dy6lp3I(y7wv7Xe9=+UXly=~90hOJzvY=`F=6 z>E)dur={0uLVrd&fL?%SN*#+~kR=`I4Pmx)AH}yE=@FWu=St@kfaFPkqL`a6{nbH% z{H*jObynx3+4DgPq|qXfLg|*(z?_%5HUM%#iiCsHAzc>%r$y2(dLqTr;4eT*q+Z7% zyd(`C3uLKOz7SlQG?Iq+<t-jq_e5ksh7SU4&+Vg+Y=$YCH2R{8OSSX$lGxJT3S8=kS^&=#0`hgMdq)Cp{uMM*#nhH=mE|_V!cBJL zClGg84wX|LGW@%1oDo?v^(dY)!MpIbUABX!k2_=^9|7@_ovHwGmn@jln2+rIB>41| zz4#Xfelm|3IP;ff&jPnwrWC@!u}AiR5>S8)n-obxHsLOaQ`R~e_WNW5{)TS9tdwTz zfwFCx&;`l*Q7s!R8y*Bmh-}niaG|oPQ{X#HHo^jAxNHrz_z|)a21umreX0SXWaF2^ zJX-eCkATF;Btt-A9kP)$?ue7+P-HzMvs3>We z1DPoE`wVtTvSZ(X9Fy&EfiPLd(`4ef?DlMs6xm=iE-h8Ir5EhdWP5G_k}eBZg3FLy zp;U81=GZ*~(vz}729P}21Uj5&WmOrlKPUTfDum}{GJh;lB&#?H?vgBxuDet=lhQ+l zOhKQwQkLTbZ`HC1k3g=*u9W^0h$qQddE>}!hJjj{{Wo8OVmSr5`A`@{v1yRyl244Y;7bl306a%epJK=u)3 z=7+M}1lT>2DHecxB706=p2|LMf?d08mp6pZWTDg+JeRrlhpNtXAQ0dicPbRS+)@!d4iZl#dt%?v(rs2Sv2g@?#V|&d3!sIm(om3qi8vanxyL%XNBKNAVu<< z(J(KT_t{UKM~VEWV6d0uUjM?rRNk`-T$y}L2kgt`-KoW@kUMGSQYp9Bf>g;ryajSu z?$-fwMLs1BcGu)?XMnsefA0_YtdV=uKB|=$`9gO?zHJ7Cb@Feh^skreDOKE*uS%hz z<1Klc719Rz3;Lk9<(=WMXq10N!>K#+oDe{pbd?FuJ4#-pa7zGI47=A#d^fS4M#&6H% z?SBB%A&=_^u2cRmwH`0z0b}6ir96=bc_m-b4Ti7f&*=tt$*0!~yaCQrkwN?4v0V}T1ndsQGrB`w3X3`{#bb#zp><`Ae0g4$p zAbS-8%2ZAT{@oS!zd}3%!u^VxD3CzKLYm75ITROOgAG=koeNBu;-~rW9j@@C*9;K~ z6D=62h^BfdO7VUzkOvg^D48Bq$msJ%D;DKL7o!+UBd1tJ??9NxDcsKia!4`F4lnVF zCU@u(6cR1C!-`XD!5vZbq6zg;h2sIe-Aq*I|C@s;s;QegrYNWcCRtH19hl<^#Sb7U z3NsZXsfy*)ou?`Mvj9m~$f*;~Q1qkj@q}XC1L#gFb|rwEQVd)H$Z5sepI~=JF_jW~ zrecO0kXef9o#3(+0~}P_<|sU9f8;8r#)9N2HbsKuD~8k9{H($d0mwPUP#r7^6qS@k z3l+Z|139mlN%N8mieh>reo-+d6r@NY7zXoVMcsHnN);baM_ZIjU9o zsKDJ&><9r(SVl1ISIq8zF$)QpELyu0inyeZbp_^AX@06~}1{+))JX?dE*@ zD(m66I1A336@P7oWs73$N^q@;p>!(mDKhAVWSio`J#hCG_IYsdKrz7sI zEA^X?6(SmHKT)jQfu)`*mZ@RTt_Y;I=$YcOKURFM`0pi0heA)8r&BTL73^Lp_9G%aYAEj_6h_90VPsc((<(E$FHOy2F9)nM( zGBOpqeahJsm-j0dL_ip*oJ^|+DQ8m26|7uQ14xK+DvcvTm9wUUgekR)p$k`f?*bR0 z%%M*dsT7QcFiJV>ILr?yU#|xjqg1&<7pwf1j&_`K&0ZKBQg%8PKpC$bM|V3xnMB3= zVdau;AV-wjDSaMQUZYK(s7xepNy-fY;EpK`6kn5-Bf>$BE5D%^wkgV)I*?T5WNL)c zl%G=+NLL;hg%vWCXDQm9P@3tBh$of0;Xs~JPW{mVdRjSnE~ICa*%R>zGnJa&;Ifo0 zfgst+sS81Jl#R4RuF|Ln$y4S{g|~d=I+_EVRbHgLS*UDI26tXLoNnF)<%g-@E-Eij zBT}S%kNVtV<!aw{ZQ%B56DN#_K)EEu`((L+!Ljl#?eoe?<|9PyK?$>FnFf?r#lQfm3h=W zzfiuv0?3z29c5_8E9Fj_vb|QijDfOCnM(=RMRmaox?F?4P!0nOvwRoy>_&_m_* z6}WAxjgP^3sviD^CAO=yE3n`WRR@F6OSR-TKz6D=p`yiGb&2l!F4a!DY#)`9a-*-R zClxJz4%Jr_w)|DU90YW?N;9P>Ye zMS`lG>dwQeZmU3!sA7g-rK74fG(SvKb&G^BS#^%8{o^Vvd>q$?`D7NlC0K%i zy-CGKooWGHOufqfEevm}GP5AOrCRb4NP{YbBKK|8NBaP2RPCfO`W@A~)Py#vKKucs zMRjK)AgwBg9ORxV@DYSHRp-utQ+ckUhRXI0;CA$_RY=lrsc*&IRN0lH270X4{; z>Us(o+tq{9vEUB%hC1lH)s8?AU-iIq5c;WC(NXbN2h0aDKwaktE>!K70$rFo>LHNf z>U3(MBh*n8EhE(jwt*Z_E2vsIs7|FGCtBS|-@=YjkNfW*l*Oq#sc1T+c8-NKUcEUA zBtacXd;PF_hLhFPID928`^b#&^C2uz|1%8+m(gPmms>kdHq(Pl`805A(=?2Uj)koT4-lSe2p&I_K zdOyXvX0<1k8!c*26)^YIavr2jy}Jm8_tl}}KpvXp_BApZgJzSL%nw^p9*_tNT!A+okrQ z`ou-^(_&z@XqI@vaH}Re2*gbj_Xtb4Yi7Oz#6#mw1*@l~a~P0bn!f8mc4`tR3wmop zKZVXmqws}KKh3O%(D`ec=+p1fTzVh608QB}Af1}q`fdo_`!o;e9PHPOs0Inv_)_;6 zrkP1ovT)4{S~o&7i>@$I({n7iD9xr{Kn`m5#lRq1lV^oNjOI}|gt3~>&SHr;&7nZ( z4ryX)K;kvM?*WpaS)>8vutr2J^byTle}Qux)r|cKm_$v11#FVWnE>vXW@$fIBx|l5 zgfLZeYy*U8n(ubtD$+F{Yz4{CxKr19LbLJ%=uT-SQn_$iQ#TcMXEg3~^_iNlXg_9Y zS`I*$t#Nh$nWO1(9gtj2#JAw`9Gd==@$xlSsLwsCxpNZGbDH`Qz!YfOk{~S9OrZmN zUbA8qgcmhcR6`VL*ne%HhW>|{!V=A9O4OG$-Kn7{(;TMRQ@N&g4|Ek8{6Su9XU%{m z&{b(xH9~kUltQ?Hp#FVk*nM$d)tmgZ!4e250k_@6);HA)eLcQul^uxr*>sL*WD94d!FtLAVB zxO(?Og3zNUgYwg;L33f2!bag?eaX@2iEjSfveGlZR*{&b38XuhHAeW}UV4f9u;#WY)dtyw=4!Y<7X1Gp{P zTV;~~-Kx!@wC|=(G-C;OZRQJb9@;1L256f$j>;-eZSGGH`*~QNFMX(vG5$L9q7q za&RHq?DK$xYOQpp!?aU4M8#{tSJueD>>!fBWGpJ*7k=rSlmxatfF7`W+_ zTflkfJUao|rWbn0Ifpt}CAb0D2q%TA4 z(PhM9p#a^tzrp8T-PsK=aO!?=P|(}2drAdOpl&s7{2*OpG%&%su3TV3bZuoIp}OBF zu7>GysJaQ)Mbca>Lf1u6GE&!S3K@7obOUE`6aqsvEc*T%xY`YUq-5-K8MMbQ>qbK3V7W0N#%4 zmZw3OqB}Pp$W)!C3A!}hO!`bGbz^DZeo8mtZIIKtQ$s<{=p?@alBrWVu|k&aRu2fX zb#G)+`peNhj|6*OcccR3g6hKlS_2(E5TjTji>UnROfa9 z3zq3t&_JwQ*NtXC6}neC=qhz*^WddQ*ZL92W!-P|S+3~%Qp~N^ZF~n8b5-{hgbv{~ z-Nr3YUe`rZsaB&qN^WX(%V^kkLls-qO9a!%c&3814K! zI@wZ?Cfz=NAn)q-MS(Qy%FjdCqB}=zL95R5Q*ifmpU};3(SKgzrbXB8oSUb;no0;)yO~0Jx{ROQ!*8*V(T@_)Od<>og5RR=Mqj^h*H1nH&O?7b z6WlhvPZ%sb_1W~bhVA;GYal!H^8T>*((?sC?$nzpt9a|H%0PDM>*!*9^bz!YeDyyM zg@K=bWHY?@>rcDDZnwT0otQoPS)Skm^p0>>SnSnT-UG&|Uqab?pMKMGaQpRr)_@Dt zzj+1Tg7iiGK|=LwLZA!NpP>3WQa_A3o+y2&4BP?zY*qL!wIWrVrHe?^H_d@ASuf83Ij&!k1!rmc_tt_tq5rc1 z1}F9N(N73Z>67SapVr@}Fm*;>+W<(Wem9XUy&K)hZ2jldGw0|B((TRFzfVapPmhd< z$g6)y0qv~5=roY$^n(WEf-TU0NE@M0UqjpZyuLmGh8OfVoxohwPxv0BNI%v9QmpSd z3tfr+sI&Jy{0*<~pF>!ozeo|ZQvX*ybXEEfoFng{y5DRBcU6Ci65TcZze7N->+f8{ zLN)rw)I8SelaD}nLthaGu1^2K9C)eMw|K&5gMRe~(B07=sRn7*pJ@i9MgP`pkT!id zRdM(ANji`R`h2RQ9_qiM3E?CCvoIJu*1J0>IXuyqd;#{Ue&H)PZP#D>6_{uGW)G0( zdMC9;9r~yAbUXDGA%MKlKfDj8FZDCefV|RYP>b?ff1CDSm;O3cv@V8WG<0z_45EFs z#qe1%%(oi+$hDi{DeY}{!=WE2fO{CmE&_C$;m2QaF`fp0${${a9Os{Hc=RU<0QEH_ z(07Xb42FB){0((fS?)F*>IvZ`o!1sHn0g85#X4p6hT%=*xQXr!Y^}&D~FhpyhJ7`clD6vNymXClk#!yG0 zB-Y^00TXBNqNjYw;NA{syy4VfkOaf)ZXkyZ0rc#S7!vlwcaq^YRUpRMOIL#{F?^{8xnvM+1f!VpXa;!DG2BOT9Gr)7&1H~n#vQcB zJ&gf08QgAkt%q=j@hv)A-bTl0aJ!5{Zs1~kjGc50e2wENzxo-+^#^C-0@(na{)LR4@<66K48($8CMVPVf z7KGu(7Zi9SjH~y+Akw&c2$qO4ezO?J1I9>-hX;+l$G{-k*qh$!#~6pyfy5eLrUDXY zJVe#oA)_}!?Kok)@jjK%2}b)gI6Z8fLthI>H14A6G1>Tj352P}zlmfRo43KuDPy<2 z(496`T?Kc>IJgM9Oyd)0-$&R_9#jwH85iz?FyGjq1$Wl?+bdkmIpa90_X>=YsUR*i zc9>v((fALg$s*%b3TVZ~M9RHY#vddgmyM4n!OIonGTLO-#;Hv(xN6i-hWRz)(LZ5# z-8g(Fgf+%quOO^7_FWPH=nZ2AwSaZTg|ETY8@E?OdDA#LAKWeD^Y6hm82#w3-8T06 z48lgEiQ>~8qk{&=O~w|QBi%LHX!h7_97U(7#aOfk$W~*I=^*!vlb!t^BUD@cz&$j& z(?5)OWK8)B39x&ZZ{QECAq^?LG`znshmQ{PE)Mo6fl0Kqb|Vsn}qcK zWVcB}$9<1!aR8tJrjQAM>@{7Wa}#7b7Yt#rso)ZXA*KnmS3*sHUxF~q^rAZ;;ihE_ zB+8VN4BY{f0@+V^(DWCjt7y|+N+$89V|0ZHCd2O(vJabfQm#K@>P5-usOho+_KBv= z^h8oj4U||@P2=?7(oC`R@+sXk{wWMHOo2|9C)hF>bQ5w+y5kV$nz9FihzX-0{ zODl(-t~Et9byFbyVuddjy=!|8!GngZ6t z{*I~T7wB3|ofMGnna0z_w3!0>f!sIkq!j$X^v>VVJ;aTLdAn(l9OlnVWdd-|O>yg> z>o8rWc~z(BtpO0eGBxH?N_=fvPHwtP`82R{F~$Bb5o0{TX=Dqna@G&d10r558qbKQS-b00wzj;dvmT;QAhCsK^{Dc~u{bqNnf&$Ht zzlWC~^SBb~`NGZVbomkHkWP4uG;f&?Xq35O2ZRUAAy&AFF>j|Y&c&LgbW7vRk0|6G zGGC#qIBdQ_*(=e^C_^Qg`y>Ez%)Fuo2Fd1p0l4GlH!8rTn1@^jmuj9sxi`%`UegWL ze7bo{Afy@Q_lLsi3G;%pHU-NW$sSj zcerf+i~{Hta~>5S)#g3DU~tvkMd$XKd0Z-l*Ufuql2~JY&o968T*xfSE8Vb7xv$zDh+h(x`NTa!w#-Ml1p^hpTHksF+gY>R>)Oc7l zn{}bEXfeOp4Z>D)#8!}dW-$$A+sxHQK<=CUY9M@IZuW6uAiDO(-C=PUYiD=ugxFQ3!W}>0eT>zi$$lW9O`OW`v}slmW#AW-7GU{jO%V0 zNbh&IS>B~3wp;d&1!RZidphV|mR36GyDU2n0ODg=N)s4g%c9RA^tZfU2eRAJxEBU{ zET<^01y~Abe(JPH?!wtVOF0dZ_ghB#(f7v!Ekb(T6l7V|2Zq6xaen|BV);1;m{7~@ z-@!##-id)O((?8wtQ2Lr_ZZv(%g{h@(UuLFfW%ss?1z^)%Z3}UJ7h7?Q;xR`qelC% zWrQ9EM=d^dpAsz>76OuF`5Lt={^W|Ki5jb9OAeI>DV8^^AgPuUJpoO#te6a4hGkHH za3?IXHQ-KLGO4yYW4TRHE7RhlgfPpZp}U@Ksik5!$I_b)T(0E^4FU2j=iZ0Ue9N4k zfSk32)Ixa9GJ$rGqrg%{&3K_@Ce3fpTP6<$xnL3f*M(bl(}^pw=%}H)WSKn^PD?GZ z)aaC1LTD&kZux+!`U(rT2c*(ct^~5m(oqfMWlJ&*j;>f-={{9k8ZUyoZYkD-tFd(V zf|pv(GS@k$lPP|TkH;n6vW#B>Wuqm_4(^U+GJjC7l4c$WzYn%`|Pis{_aNDhQyFhkW@6&rYFYEm&AUmy_h61w7 z`r(ftKGt6;=lfdcQitJhZFLLJpw+S* zmmOpsHXFha>t{_6hFTw*z=d0f^WY+^&%c7RNb7QX^ikGBG_*TtJrw~GV?9IhDc1Tq z<*hjDZMw1X*04#mM1oZ`7`~5KtGaEaE>e}U5^>rfgfCR@uEL6~Npu7N?i zHK#w28P-JS*s_(X@PZw7u*zD#ovHjv_7EWP?1%B0gz(rca(igtOMpjcgcG6 z9|%jW8C18GSvzupEVqta0#af9UIkKVHH?Hom9&da9OLAcYFO8tYk?R+DU zyKG*C(D~S=PXf}{)}J!7zimVtgu89)Jz=oN<|+mWaM(Vk8ep%@{uYSScHRzYpiNDo zFvK>Ewm_(jw}A_@jiQthYx{W{NSrN_O1wihH>$YeZOzniB-n<~ojq)OaSZDou?eF= zj@ptk0ZFut`2u!HHW8)0W457tV32Hcq|-lmJ8qNy3u%h2-2`c>Z3!KqG~0XCAn7(4 zb=4WR_%R?SY&}IVIB8ot9o#9~Bl?7=Z9RLy{EY2$s!K9$1r(98Y@H9_EZer>Fi4K= zFttXxHcvCIBG0z}HSF?jt`1MI1-4~BfGxE3rK5J>{)-AJLq^w_V^ZOLY3fq+gaFw>ZjUZLFRvNHfwv~^B z-4$CfRWQ}I!nF?2tG36KGOpPk$Uv^!{HX+~v3XKeS7&oQ4oJOi810suw$MNbZ`o3) zD`~KWQ~JDZOQg@#Xgf$p<&JG8b%aeeV+pvsw)iQqYqs^KeA!}KvH}LJHYe3V_iWx@ zQEqFqMSO|{@7vV*fIhGtJr2W%w#L5zePkO)sphe5ML2{{Z2i^%^3*nrl6boE!5sz2oh$m zj)yedJ_K_&VT9d6Q6$p7ZYqRPcJ0?7(f0c?AY<$;bkt())ptPR>~|Kz=^=aCyMV;o zyJf*H!JhPA^=c1V3dj-rEQ)$Z?SD}8NVJbD10=~|pG*Ui<90s^;c52yb6}rkKTi2C z+a8n)F2_EN;zq8$mde&V`({k2h57bgl>E=y*E|Gw&Tgc+PNBUU{u5rbuUiackv*O2 zi(>l=Ew~c959Rqw_QkH?O6_Cjz^=^hyv(?sj?#Nzs_gS9gYjrN3m}4k5phSvAzl9(kz5|;oA9B&aJyk z)$;n#WFY^(PtSHk@=e-=9<2Nju-n+~#c<`xa&;iv*$EnQc(K1Ib?;+iC`;~V?&m;) z*}i$ug|W!*;Wv_n&xG&*8-ESLB=&X;gvXfIm+*3&jrD=>414@BAeqc%BS;OIRS~g${bT?S4 zA9Qt0Nujx(&7+R!CM%;s=`9wt5yA#Gf#UUT_A`yS8ksx*x;rd`s);5C+eG*7F8hX( zbu)X1PR%`btrSi@xn5@>+|C74b-RNLp`+l%&8i1vC)ZaB;>~?YL&;s-cN}y++-G|r z^yB7I0~*2A`GSk*8mRY4;C?#+?l7n83*-^*ECth}oMV6;myyU-QkqQS%KCsD;{qu1 zBy&foWIoObX>gOmeLEHQsa!n;w=}MX3ios_kqk1p8FUg)aDV*0aD7Xq%2#; zrOXDTf~&89u!^g>2;o((cs@u2=l(5px4F+P&^2QKQ*@=rg$2QSm zrGOdeWM5>vb^=?(KJ&m@RqW(I__@s7Xu5fY&3*`BHB0*h+%?8iLEOaV(lNZtR#E%X z%w9R@BJZ)~b|^d8#$a%rY#VjCTev-DV779D=-j(;9<+(vx$Scy+{X2GhtQYP?F7<~ z)8|6y&xO-7+0D(w+gAMlol0f$%PuL<7Nx+`Hp}?BM1)i0$MSQhD)$EBXTD zB{%GEICbN%TVdhOryl~ugEvxtxP!mp0K|(QNl{@pzl~a>J^bJFkpg(z8R+)$`zQkM z=jV8Ui{P7wfJE|FDQiXX0SdT2z`H775X0AYQ9TjMAE8?r$EQ&kImGAh0XfG1xfIZ3 z{@NC-o5H_I>GCwco!(}g;Wtn%naz7rbDhI~MG^Qs|NMLCF7S6hgszxhNjIT{S5ZQ~ z!ar>SspfYs1i8xpb_~dCd{+Pe{vj`4c?i-P{tF5KwfveWkQ;n`AK2gHn`wH{#;u1a7hZMp@Io?a>E3FQ=khM450Qj zLXac^7b(bBz;~43eX8Q31vwwWE=KS!y`?=Qh@>H4yx`ds7$gYhZ3N`7V8;?bjtCON zVV5Lmq+sSaCYUlA(qzHq8(>ofS+o<*2y&nP|HP%~O=sZevx}ge@OCo1PW*N_B$Eyd zfZ61Nv_Q)4MOm&&4k&Q7&n_0Qs^FG$32EiXP6|Ezj_C{ghku z`CS+`7w!sM;tSzcHp2}zJDG+)xHtQQJo~VCnuhFW23(aekUd%pNHCMr4L`{Kpav+K zRc`_$mU*lPm&EoF@&t3G_VFaUHVUp!u?X62r&(`Gb7$D9H^4bE*@(NqWU=-1h_YEY zwM;oImb&I#*0KYbJXZ86xO`Ur5!{?*Lw*FZfXPdtJI^MJhPPU_Y8rGmSjLw?*0Jw6 z2^bV`}3-(Ry&>djzvNsn(*vzI; zIA~>2J|Jz(x8(m~>xd^nO=+aF^vkDzVZ~{AHTXGiASI9av#4)cz@@^)!gr`DUNmq# zeqY>0XJ<+3OK6wPngHa+#4Hoko8F*XG&fBnaW!cUNJvfD< z`58DT8`A?Mign)y_yM+K1MmmgwCf8c@Bi>EOHXa z306jPn{&*S$a$6z$p6yD;{Z7NvX0W`SF>I4`+ydT6$4u`DF6)qa61%ZLw?8a-`)Nf zzmFSDS#bP}_W}Lk^aua9Yd@hV`K6HV&{s7D_xBZRZhd5lJ z9d_>xXh$CS3BQjC>4f~J){Xf6=MSi5n2<@w&oS|(8_1+~N^Fz&Qq*1?f$Y;`MY{bD}GmJy;Ge*UU2dnK1&XcvSL#X=S9g2vBV$zDWw7yV?-+xK5(N$cRvpWXntu_gcgfe&|^tu>&ZgPVX>X{pcJ)(ZKnL}#ipLd@;g~6 z1q5%FvKqo&%#B({A7(;SZ^qQ)k&HfmWo2=(BaINghHt5=!yEhD;vCGsfZsFFp(CEjFt9|?bHfr4& zD85R62c`r5R^#VD8_nGYFOIhARiv7f@DPhdi)$2^)=*QkD`v@ zn~`5aGHNuvD;?b-g5=w&h4?wvWi5PuSAGh=k2}WWr(=8+1_b}x0}XWdXSr{O(aJ2^ zx~t?m{JuKxBmCSvm^#ycuj?UqVaKT0+QH@?1o2|)C@y-lF#~~fvKx;f+{d~S!0l)6 zOaKXDi)peF%~liu8N>X4hA@_W^xyx{?hs382b;p~UW8#PJ4Z8_bk-URlFhnRf#k5$ z^sW3{cKbLWd29p~$!r#na;i+&sCcqUOG zH(ByBnBQWlce-^Og4kK~Ck*c}5goWz){~(3*lHSow6Re%#<-3j`F@hzGT1MfYVpZxfTn)W!9$Fc^=G`y|=SB^JE`pos24N)kL;@1UU6}~s0nVL1(n0S1p|FeQhENuW;TDAh z63eZnx0-R>E_zCbxChkg$8%{?2ot!?Rp5?rNsgyrk8-0a+$M7E?XXYcj)#C85ys25c@DPxHz=E+POoFQ5CK;@??r7qv;}xc4ck z6>tY=$Xv+1egWU-xhdyCE^xO(K`wHQe}EKoNfaqcxGFkhm$=W35SDTps-DZZK?e9N z=Ty}6RB#C#!#|9uSh$liuh0J1#Lmi}>PY5EkgRCi@AD!`+SgF{L*fKHt?R5q;B)U*TFUNE|kUY z@OR0eiC;PiD+A6x^h53%w9{e@|bgc`11EE}*XjZ6ASj2@dy!Q&(Y+-vEse22xiODctfk zxF}(L4j>1FyXc%B6n0d?O|(#(0xm{aO3x=2`4C*3Fm4JA4hh5hfr}TGWP?i(en|)P zu+W!>&m+P&W4fWZKPn8P&7COhLj#*6;ZurF$Amh1X^<@ZISTg2@t6N#ks>_v99*h! z=9?gCLLp_ibm6G8KxPQ1&|^L!%%%axNuh>DET@FN(?HG$dr`TNDV$EHK1|!5Y z+XJC<fpF3#SQHB13k2l6@XRy_ zF97u?jAi<~mnOILSEGAt+W1D}<+&6`SyL zRXj~>{<^#hKUbHXgk+8GH<+y*NX6T_;gs6f@1gR2L#Pu!H@_?g#+6-hmP)uji-yjG zoiBT%9%MIrYaeubSx6Uvfvk@kE+~|_%ms;LoeMw?FvpJnt26#>0QlRTKKMEI5vAgB zo6GR~cC7*=m~Ev)8iM&AmI-BPdm#*CzBEP&XD;+;BiOXz z5JobFCKBDq0m>%_SfisB%7e_M7Hl+Y`4&sWu!uHrvFzJ*(8aNoe?bnh@J86jGi@4l z32gQfaEIAbI+jOR7X^SMHlIq}6t=YqkW|*U7?3>n$vIqaKGQ#hu#jaR2Bd-+jzd_< zw!WghRK*JDj@PjqD(&i-YCH^Yvd?#eYh`OHK<=^nZXj*!=bu2HGxt$2=wK6n0J4*v zqaE$W;o(xbkrob!2X~XI6<=;)3Wy)~BQ?bSTr4H2V6L39a0sXCM@K%4E1>=&j{Aou znTNQCr$G|9f#D#j+?<85Pvf@Gcp;s;{J*69Z#1}*oZ?^TPIHEAkh5F`W#bZVval!V_V79iFZk-#eM5T&Nv4`$i zEQ{y`VH~rb206q!D3Hf9J?+T^_HrSRhgn(%$PwmAFT0PjP2&McWT~_blGw=E;Eu85 zBSDf`+qlJ$9%pY*a!O(MDe9y$9hF*XEP%pX2J1~n?Ib%f3&L_Xg&M^QRu&HXO7=Y! zH&yKSR4jOzCD2QxD=dzlST!3?AN?vjN;mf!3vwoYgX!U|f5F{gXX&`qvF}R3)w7CA zFu%zL-GF%m8#@v!bg>WTg4@QeJphO|cfk`vf9@>BLnk+FCJaKj{dB;ixG)=ZFW2T$cm&(6tovRNH>TbU;=ztS2JvMruYvPpA5!|*&HlLn zdEk4{+Vd zQuagmg8dr~?j?)74DJ>Cf=a>Hte7%X7ZXzR~Vus_88LCAP+HR?y4=h+lm4|8)yf*j#u zd>}l^g{Xl{P3L>}X=g~D|*_a=p^w&z;8R@$%McjOc7c7doy~klu!d-WV^b&W1j!!8Us{^!*J48XTocoNrp9-!Y#i&Yd ze-?CA+$h?(m${~LkSp9iDzUC|b(uh3=Z3mLc#lh?57@@NP2u1^cP<8yM_hUVxGrwQ z6zce0`1V3zT>0ytfo$P}4}rMzO*ruXORO0*HXQu%a)^hlqEI&vz zn2O&gZJ=8*x#bxoQ|42h|I1U_SyNLf*-slY1DNUSa7P`&Uso6)TC|3q=i)*uelDr} z2I|!pX~wap`3|&e-#q|{<2hv+7Z$J(j4KPL_f}ijzaD^jvwoEMcClep!uqftyRf`3 z8~+A${%kD0NDN?~hWy{>JBGX?9M>P8#vvSk{&$#szjqZZeu({?F0YDex9RgKnEl!r zg5Q6;whHnYQ^oi>^B_h0WpgOTEf+k;?|)pT#_7+`X@jl!k;?RyMO1UIdesNEe--`$ z$?AtjXxBWegnaEdN?z*})TukxYpMbI`_eyP{&|C@uNz8ezi(&%oCmXmMNviJ#Uj>% z>|_H}aO2H{p8&p##nZb9ANFQFIA3O@P2tBLj`{CH*Pz)%Sm{k6au1vK3?zWL|F24U z&;p2)eQ4vQb6EBqKyuljRIHGPI0UYg6=SD-jEPC& zc0kM7AR3KTuuD^6QOW*zAJ8h6NxADLbJK&|Vk7tJ5!6C5Vg88C3IKV+u2L$0%}&s~ zw2OVQ3!E!AV;0Cx?(9DhdUJ+;AU<4WB!v69v-IHuxr{w958}pukL7~7b5F2%D3>1x zVHme|C`dRLMzJ)4d&3DXiYtB}$OGKOCHo*f$lbmJ63u0dg<%{Qn1LnEaM!3y&*Y@9 zphJ64r#qYb_)U-;uAdBUa=Cw;Fv#Qn35G#F_rU<@kY_1X7IF*xVOPxg)9mO9H`N(2 z79UrU2jOk*j}0J=+&7el?{ItQaNp(L9R%`(tMLVS%H`zs9l3)~aZr}?;-5x< z?Bo}J52!akh4zRKUmOVwUw#AiGlBfqR*)dxmtL#}^Hl}Vh49Cgz%G=Z69y8-e?`eP zoIgyrFoGYx8cRg-zfcVl#ZRK~?EyZWQr1Df=Od69{?$kaXcFJ_5}0HBJes#8^TX*K z*KvO79}uSSm#P6t<+Ijv+*+i3rce3{20343ckYvQpqd7fUb(~KNQHz{7ZUyh!=Hl!b>AxZ~^2FpSTCY zCVoXN$X$Mu0m5efo!+e5H^LTv^-@S%d0Z?q3Lm{2Ztn9Jys=Uj->L+05iF<#aTTNp zvC#`ChA_@qhjqg_ z&1*p7xB$AC1kM=?-4RYnn<0_=j*{LnF6lAsj&s`>NGkWdJC;c2R#L5Tg8PVS$Wz?# z7to#I1XP@4ajPf^=5W6{=1mh<#m+Lw($0RK)m<~ zTfpt)9|b#L=*{1y)8@lxJ%Tile?;F13F31pGzRk-9)Lvi;nbVQ@YQnwiRC}0XOP5; zsS-}+w^9+3!hdXpE{*@|47eQL?K)P<=VPg-JjYAyAf-GkCw|N6{jra1V|0&sZ z{?7YQ-rzMzgnI&gl10}|+V3(nS1RTChXNy*e&=m;W=*E@`O8$kgOwg&u3grUndgy8dpBiA`s1X6d?Xe;D%@oNGErD;0Z9^y=r|k` z7SP}|Sr|#%GDXv?4AVs7|Eu^G(d_o`9!e~-F@iq6E8Ct82V2tiBsa1iRS* z*OAQe=8vFJY{o`N53r%%z~Ug=P7f-YZQ2VG!=}CiT`Vi1fFH-EP?>dzZTNrfU3YjD zMc2P`X7}y|0wjR+lF+LGUy$BjP(%WR7Dzx7F%bfSC?LghLkUHS2_y`G&|9dYl$%gA zKQ7j0f2*!hZyFkC?XxDtkq5-kKc~!#@W)E>d_$_@n4=fSeNn&f}ox#Zg{UE{KcM zKyHdYe9Cc4gmHkrEfN~Ri#sAfK=ZEng7+~QqH05E-V@ge=)MSE4)j3Cr9h);-Eep@ zhE{$K=2&_!Czz9|DNpJcnqMExSW4>)<`kMUjq@HwrRSlhdGrde+4Jd_9$+q@u05f% zkcRQtT|_y#F$uJq!_OMp#!+)Eo#0g5MXjnpmPi@9z)YffS3$N?(M70f8*Szd?RNTf z9ZE^1!~!4(>4q1Whsd`C|AU){X<;DjkI<`}4j&~yUeJ$W#|7qbD#=HZKT-%E5&cBv z-vl~A&MmONM6o4daGAEQ1~Z+$I}8>|2Tptt z61_R-uap^IpzLpDLS<-vC+kLoxk1*v0hNvN)>n{yFTdgWkSs^uge*m_;pybuDu<7O zH{0aGydc{pm4x^8;+2&a}u`}$>$)s*T z=jDnuAUEZ54l%dnQx1Z+Wi%%>cVzC`klmHJIMK?GzVm?Y6_VY&LGQ~_k5SA6Igf+r z6WK%Esz!yJJ==jeY&XS^a9Sqo@WIeDK@wn}LuvS~vjGCV^FO-Fm<|=(HIh z2WeX$_QYRt(%!D*Vd%8a$r?{sx%QB788sm@FzRL>aN-{5UX`D9`t2s_^4E5+@#S}`E4NEFY5Agm?|!iEqFAlm-#GMYXe=3^s?&q0U&-36HF)|Fy z=c1JpD1y%0LGZA;0hq(-5y!9*RF?zeNGetX%t&g&BV!cZ=6)Vc@o#`RmZqekhOa0h z0_Ni=j@O0pRJtR~ofGKbQNW25#5vU0H2YQPL{a=;7)H}&-mXs~C-3$qQw_F{q4Jza z$I_3SqfVhu-UU(QF#@GcrQjees=N;58&W(-SJKVdK;M$H>pL*~j+XHbdlhvL zfyHWiwGo(Ws4SjoAq0QP?*_Q&2)}rhNZ%|1N+PehK9zZ zLq;skl3CKlyNWet;G{07<3yIK~_#|2`lmX=Z1roT9tD{X0#m&Z*$0 zQIS@#KSO(BQTACXaTCIubc*xhTjZ_4yiHH?fIOiUPau0rWxoP>M)}Uee6;+zKV)NM zpdXlHW!mdtPL_}P7RSg7KZC@|Mm*Gv zQd<-{LTh^qWTe(V38h79LDf;=*VDuP*Kvy&ehpemG#~ksl zY5Q2^x^}4z$PMk4dyw7KJSxHMTUymb|oU-%)xcHPCxW2%0I zk5LZlukiW$A-y_h+=um1eBgLQZ(9>ZpV6;f06MGB=G^3*UVbZ7&g*X%=Vk7KzH=hT zMcp|S!b|$+{80C@{#<$0#*ts#JCOaNS5zR+^`d;*I>tVUGn29QE1v*;Wq*4&P>j9V zbEw4HV|fLhV$XbmbhdqmCzx~Wdw5pNwM!0A%j}QSQNwb31kzJ|g*})bwS8m1&nee> z`-1vF8|-iRLS>_Ug!2UG_x7M!Q2oJPgjbJbd&5fHPxi5#EpE0yYzEmD`}}4=d+qgj z!XL2b5e3pyi>)^|W6jbin{9A(gbpwY&njUZ!; z-gCj6YLw=&9%uZ(9Xrj?(?F&hkEWr_cw@mR$P$cLP7W6vQT1TA#5fiY=4#`okNEgt zjj_BsRM#4t_|(K@gq4J9qER#wD9NbDN%lIU(|w@z#>MB5Z7@#oLFq>0`aq!X4aZWD zAB??wQA)Dma};Eskz**xapMwCu`@;(pCF|hOZi#F6{9wc zl5^$zMqQri4~z~xs~#DyJzz#UD$In+C`b4}kkO8M&r#?Y$G@rqjddh&2Y=;wW&;`L zSQZZEct?>6FrVOfo9D-q(z{#?P3r|tsNry9GC}1*q5mOkSJ3d=&-oJ zbMus_#oMgYV(B=bG?BMS5a1ONa2bYI#Ur)69$rx#ei~>bRY`$iB(37z+Sk;P_bE{n z&fC&xQXJ2x)6a{(}S%4uOR*d-TT2XnWq z5dySF?q~?vUOAyRnET|eB0&3P4?f>GAiZ0HnJN=GY#fvq8}hd0ko@EdgoovUa0rjc zh}$5?WRWUp#VL6@9rhRH=$c?=$UYsRc@H~Yp!>2guWb*c$0VSKaz~ggDSO*MYwT zLnY{9eO!k|48(Qc1{|e7y806e>$hq?u_eVKk1~=mb)`*6Z--uDzKU;1<%<0DrLaj4 z((T_NR!K*0yPAB#nsj;^J@TR~86mv%3MDYSHDgpJBTeSh zD>hbD8_}cMIel2STxGq)v5Bl)-q1@-NS8KE(<>BOqQMn7Q#+@{nYVPk)H&6yF%{46 z=1fjFqoP{TYo-UevpvY;?m=Gmz_%lnRH6y}*eiJ%Iw)unD%9V}wH&`8t(nt3#|r$0 z?O%%D9-H_&d>8BVKF!iTOPJr!pMO7|!_rSQ<`1Y0PNw6hy)2IV!SPo6)H{u-*k9xM z<)(DXiFbVdKVR|bjdy%7hW=|_@gec1YTlfxxle=~=0wQ(Vj|3J{&FICWDmpK?!zz- z55p79>4CH7cNjUXi}SC4pVj#7khl`R0nKsE|7E>`@OQm|;KV5UGp`{0U2h;b#h-fx z0jtE{@&-buKluv6KW`xL$;&@)ApG+N0s_Y0`UXPN|K%G9R7v@_qH2HHQX8sz`O!e< zx%G(3A8b#=Z?A_g{PyX-!Hm#<{pRL3dmG+={U2^_-1as(HT6wB^8ROc^WVL@Y5!O6 zZfKBdrzrpZcjhg$lAY9_Wnhh`He22e7x9uV!_~-bQJr z1G&tGdc0`p?G7&+nkT!VdEFao&)UR1DwE|#rEE8_p?a~6(rnS#%8{+7iVCJ;YDZV9 zt8R6og6hTq>Z=BfrUcjS07{nyUkeXxZ6i(C-?q1YjSQPWfTxkJ<3Th+D-u=K=8(SP zwIJVyz72f>TM@mG?{N(XrckXw@p6#I2~nFm zE~;Y~y-pbwT;sz?vkOlZ-J2HYkEsar;ZhXxF|DVn)*r$w-WB;F`PoIXI`|3A6f4!o z{i%Z3qvHBgeR0`!q(6D+LQ`i4(;$)N3K~Ln1wE|diXBS)Zzj9?d`{CeRN@#$Wko+% zwPCbeD{#0fD%)WbrK|gzwWX;Wk<^Yds=AtvqAObd_-atuZ4(82Nqf4Yv=X_bhl|Ejp+qC4r+qUf(+^lWu z;ATzR1b6Hb+`2=H;Fit3D^?#|`;8ivTt54>qW-S;O5%h<<$O&m>oQ8?f25?2lr<{4 z9yQS~hK|0rKzChRU{C+j^{lMX#Zw(`qWic8)-uMwqO?}VfpP_KPQafgxK+(To959b zil%wIP5wymJycUaqnKJ5U}(AxoBJr`<7X7gyxgN2`Wa=Mcrujv@xU)zGUl6G7}rhn zI7Sia?GcgC9?2e!TH(8Zx5`zQyrvu5z?CN|iI!dV*zD=@&CRimv@!P$U$_=MogswQUNt=Q~6Q||pA%H8#|urs?t zbDUT!;7YC7>~pJQ72Oo4?R6nB7QL z;0eNwPFBzSjbhopx%=YYPAgtn`QC5FmVNeG~FFV#| zdmT6{B6?bHn0qH@Vw;b@nvNbd*j+VB1}G4#$U#1 zRbB*A6N8;uh825*_dD2W`vZmlw7|@`V6D)+wPpj%Ve$ey|JY-*3(W!?mKHrk4_Glo zHoRH#doBKTgHZn;dhG31;ICWo+X~&~zlXX0-+27{U1s~uEpzXo->Z=8v*>e_e!*ZP zO`Yjz6l-esG>=K^t+d$LY{+`d5O3;pv}GL(?M_%^Omj z*n(?9UfqJ<18!i!{ebIOFqaqe600(S#w)_ZRX5PcE8tnnAftn*)*MPmzcXXv=Sb=R z@ewwzjFY@fm?b}0f&XZ0qVt@l_kyHY?UK@ItHj(3bw zPEG@@+MNEGn6>L5?K3f##%Z95SzZ(QmWf%;sh|~ial@RSnR3_@?&xCp(bN;kg~{h~ zIQg(*PCl$S-h$bWw^WXI(VS#Ab_`Y(s~Im_CT6o87M$5x7R>VV7R+*9rL6Wgggy&a zi{3SSgrC|8U)pKEmf2D)n9JgY!?b10VbqFwa+{caDWK{Gb5B{=c(upo1snpgIeJ1Z zaxRu}0QC$}l>h^r&OJR7;ROeZaJb|O*b2thyd1UJo?J>P=a zj3>NRe%^vv&J*7%e`>)jx4rsnxyP@uS`>nQeyDcB7avcHER54+3ufO!RF3!1;IgU` zh)+e!tYN928;(%ntk%(u9p#1-)MBW4aS&p6&CWfnegr!oMvm3v- zs@oltLW8X|-%cDEw6x$ifg5J+>heL}3AndK-V0cuFL@zk`B30a7Wr7<))qV!xP=AJ z*KO@>g|oc$#E4o6g~=9$MBrf-yaU*(e*jou{P7CM4x9&`qsE5f_B_H|;T~poZft72 z;3r@zUqB{s9UzymGvaNxa|-aIH+9s%r`?Y*!~1a<)P z`sxMw9N}d7@Ybw5&DaZggxU!+ z;Z*5t-PvLt0_i>UNrS9f`vONJ!sG9dGQIv3I9ApD01Lq;#KK$LCn$6`*k4$RIRUJz z#UB{HHTqlFp6Ju}EVwLiR}20ZaG=W319zZS);R%}9td`rMeP&dcB&=RYScx%_s;Sy z8tktvYO{fdSn#*N;VKSh@5RA)?r1Lk2H1lvYQF$~X2E%L*lh1uaB1LZ3$6iN4jr61 z4=_F&1CLfkdZL3@sk$IOXV9SqvT7R)DUT+=%+WLoxRn~x)A04WhqjobBN^-&DhbwJ zAHzB{%Q_A0RFw|xcJEtUup}BgN+J0|0`z`)f 0) { /* receive the message */ CAN_Receive(CAN, CAN_FIFO0, &RxMessage); - if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) + /* check if the message identifier matches the bootloader reception message */ + if ( (RxMessage.IDE == CAN_Id_Standard) && + (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = 1; + } + if ( (RxMessage.IDE == CAN_Id_Extended) && + ((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = 1; + } + /* is the identifier a match to the bootloader reception message identifier? */ + if (canIdMatched == 1) { /* check if this was an XCP CONNECT command */ if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00)) diff --git a/Target/Source/ARMCM0_STM32F0/can.c b/Target/Source/ARMCM0_STM32F0/can.c index 1fe8bbf3..71340fd0 100644 --- a/Target/Source/ARMCM0_STM32F0/can.c +++ b/Target/Source/ARMCM0_STM32F0/can.c @@ -190,8 +190,19 @@ void CanTransmitPacket(blt_int8u *data, blt_int8u len) uint8_t txMailbox; /* prepare message */ - txMsg.IDE = CAN_ID_STD; - txMsg.StdId = BOOT_COM_CAN_TX_MSG_ID; + if ((BOOT_COM_CAN_TX_MSG_ID & 0x80000000) == 0) + { + /* 11-bit standard CAN identifier. */ + txMsg.IDE = CAN_Id_Standard; + txMsg.StdId = BOOT_COM_CAN_TX_MSG_ID; + } + else + { + /* 29-bit extended CAN identifier. */ + txMsg.IDE = CAN_Id_Extended; + txMsg.ExtId = BOOT_COM_CAN_TX_MSG_ID; + txMsg.ExtId &= ~0x80000000; + } txMsg.RTR = CAN_RTR_DATA; txMsg.DLC = len; for (byteIdx=0; byteIdx 0) { /* receive the message */ CAN_Receive(CAN, CAN_FIFO0, &rxMsg); - /* check if this is the message we are looking for */ - if ( (rxMsg.IDE == CAN_ID_STD) && (rxMsg.StdId == BOOT_COM_CAN_RX_MSG_ID) ) + + /* check if the message identifier matches the bootloader reception message */ + if ( (rxMsg.IDE == CAN_Id_Standard) && + (rxMsg.StdId == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = BLT_TRUE; + } + if ( (rxMsg.IDE == CAN_Id_Extended) && + ((rxMsg.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = BLT_TRUE; + } + /* is the identifier a match to the bootloader reception message identifier? */ + if (canIdMatched == BLT_TRUE) { for (byteIdx=0; byteIdx