From 5a6eba22d1deff970aa89cf087e3f80e6061c28f Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Tue, 15 Aug 2017 16:12:26 +0000 Subject: [PATCH] Refs #311, #363. Reworked the GCC specific parts of the STM32F4 port and improved the makefiles of the Olimex STM32-E407 demo programs. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@332 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_olimex_stm32e407.elf | Bin 429615 -> 1029256 bytes .../Boot/bin/openblt_olimex_stm32e407.map | 1295 +++-- .../Boot/bin/openblt_olimex_stm32e407.srec | 5048 ++++++++--------- .../Boot/cfg/stm32f407zg_flash.ld | 181 + .../Boot/cmd/build.bat | 2 - .../Boot/cmd/clean.bat | 2 - .../Boot/ide/DemoBoot.project | 398 -- .../Boot/ide/DemoBoot.workspace | 12 - .../Boot/ide/readme.txt | 4 - .../Boot/lib/newlib/_exit.c | 38 + .../Boot/makefile | 356 +- .../Boot/startup_stm32f4xx.S | 363 ++ .../Prog/bin/demoprog_olimex_stm32e407.elf | Bin 137826 -> 686504 bytes .../Prog/bin/demoprog_olimex_stm32e407.map | 568 +- .../Prog/bin/demoprog_olimex_stm32e407.srec | 1457 +++-- .../Prog/cfg/stm32f407zg_flash.ld | 181 + .../Prog/cmd/build.bat | 2 - .../Prog/cmd/clean.bat | 2 - .../Prog/cstart.c | 89 - .../Prog/ide/DemoProg.project | 234 - .../Prog/ide/DemoProg.workspace | 12 - .../Prog/ide/readme.txt | 4 - .../Prog/lib/newlib/_exit.c | 38 + .../Prog/makefile | 285 +- .../Prog/memory.x | 37 - .../Prog/startup_stm32f4xx.S | 364 ++ .../Prog/timer.c | 4 +- .../Prog/timer.h | 1 - .../Prog/vectors.c | 178 - Target/Source/ARMCM4_STM32F4/GCC/cstart.c | 89 - Target/Source/ARMCM4_STM32F4/GCC/memory.x | 38 - Target/Source/ARMCM4_STM32F4/GCC/vectors.c | 171 - 32 files changed, 5643 insertions(+), 5810 deletions(-) create mode 100755 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cfg/stm32f407zg_flash.ld delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/build.bat delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/clean.bat delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.project delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.workspace delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/readme.txt create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/lib/newlib/_exit.c create mode 100755 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/startup_stm32f4xx.S create mode 100755 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cfg/stm32f407zg_flash.ld delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/build.bat delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/clean.bat delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cstart.c delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.project delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.workspace delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/readme.txt create mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/lib/newlib/_exit.c delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/memory.x create mode 100755 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/startup_stm32f4xx.S delete mode 100644 Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/vectors.c delete mode 100644 Target/Source/ARMCM4_STM32F4/GCC/cstart.c delete mode 100644 Target/Source/ARMCM4_STM32F4/GCC/memory.x delete mode 100644 Target/Source/ARMCM4_STM32F4/GCC/vectors.c diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/bin/openblt_olimex_stm32e407.elf b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/bin/openblt_olimex_stm32e407.elf index eb974fcc67a369b8bffdc9947f0732e8661097b1..caca523f9e40ca2e52fe52b4c9fe4dd4abe3d459 100644 GIT binary patch literal 1029256 zcmeFadwf*Yxj(%2WhRpwnPdXw2FxXaTtFrS6h-S~W*9;S%S~GaJe^5UXTX*TsEt<3 zK(Gy7S`@Vqv_-H-t@e}z^Q-xJctJwFRC_u@>^TJL>3BOTY3mLN&Q2zo{eGW46E4<% z&Oh%z@8`4O^UPk?XRY;Jw`V=;lC6uEc{q+^6aRQt$PQ^^Wh zKKX~np5)lrlgvP5KsX{XO{w_muj(Z}1wW7u{tU5G{ybUCa5o%-kw{N26aE4m1ZEEJ zRavvZoQwWyFzrV}Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7 zKtn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7Ktn)7KttgFV+45f zEj%+k4|);w66n{U-+;cFP$%3Dr`@~p{VnJ<&=Js4(CeUH(Ck4*`Qod9w;AV0!;=@1r>uzK`V=xVFtbykPTD;ngyx?)qv)J=7MfQxH^0nfUW{v z4O#@c4s<=J9^?Wo1}ywiW0(~2F3urCqR*IRBrcD`hd; z36ek6HH4Sw8rTwwD?X(%F;hObU5$F@fbY`zjm*Zy>Sb}!W6ne$lU9u+KmGsfe*lrj zMe1%l#90g;K?=*uhu>Pwb_=r}m31NB_NXk{*~~{}z3)*u!)IO=^q4)o^cyA1YOs)L z7^ZC1^aV^gs_Cq1IJ3ZzotBYK72=}Ri|=rD4A)lixi(4UnJZ>YRx!OaX(60Jj|y&Bbv`2->?X;WCq~2=GxH!84%a!x8th%LosUlg_!&!N6n_Ow7w93#9jgQhelk44$P+SckrIg;5by9bN z4Xzi3m`*yB*x}UmIV`#cL24LbmFFV@!W2WzThH*^GWHLZP{Te zTLS}L-Mi$1TA_xEiqbO*;YXN?($6lK4IWWCuG$RU*{vqYmuHE3TeE5kBH1;QBl?<> zsJFc|%Tl9@{=R)`b>-2i)~uymENkghs~~-EJUhl&F<48%aeeIJR#T6z(d6S|g7mwQ zR9b70hn1iP9WX>0w^?}U`2?r-#DfXAAwT5K+Zhzjg4N^SM;N9ivwcdY>gZds$9;WO{x)$C|04Z~&$()$|NZsnKc=OsSD( z_qcg^j+Iw?*`wpjheH^dXvEU3ST6Af^v&>v@ee(g#ytDDc%ipeBSskG<;Bs>0vC1% z`x(W&=sIP*O6~2V_#y`5rFX{pLq|T7wo(6NIlRM&aVtC@J?Pr<&M?a2QZSxHW_LQy zDJyu%gZ5)QB7h9tM(>)92wTU;xJ9{*t2P!$i}TQPYvNH;XMrSAe?9yy+@3Z@Q9xw=Rephgsfy{D$-D$UI{nnP=3J zd9IzzbLW!z`YXtM{TwncpH1fFHDvxyHTW3vsv;%Gj}b)mQ3lEcWr4CmIiOt7Bv2lR z!sUYsKqgQjXfkLDXey`(R18vkDd8Ja#uoygT+ehBp|Y<*s2&I{yab`EfzU!Abbfrl zvnY+wTos{nbvG=Rlsds@u(^D8gyRv8m5YAP<^tE}#;<7*eRUO!RURiUr1rh>N~HEdQs-fn z{}P?$nG{1WGxE}*adRgxJv)AbJd1Hxar2$$j9Z>|e)H69^byCo>gE#X*@ywH1Rp zl4O01O$()ccGwi_EuZINk#;CD}sV>jR8B9pa5i~xA zy0;%<oKiGSo2lUB=xJxj8mB6`orEW#PX_Q0s5HEc`?%95Ii?T(04; z$&4DSLa+L2Ng@d)Zur``))TAmi2aC+L>UL8zNVuO^G$;$U?P*yw&MD zc3BC3h&)EdsD1O&Q;E6D%s!gK9!vOq)N3D2?Dx_9zB3W?%>*Z|M(Eeqa1p+y04IjRo!d=j`tGIOwH`{$G%(G#KugG5# z+{w7%tu6VkV*ifD$JLa}g6^}A6_)vr4IO7icXLt(cgx-RIj;{njeG8XeP}y7b@x)n zNqVmM9^}Sa{KNXQC-aN%DGPG*pI-m|`l285cCJD<%A_}^?oWuEn8?WQ{k(uHyYJ&J z-KaQRcH46ac88eYz7N+sEHQrejA*9F4d0(%>~B_cP#mm3%PhtI;vo1tIOL4dDGD+R zXRjY*+}mRP*%h_N#69c#*JFHWDZcIaP&ogVdsZ=C`XS$O&#DIgzP)O$_TIxwTlv%X zybi1)nML0}K2&eK8Nb`vp6@@7&^i3+?;CQCcl~**`|RU|fBrs@GPcQP#>{Q91^yi0 zCR@!}S?_gylG!HPRv?|pZE`uj4ty){W%$m-cN;>^GK-QRV%_3+eaOSL$yG+KyiKll z%tejnPim8A8&Qt>Ouqj?d}sDQy0fd+)&F3DzNC3WV9KQ8jrC{s^~KHkIny_0@~D&g zT32y%@kRTz0LVqKi^2$ZRKiRdz<%eEZ}Lj$#QixpAGB< zzXCtPldoMtyhHx}AA@g2|4(;zRk_IQLH->mb-hFS_s|k*s}pUM z+G`nlh)^>deQNfpL+8Wasfif751nV53Zk4@iFb{O9`kF3)YtA7d@f%b#yi$@+((kY z<`H!TfKb0cs9inTmxSt7L-^GYv&>jkfanX^2x|t)1C1NT?o4lyFQa@N#=Q<7v!15W zw5h~j1Zlekah3STqZEE(-bl?7N}Ll1w zpu{VamvS;hCwULcH$rK}EK2-ktkf^gBDyFL@G0@;30KT06LS`o=@3Zn)_JCQj>ttu zW<`q)fqW@C-n6Q*Ai!6P(al-Tv%TMDoWhzIEK>TK` zVeg98r)8=ozFiX%)vS!PM3s=QZqWPk(=-2@7v`zo$%N~|QN1VJd1DOeJD`ggS<$JB z@zRLAST1KARwJxDWA>N*<^?k^$Wx!I^3<@LlBY&x(JUV!Icfkd^c|FWxR4z6AzbMD znXHEkQh+>lO1?QQPrWZ^P)w;8X^Gj~wOkBx;e3QL^3v~QLnoUprKQ=oWM<96Tx0jh zN9aF0x(wJp0xH8;OLEi1xVr*wPornkn(!j>-vaU=Uy6=5ed}wGUq#5LF}hT8ou{Jo zOW^I0+QJP$vgm6<%}_%^+>cN2x?A z3y;ji7_sm&SrK~I-82qxl^Id)1tlI;Dtov+$A(;xE){5=6v))1c_Llcr;1o9M3hP) z%F}umL~GHDdO+6wvqMV!^ms#$q0!VTNJ+sI3LqC%V+=DoX{Jg-f_bXSZ$H`-bYxaN z+!ppI@joi&9^pbP&Rf)KI6`4U-M>E1KQPHN+4E{=X{@OjNJ0m?if1++xg8zfgSeg0 zu_Yq#+04JFA8?y9q|+ldkGpf-bXM~T##u22DHep9HRpm`2zi#kS9l-~xB+!p?Y4C%Fz1I6sgi8OX34V}8moTw6iKuu?Y^T+?dS$IG?0x2K5C@ybCRH&M9 z>Mx&h5-*yHXnck5*^kLjfcAi%2JHtuXFevsK&y^<$K;pI=#Pkd2yU;y z?^Qd>a1^Tzw6=mqQ&5n~gkyZTOK|PvxMA6!?Q%(+VDfYOxH@ikrnfXm7zz=tBLjO0 zL;YNb9$z6McyA7dyQm%^8!usD-jP&9rhtsEw57N&vwbahL1^;Z{ulnFrzKsh+Ty*J z^AC0Z2y4h(tlo9I+3v@^(}OdmGClVKCtW$lcl^|Aa{qMc!%qH`M>6Oq#U6$I(X>5B z4-F&i4>#_1a{V4j)Nl7r_X8&9}{OabFFSUc#uRP(vvQ`?^YLv}(3BVQp=*H8F{Yo2ir8n*G#c!meh2QOvsG z_|PBu=3wv8a-qbPD$&*H68$8+N!seVq(u8z%}CVcEeUv+<~w|W0|BG!Ly$FSbTxqv zfIb9SH^2@$0QwMQ-3a?e-j(Gl@jbio!;Sea>z(??rJgui%+f6AQl=hfKgXFjy;UA#lY z3_|^kgJuyfL`TV8SPDe=hi|6U@53un{a_*XASYbeYH}6RcH3~uo=W4AaA+@=g-hF0 z;V_0l&U#8w;%_NrdRF~?SN(k-`%9$Hr2MVjuxAPS1=`7KKj|!A!T3kIyxzdAfyvOU z3K0sm51~E^-0CBp9Xm>4D1O_0uFi;@Q@d%XUf49m1eh%*pEbA|xN*X2pN?V%%^pgD zT}UAn-wr(rv|_4ugmxkzquM7^eu8_J)C4;M=o#w=0_*%Q-S-i+!(3i*c^+kwJm<57(zNCVVH#N`9q;)iArgSLRcGM@C?(t#hBi?|bt6+UYRO_h00=uWQfZ?2{Dpw90dS{z=(hyVuE` zWHoQ4b3B3h`{TfT=D(R{tW>siJ(Hc#`+L*BRZ zj|X=9%7M<>3&_6Y%!pp8A}gkmePw(yP}D^X6?N(IKI5B=y+vKbc$td>E{@Awc)0MF zxrFmFBekeQCGJ(im-uj3Qce6kT`p>msdd8f!0w<;G77u>y@9d~qLimUG30XbH9Yp_ z$|5zfC0|NgY|dGc6GK^r%g_GEU>MK~e_6!SjjX|MO3jo<`V`Bd9tkvJm%x-np$@|zv#0Cv5K14;a@IsnZcnvU2tf| zVq5Tie}j~5umyYN!tfF)JHr;7;kO1&flWhMCKKdsCJm2yhQr_bDDW?Sf%i4`Y=S$9if*q!Oml5t1nakz+#{4Mk`HB3zYtHuZ0;J&V+FlU)8iDZ1iaQ_=gN zd9&8Xb8_=RqUrf1dfy>kjwtrP^#v92tlLyaFk--i#<9TpB=dZ z&XGQ5o)}M!uL$!VH%8=$0}^@69KgAjY_4uM!WcXgVeGG9Jsjd}ZK&C{FjtiuiLHbNzjt#EeDx{c=H9Sv8Pz4U_2(IOj+iYkCJwj? z`18iwil8wG6FMns8<+Aig3mvTZ%oI(;VbbC-N#jIZr!qJ=;vFwDn9Cx*lIqO+2exb)90Iq zl3tHEwASto+#F;Q$EZBCCXXw|LB(Ellvd*8w=Y=aAU_`Ckbfv8>yfsAmG=go#z>s% zF-rW_*#Jxq0yY`Z} zAN65G526KcG5M*jWkv;@^Jp4+NPt^i)6gwgy_lLQ$ICEUgpLToeSu!cn|zHJ(VfDM zN`%(&BwzC&c9i_L2lLZm_z30-j*kgw`B_{(5MCXiv{tJv7Gs3fi!=?<+}7FX(>uiH z0xvm$BA*${ZH3$=lH2+KXCv1cPFui)-Syn)qo}1U;Sa614efTl-R>XSyBh6iwQHEU zY(62_AEY%;y4M~JA&tqNR4S8NYt@nCk-%X}MVA@NZpHX9k&0!n!;c!?H1x-LR^+q~ z?L;T^fl~LVSY4FDP$9P5$e30{xc9@#8`284EA)H|3oV{TOZA773Zf~Qf}}zKcQ3N>urUOA?gjXnx&B}66c>AQ-BY|a81aW_jvc zNVmmyAH$BWV8?1w;4ns{@F;>Cv%9{_AZ<`-4N;iSy}N3S-}T)RNHSq-iBHvL9i{nG ziJwbwwjEe;GV2bx&@wsp7|VCR!)$KO(k4&KZ&3dHSGMPK z^L(w_o&ST;uJA%E3U{}uO}@)^P`=OBCV$`LsOXjNU)>8CyH{>Ear3r2KkK9ME2Qq8 zPa9+EDBP{un0mrw?HJ7iH*~Q%nWs5vt6o3wBmFQF&p&dP=oaT2VwbwDQ{CXgSwery zyDfCU_fbgK`9YXRFNYSX#e$S}kJCs==jR%`ShdPi6fu&0#Dw#YY!TdIoyzlq+d9>a zcov6F=B)`8gU3PeAbn`48}8!2vIWJTvs@2%eq9Ua7Hf6x-^pRy@8tG6hTli(9+d9} z-Q^l!4cmJ>Dgls>sO)Yo2jO9;5t9xlVAH=** z<^1PZeU%6M2Oe9Ksk4O4=Eur}$Ly2sA{~&STL|6)35(~~_(%)1mrvIlQoxG&^COW!y#Q8lijAdG&~QMvb|~SAWhp z5vG!vBbHPcS{HWVOyP1#T7h-X2xnP>v%%-^g+{}{q^YAE?AsGRvDtSsoE3ay1z-NC zd;+I?-^7XB-#OMUx4%g1@6wTMoU|m94CgZEee2}38)qDfYdHMqH&z-tRK7RQK zw_wJc&-=}D#Qx>O&$i??aMJV2i(Qo3lVj!{$GIi>2Dm)~P24n)g8bAb=cUe2GTu=< z#mWYcWw5(&CX~($>AePPNr+k3gl1VgLP4~LwbqiB#b_s?CA&&UH<#-@gB_4?o++Aj zL!kZGP_Ah8WG>BY&`EjY1FaaXg@F)fsXu2BbdAv!v@1n&=0sa6uTHg|#Z zoNIy}zo;3KaHDCX?JQ$Pm?<3jt%2Xos^4nWZ^b2kC3hm;dNtmiYCM=P#Dm|>s^4nW zZ^b2kCD=Ob-{m<_YBFO*BhfbWP-LMH=3!MzR1gpW~e4UFC&s+ zPOS)Z`07Ch5b>gQqyf@GhFyvGtLGmC%th}_oP>Z@nXCCyJ!O|#eTJ~EtL@~fpgFC| zh+=HGM;~J|McSLW2mAiRj(e2&pAYEBhb2a6U(wl_2_a*Q|@4kd5AG$HE3jVQt8yV5Vk54) zXQ`g-a46WbR85OW&;LsHvgUwoc)Z?%d5?dfEl}cuTtuFzxM*)kG{$`cnq=} z@k;Gg3SPkt{lLDW07+fAH}2$Jgw?@q2UFp;{A#Dkb#QwCHTLni+50r@pF;QZw3CYp9W2Io9NbCk zMMH##&WETfuoS(2a4;iw(PgLVQs6xZsdQ~-DOZxY-pmpO1<&rxSL2>!^X6qOeKx@t z93OHPl(;ft1>C%O`m~D+E{}Ivx9OloL%EEcW5$C6E2}yw?`Sit+qQ+4q{_io@9QGl z>q7=^TNtthW)1_e651~xFH`lxc30D07Fw*yR|(CkFwAIwRNXyh`$~JbeTUEQ-!vGt zzz=1-9 zP!d4M%_Y8E;)b)sqY=kBT~jVjZX5)j9RaH(@M;W5QXM`qJw6+-*O`**Xf{YATLI3%3F7o3m+$73}Joka=w?bvJ`4$mv`8PwEa#%$M*UKLg#5i}q4 zK_bL{5%D$JS$XnP=oLKJ6OVEBV_jTbNh_-!!JRGIPx7{t&f=I{R2z{$Ua${4OQdO@ zG=|gvHC54v+v((PMU)S&3Y2DXi^Q6#II|!~LPmC;iO~vJUoa`gtKTeDOQA2&$3)eR zeQNb90tZ^LOsQ3M*a_p2U6^-w$?q=64(*cvu)vGo|5&g~{$qtOz}vjxU2+7rsA_u{ zwv({+skVJx?}U%Zj#>_Pn(}K?`42NuI=GfKMF*l?-xEiuiq7p|$2Cs%AHJ zKZQ~jYVxAl_oCOHn&`0u?XDiescw{F-KDNATM&!ti*&m=o`>3_UCmhA&Wex@N2qZ~ z+t9Nnu*!%`jj^ilN5WNi1IJh8&9UZoTTgLkxQc`Qko+fgWT5+zdzMhUQbzo0>$^UA zYwS`kMc{HHxU52~C*|efLVBa6;FAHDfBOeMXGX45`IIKFzJ!lHGB>vKaJXtN;%t^@ z$5ufHU?jZ)hqW;G4%X$n<>|Ghot)K(8Z*V1brQaLF;<;>=3L>)&DbCl^DKZ~# z6|p{GQh0<{Q;lKuz3@mS>NL_B>dwVUFVe1T9Ovu~oPe>1D?@^9V~Dq9#MYFZ?BeEs zej{IZri)o#ype7u4o5@k9aka9<6Pq!RU<^>8nusz{Mmw1?86IcFM=EDA$4CdESqXY zj9vv8Z9iK;`}kYvJAwAgNnwswpSz&LNJ1u-jSKzYjUyRX362uqhuo4x3VA zFy$LJLy)FlrV;&PvgR;~ z*W0RqdonJMQ+<`HGxk`#c0^X|S4|6U+vG{vf1-{(7wtEzc4|k|it}rkt;9pE={{(XlJQ5;qb4xZUFLtS>i@um zKi1h|%qVlE+3qu47SFQjtO~a%ecZ$jsg?7t35Ax}(KiKe5-azj7T$VLcAHaf^ezH) zg&`$=R#9vpVVCqd*=;u-q40XIg78@Vx7^ZtOG`(~>6Vhs`b*-{eREnfsr^a~YiR6P zPvN1-YRRzlBmA1p9h(i8#A%0aLsY{$10CzRv=3wqbv`gGqda^#tv;~-P9^@BVs2r! z=9YskhIFn{;SAliNOzB#?!xX=99B`$avNr)zl`p0`J|;{^KF|m)HpP56Yqt%FaJ)W zsE1CR{{XWu#zQ4{8COveq7;qLmQqSgI*RyA`u%7Ozi*CWPv2|?uU}%fm~g?}d&Eug z(|O#jOnQElTe!n1yl(~Hmw! zPpAHIwNlhW$Yg_$UPY2g-vc!t$+ry;k z$}W{_488}-GQ4|`rkGCYKjGHg$~QiCXSRFy`U&2K?)pF~+%Rs*Q;v>f&KEA>Y)~=8 zI#qg8{yO)aT}*m!gxZzPi}n=iSl=eQ%+zW-Le!d5b&54;E9>OYl2dl3-p6-cutVqC zs^D~v5@*VaSY2a5D_8A{zP)HcjYpLs>J~3{E?#{7mDgUq_<#9VKE2d}AhQ-%&#~jq zhEf$%;?tFx_}!bd;rBq&jNb>6)9`y&vIM{W3qO5C2z!rgwCon8*0R$7_Vdk>GejjT%levyadbeCN~>LIn=r}|4W zK0e-Xcy^;PJlp&9PFm>;k^^rH;DiHSbhtZ#n`Z6rbc)dbCgV9tX0>`t!jN_m*72pD z2~st}X5!ZWJtL(EY3oeJN5-*#i%^`jCP8P(_Bc!Xg!7|YCga8#D>uao8v`~WA=*r^ z^GL&$z)G#X4r!b~8mAFElVZiWc=ANPAW~X^P`~xXdgIfGR$QwjP^X(1jwM1yvx6Qv8g2N`qI>LOE?EM zrKK3>ac>FZjz!pU&Q!1_{LL=~lyCoN66b2D9Z@>O-;6ZNKt&+ZpH2%51Z$d@O^IThBl*;6RAXhiw$C-ZQmCgfPpT1Xa?4Il` z3*f{ebg^{0i?e-%Ga}E)>oJbfnNL2#tgxBscDg?D3OE*bir^_o=adXJ7HO(dF+}K9 zMAY)LkasDa{h;SSMx=Ldl7pVzu#Cfa&7>E`XxwH}S&~=B?R}S`@(35un$5a})#|L{#o;IQ+)OO$Q;-noTIk1)XeBMRlQp*@l7Pj&=LNsMp z#-aIZ71DMkz6iQ-3q}&+Nj}&&!W}LScm6|5t_P9M?tk-B&u5^f={tfvPsPfoPu|~& z_6L5(D2Kfd1KMJ$9qw^vVCRm?gt1;WV3cb^IZmKlZ-Fo_S+UX%anh*~0c~z#j=Sj3 zq&t$>Lyo}Ra&gfy)QuN|kra!#HA+nVfE}h zjBj_!8(WLq&Q^L`0w*hRvSA*m4%!+X>lS*AfQZ&GbgG%mp>Dm0M?c(zv!Oe>#4GKP za)U~x`*&U3wa<0&S8}t@pZc5YZ(ZbmtMVYUi?q**G5~XuH>cnYD6W(CeEgOhQ0{|R zchmhlokyr-(nDdg{XxAo@S`v{ry?@Blb^FJvOTuHOo=a09_%ttQ{uG>;}`n&!!%o2 z6ew~p^cm)VHeJ{C&7MjR?x%r+S&?qy=W|yb?Sh7C)^D7{XUKLHGs7ICCPtW-N5%S=gG!_T%?E>hHR-Uyw}U!;XXXNwm-qv~v#W zi}1c>;`yA-(C4@81)r;uPssK2Hg@hpJl7ZxUh~J`kNbbIF=qX-8t+i&Pa7DtA;(&j zxK0s0hX$4FbE7*DqZ&8*6WDVMgVS3G{{uBVD`&IUz8}W^PhC%#bk&vzu$EruTN!(x z@uVEizZa|D68B?HA!>8q)41BVIJOzQ#Ibwu%Z=Uh&6XQ#J%IrF@3`HQh|3A`J)fxc zeAY_mO*_k8z&9gVJ1u~(knEgRiLauD{V)+g*uNx#fyw}rsz!Z1YheGcgbulUD}h^u z(%s1AN;Q`^!RPl0`h7F;Z8evx)m*NI*=_BUuf+*Whh*NyEDeaaam?4b1YtW9B6uB0 zxZt)NyuP9GT8y%?nyVuYgxH^OA11Cu*dHYP0W-?+yAfYcCG1<&a;#63Bkg>oJwr{~ z2A|s#g0)Pwk)Gy_u=PS6n-O;Lc&d)A2)Qyrb?i)}>iF-8)a;1fTzPe5asxXb-khrE ze7xU5^*lE^1+lJ7P(9B|&=~g~;&5tOG#gM-AttU|M*1S?+Hg)J5g!|^gX_p>-8U_sr{p$H ziRX?#TlSQ^9VWxLW!h8nHkgF*XJHD%lvHDVFiJ@M_oyBvsq*|Me3)bbeuPrrs2-&_ zF=|06T2KnJS_(6K-WZ*6Nv&5zr@`&tac8~|F({zyWV zXkcnfm_rvrsbO{;T0ZP|Vc!CJYtc@xKl~amg#B91vFE@g%dS&jk4wh?ig(p$mA)b& zk~Ri$X}-wyII4vHdn|d7lU`D&#$Lt@CPePDWW(iv!pd`EsE>sGRMd)1y2k>4+}EkRCOShM2WuhMJUTuNQVCOAHb>HWs3xZK z;C%?J5GOYjxs`Z3MkB7$7=_%|%FR33RT9dBZ-=umYE<=hmFc>Grcb(kobG+BuY*j~ z&&ow_SxAhPd7$Yl$DC45GQ!olXu~I;a4`Lm6-Qq8*E2^bDvg&xG<1U#0P z(rJ8UT`;q;&{urd9^WaHe$8E28(eL0-|X9SKXkj0947VxDNafz604?OPk@aOU(I8k<>B@$1as zS$VVIF}eGpSGg!B+>d&qJVIXd&{|Z9pHSCkuY4u_|86Oy`cH}jZoZGVm-sTs@MWL?NQN8M;0@#P@HoRbQGs{t$Su`Zu18<#Q2WZ{ zqN-^&)n5hg1l})oT?~R zu&vpLIqPKf5uCln?~GWX+E4P;e&SR6NkKI4LO(%ULqExmW~=?=3EbPKThm|d5s>jv zjzyr^h0J^>Xby<*G^y-E1JtdYKV*d02j=nUx!3 zlf2Vf6Y(F(+^vM;b~OdZIahurQn*9K>lNHZNW|}!9X8&>SvYVnhGeAHMVdeL;~@Gg ztuv=3_jiuQZ&cblF}Gk1GY9XQa; zS?*NQ~V6-vbVYZtPkMt9yjNUT0>+A&aHZdTdtW zM{%1mwIWcz6Zh-_&^;+iIshz|xJjZv+l9{eWOt z5e4Gx2ye-#F z`>5pbdA2p9&v2f#P?%Q`W<{qs4Xf2fB8a>0EbhOc3^t7KwxU%2kG4CjPNl|7zH(-N zcGb*N`EX;y3?m+-jd_3lMC5+d~?!(Ic^;KDs}yY`g+;wSlL2% zNwRP|L?5m3%)`5lG#=fdgpQ*RlhcXzK7(PNeP zGuUIKRqVul6-vJylLwtS5bJQB!JPvM-{j6t|Ke<@TbxHSKh3}+Jb_N)LAlmN2#u|9Gxp$=0&1B6bx+D%EMs7jPM2W1#&gDHPbbcQsY^_G<9j_Yo&R-LZkW67*InJ` z!<)pDQ$uYs-QSng9-2SFjH! zKLZ(!TU4rZo#kEFxi@pym|T{|K0n>nrrS6aYl$*#B385%vMjUG>5pD~`{Xh!PJ1|e z@$HjytWMROV`bJ}`4H$8xQgizBFu$pbDhH-Z`Y;j8WJAp_6PA*w+UV7yRtp{2QMCVzq$=`}! ztEDx|g>&SV5AHp1Z`%D{)%{*_e2&1?Mx3LRC(4^eJsl_HT^k|k z{!p#@YG#!3bEtN0t}a%rn$8$pID6+fcSqB1rx}uDpZuzY57BQymNWIu*`E4NI*#wZ zTlg0Gg>UMcvz@-RrIUJFI5Wl?v(VUwJ7Q*`k9t1(iHZ@enP4}@SWMO7DLStXCvljy z!>6+p`=F!qQQIZ|>v!?274Lh{{cAe=hci|uL*SPI?_~CQo%| zRx2Ok>|8Ckh)(=ac-XEHl`QQUZ4 z0ej`@csAMdSeK}VJE%}7F^<$sPIs#&)_8WLV3yx?#dkG4zJE4f3-?3-t<%Mrri7$ulijGWzX(eDmgNLEVEte ztyQE)Z!OZ>NoAgFEA36|W*3|)jPX}8Yfem%IGlZOi)#&qY75#i+&+JYWD&V}dDT*X z9Zp%Cjb>oXG^y(=q+V6?u=^PDgFhc+bA1yxOoiwJ4K;vPezs0k5g(#vMtPZnsc6AE%2?YM%#vP z))dK{SK9>_u=LOzIVDE%vOK2N88ux0HFz2Knwo6rikCscvMe&2G(|#v^IA3w{LHM%&Cjs45@J_L9Y!6 z*nP11GBHor1Z+N#NSs=^@!)LFw?z{#xHNO?Qu?yii5YK zcN9WG-Rj7K{52^e4sM4_w(6oMi43pJ7>|e`A*~hQ@%7Z-$k0!g?7&O0Q*oLj+edpk zy6MNx&EEDa?`pZi!Dch5a@%}ghLp^(wQlL8T&__O!`<<@*n8P#ghtsRm23lMAJBfx zXI(yMIP7W|hh*F~qcasE7y2@i{(h$Lm6IcEW@QYe#+54J{9m>dxrKZ2cL(fvqi&qW zN%1B0Pr)S{bGQYtR|s=H>t_`y*VVG|&Y7LHswCN>u$W-xuoHm(I_K~GA~efq!dxXg zcRDN%E&>8O#_|5$R)_Q+>>k+f9}j!XJ>uYvY4ZuWDEx%%*tJ9EcTqp2Q_t+&(Xb(r zIr4;j-HO|`u{liY32&S}4LZNyf=fMO-ZH-3V@ApIQ1aiv%>}pR;~6UF6=}0L_zjrc z<2WmcT>U(pIlyr4wWQ!@&STQv@X?arLZTqvPlg>|o^N^7$(`b6;hgNO<*JSK3$yqI zxB36aU+1L5$3FcjU)m+yz;+%3r^EbG`q5nB{JLfU8>Bza_ZWx#DCF<`BN7 z8Yk7Rif}cJQEp(Ru66@AaFc~r2Mh4Ft~0u+>~}*3-2(TMvV8^leOvXL1;4AJZw?tU zzTtjKwzI`&nVH@z!CptEeB{_rHj|G~=t4ELF=>9y$JnqEvfJ$#u{~oc-}mw<9S*PU z?uTzO?R(vrSqeE)V*`y3M5q_&{{Qip=CX`H=Xo~cx|1Zy=Sws47Da3Q4+f_7EJE2> z{{tHq^eozWc;Lnv^ZI|V;jq6X_FFkCy!>oK<)m1Zx>C9uJ1?ZSt%#tO`+pGJD0 zk}{{>iQua4?RTLLT2D?zt}*^1uWr=Cji`qZ)q{ZpdN*5e7G+$IesV_?Z{}sbHDoXV zWidOV8!!mFWxIJ1hj*HrdCW&97f#K^5?wF2+hx0*!|9MJaJWT%Nos}4V{!EDAwyzA;DVWdeOZT94RJVOIGVniPs@!!-cx*>8eES-HS8m1EJ|^ znD_FrKZ`K0_RNw(OP*umaPltu{Ml~mDYqn;6so`4MdoHTju_)-@*wnPjNmjUtM%v6 z2iiSVJ?8@tbZ!Ygq<{Q)yvAr7Szc%`xZm<$W>rQt(fiM=5Gl;?d1Eo zIal@b<#hK9XM&OoJGshv5sFhAdD;2bsGVlKc^0*n-B=+zdS1mipo>^NsWtL7aNE)| z?5hiI4)WLFU9gkfqB?)wy)LW~>RM9kcMdzm)b404f2sUJ_KWNLv=8?8CV52ku-W|? zo_tSp@aN8|lhvJ+3qpZc%&(>1Zdru&ri|RqP;)yy!mO!rdoUU4u?16WH4Y<@P0fp~ zr8#(o=NV_LkLFB1O{tlaY}7+!$aS(yk9)Dgpjp?3+cV#bbfaH(>Z&cC0QAPjiK0;26 zVsAJd-j7#Ql=uu~8(QaL^c;^`5>JGBH1O(*2SU8#6?kM8$ZP`@*H-2bEY zIk_#B@6<2#Eo^wGzx1Y~+;*u;%02a)+JntQo1<4x|1!>_?XrqzgOrzl$6L|io{xg1 zt)JlU^5Fi$f+JXSXJDS?)EWAl3Xjr$77=l}FvDu}q%apf?Vb#J3nlZL983mS4R(Za^Lu}t1vdR$ADBm8{M|JID(Yxnwuua zl$kg=G*b`4`DFAn1^o=9=6n$C&D`^BkX8LAiWk}|ayzLeE7d+o`W0P3AFSWdiJpU( zCF3{J+ziR_HN+sjp&i=!P|t_KPb~Q%&U!Xv4L;@6_oq0YfHr|uo{fk&S-?Y^psp{L zw;j@Z9`ZyXc|W*;mD@buDJzx*HltG4KNoBLbUWXq^8U zMcv4e(#{4+Fd%=|-q{IWPVSloa)J4Z>Mt=47_yN@)*obXzYeKgvJxPU^v6>{mZQl_{$x$IV`Kk;KsqteErd`x($My74mwr2Dz+fKdswP()tO` z@9J=x?zKU@FoYNQQ@P>xa(H1nr&_>yEkU5a0z+vR;hmx^grV~!^lC(dWHg9~-yj(b zbsO4b{Ph?}BYH?9dPpOBNF#c)K+?!h+0jRKF~fe)9+2G4Y!BZ}BULbx>(P5$8))2{ z8fim|$&4|yf%lRtI+L@rPE{B0x|{0z7iC55ta30qp=F)zMk~Ji!7#w+Z3q3DWPKfarO|6lgL1Td=V>i^yMk|7I_um?mkO9sL=^Ck(n zWoD9q5i&D?pe>nzNk~F65FlnFSgQe|Mnna%ErNc37Te;|R#021TD8?yYnP;UL9u=j zwOX-ltX3i*{D0@ZW#-L<#idpIO_G_sciz4C-FM!-XS?T|dr2e9*^@D&apV5okX4`D zH#$4zKyOI+S`xIlbV!&<69&Ln!wgAxPsaKdmXlhXGWqu}=Iy^P1MxsD?}g6!nzTQq zcoOcppz*!X!K)@W_J+pFCyu;>|5a!-42Xe5sQaPqBo&}XtWU$PeTfpqN? z$kDRr(XGe|5v6TU5VFqc$%H;zF!RKbN1*#$3w_&m0Q)ta7*L#ucXRL%Y7a7iHz$@R$LZ@#XCaPnl0RSaJ5Rwv`M2tyV@S4{+ST{Gia04`-Ef-Em@LT{Akg*?&Y9v=XO(EOz7l+ zz07-Z-{_tR@M3|S(YN+uYnNr&^H-5&FQGftnvHeUg(#)94mvjhbPn$hA5Q1Kjx784 zb`ky8J_>(Oqz&~OHb|_3ZBDF%O%{1h+4eDQ&PgA3Ey60JVBJ-54)p4z1GZ&|vIqYn zF88aWQt|j6b`KqIBHH*U9o3$+Eqm!IsW^LYLiQ+7?}W4=UBj?{Ktfu2_wF?mcbeK| z2~i}S?3y@}`abUDj*5eCCc-L^2uew7IEs#Gs_W`A?|?sk`?kJRyvZHVp4PY1Dl5x7 zpJ>+Pquq!vv0hqC@#4QYaaUg&O0hJ^4Ym!aao-X)A+_hC;-X@9&pg~`BVS=21>T&# zpPg6beD}p_=c2Ct?8! zQI>D%ni$%7c;*m-6$2N~?$2I!;>bOqO80QSY3`GX+xA~nd}!ZaLwj&#I3gY1YY@2X z`4D(V(teiz*Y0EcmD0(5Yl{!;H(LeETcHz2mYqnoPFzMuWhdSaOhJ%{)f%@^Xd=XKj)=bGAWL&VM`(#1@Q^dY0f z1!N7dkiLdk{}aPz6YQK5LuZqYb^^8nONjKd6Gw)ec(so7GxCQ$6k<7tmN1*ExT5Xo zk^A9)2QP5sD4?_MWAH4tXM@uTPiVST`okR$uua0|;l&Aiu<~^7i6hzY;2L>wFl+^8 zIdw02Dzoj{|KyS)Kz)1Jlw|l?vU}>#qi0VhKm!D21HFWv58naO-7r38Y*jA?#F!!phcUu57;8zm$qfDZ^#yQ$ACTX&qSWN?EPumw|tn2 z5hT21${N`_Hf=%I%)JRyq;4wlBuZS;n=~L5IcuyKQA0rcM`HYnps)il`jX(47C@rvLeK-}&t%Q=>nS9R;g${0Q|*M}(xV9&Pp)Fq))PmLqd#P8Fl~FGBCV$xxp$~n z>1ihPibg4odFopN`ZgfTzn^->x-RK84=`;%xNP#0!P}QF`PKf&-qd`wry}Xyv7o&} zKYFV|uq|(1UU|6E+3cuzuk${5+DSdhX4WJ0n2U!IhgyF%?81UjISY7)3`3IL2*id6 zwu>s*JtvOTLF+B(-PK-kHT~bwZo8U#B=l};|4Us}=z}rehXv!7c1Q6|JypoWn4((3ezoSm-*~um63;ST5IUt%Vn!naV8VjTp9JloBsQfdei=82w z$48GN`{aHq6Y2@fEPwkelkHu}hf}2=_obLAi}7=Z#niImNzi7t^)cb-kts)EB}3`? zJ=C)T(4U@A!8z+M9so}Vp)ujzY?gGm0JGpb$LGH7v&%h)Lf27j%yLP z{$YDn=snC%#vG;#M6a;rBFNe^0e%^`1J^w&wM`8k&91O&6!~_mgzE z(1QD6tp4$shIM*R_MX?E6{mGA3B3l-lhog7Z$f!fIci&z@Fprk6s`Sa_)W+(Hq4MJ zzK0fP@b{>_kwbM`iq3yxSn+EWk?-cKKcI4Eyl>+>BD;A4vJRvmE8ZYT2S-j;!FzAy z!Np^3GsiXMPaX7Ub{|=52QqUV?5`);_Pd}tL2n`rd|FM#Zmh-Zt{@9H;xQEdi}~~< zxOINF_3EL$=CljCNdFlah4p{*H9V@}!m^BkM!bFe{6}k+9lnZsdAM;`9ahfk$Y1N# zx-~$Tk!?t4G_&BvkPbl@kp3sA=$8RdWDm3qD zZy$*9um-l}#c)cZ)xc9#O*`2 zAWAd4q+7gi^cKXzpuHie_b#{*?I<={Z}G0a5-w{I-!XB%^UnUn|H-(6c-{1)iN(tG z^t54Jrf()~g1rMg`5|NzUI^+#$A8C267~?NG5bcrQb;~S%Zo9dk%I}ogvTk5!eB^s3GyJs zMl2gUxq;=SKo%cS+%)daqQ4##dPabzPHq^uKLd9!zz8O*Rr4olzK8e7_#VoYb@9 zU$4z;-R)sx9-bjR^JXt!i+u-cgfc$q53vhVW~TNGZ1c5AhZAhu_hrm%-?tt- zm!TEWwYlis7br90IbtMyG0)`P@X#}DgJ%A1-!T6h4eQ$mr{p5~a(6>f+mZ&M&D?P3 zzVErT8E!ulU`XpiK`c zvGxs1XSwibY=Dmlbhr1R?I0?uA*rVhd$Nkhq@jZ4(pKc0MG35hIkMwzVb0+UZku%>dY& z5+|p0@4klK-|p5nw0p7x(W9+G@3F@yQlYQIxHYZs%}cX!Mw8FBRj;6BkZ8~eI#E)w3`)^YQ`V&A##m-x>4`mkOvbkxlq z*z5G`guRU&Gkjw^2ktNHEZgh#9lYsItO>5`aQnt=boAr(sH%+!-y`!LaMMn)W<*H}0Q?Of$ia zui+@Adlc&1aFp0R!nf_FDjW&Dg=_2bh9Ni4>o2CGCr+8Hwc=zaGDvOTc*j1>nRdYn zU#$kL&hfbw#2BO4yRG<899}2p1H~;am6g~VMO&24;!Y%VGTCESF$aVwu2 z?{(e6??zrW1-);tzsWZoPwq5lTW%~F(moHz4)d12iNcn?sb=iPhU4t?EqzmPoQ=;E z98UmjashU3!)G#%#}}*#OljECH)$U2>PCCFQ7)SGeN%*IMbY$~2yRJd3%8O+sbY03~xeM^j^Z7Hs(nS{7=Jl8R z##TtS-L1A(vf(9I54F73>I>Yrad-Rjjjy#Yzsc5q-%VBR&}LeF@W8b;1>2T1ZEM@r zbg=EUCiA*)^i7msYy3uEw!9nP6XYs=(>)1h==1U3frH<{NaOVU_ac&b{> zv_BOn(}AOZIwU+nG?>N|(K#A(G{&^?Ms%OXB8|yA(TYPpCwaTDeU{X4c+BvNw(q-R zmekIA)0j9ByGQkU+0cn;UBmVd#r|BWU7LI_ZnWKa2j;46-OawyH&^lFbJq=p27XDG zWrHwrCcGyGg74gN{5rHfNxq>Zy={Hb1>Ng#Hd(&3?WUsK?rU*8MZOI=&Zl-?jpM2F zzK#rncNLBAHaAr^?P_|h>9uQ~-*3YR**@mrzMWs+eYjgXxG%VG^vvh>?Q9Vyv6sq< zg8N?k`mV!U#05v!{qu!=ueC@hV{2vBdSJnJ&2Ees+q%kY@Ej`L3#=o$mjqM(H-#p) zi~WQ>$7)yw-i@N4yVoJJb8CTh5$aRjh1hY{ytl}@5a)H zsxCizuTX-alNWW*##!XJDn?ypTopx(s{+Hg%I|kv<)30)$?CYGvFV5!SJeM(^kBT% z+DNm03BI$9W2s_hYwM|dU=~lSlp0z^)*_|Zgz%TO{JjvT;U=N334U;;@?4>n@RP^omk=s9H zz+w{m1b?5_Aw0I;X-<7r;k`6AX-t>(=wPD8XLfT`O4E@wa=yhu3hZ8G9NCwy&dJRqJqT zE1$35>mfVSK)_c6&aBpT3Dza8^lU5n^}Bkm1Q*<}moNq&2RyxP!;Jk^8@53rT!tDU z+|{;@_0-~+aIzGBsul20tODG(*S6uJz1g{oaV^6dG86AM1%-1Nd73T}5w9IHs|I z{UxnlJ_df&m)Dc8mPKZCUe>nm{+{XR=S7e1>B&=DfBvYfw?0sBliGrAXr02_+T1s| z2U*`b%l2>VnV|RhhIXw7YkSf$@;E=E5eR;E4$d5A>s6!if0B90Rm8J);d_et5Wc6H ztzQGzGB3gR1oJL@XPXbm&D}; z7dWGA9tA#4^pSWpjYS%VGzMubZpAUt%dtM9#WJVGF7s%A0r(K2LORE!SevVwp{Mmt zo7d5ohffYZx$_X~ee}s071v*E+uig=Q%#G#1#*Gv!80ahSMzJl_SPEYdfb9i=JkSg z0I&pmhYhlDJN6o)W8Np~&-E&e7A6k-bJD{b_8}g+9r5jT>yaIhAreaH%|}M8hD)^m z_98$0g=lYF&xL`YFlOfMf@AcQvb*7rG9&R`VOn6)%=w+m_9k}T5BX+h=Pqb!1M8YA zZtQfebt1RLzQ)V2(t%uk4HNO6226hWMZr1&t)=yh*IS!ZHfcXvOW1|IgXVYU>}9zc ze;Y9Q@QX>4vmuq9ix%jUfzPm>jFREdjp+U(aR1w``p%dUfvIiZN5+z2B_kUUOVz+~ z2jQFI{Rd2b^F{2G*p`fQ)Is9Iq&XvJigmfgGx`!Hr_?o8Z0Ow9PFlx4o1Ml$cl&NE@hpH|5JV2H$3>S z_G_gsilFdzr9VE=_tHjS6Vl`C?(x1cH;?CX?u>3bA~Gp1d;Cekn$RasK|ZWLHpNo+ zbYDvP&dyiYj+>oSXU3b^**+6qd|7=1$NnByX7-I7d+5fjzM)eNbz0Y1Z(Oo&D8~7# z?ejaWYm?AI$`lj6lc!7~jSam@#@*r7&*fP}A>C}^cTea}oW+VwGWLi>jtClOGzVy` zUx*Lo1(qN3`(C_h!#ZRzYVKV5Tm&&x1aWMjwOiV$X%X(d|J<$uvqw_FSO8my0voW;A zc9yRF8Fc;9^_jDiIuCTr?i_vHIXK$7es<@J>&LV(OKHotrWc!%ETfx)%_i%%<^=0Y z&7+%4)?m~0+)>c`CRs-Tcf)&9eb{%@Ii})XJZF+Gy#%{J^qJCc>kIoJSNyXHhds9oNt|Zj_&D%LIYP8CLwMEbOwz*xd9fnoGd8X7O`-RSz9fILum7tJ zk3S*`X8Js){zs04x{PI@e%VP+kpK8BCYb&BAifUwC!crZN)(bFC-}Mt7_6EX?wzrB zSX*kv(6;okv}cLblaAH3RlQ7rg%(*OW{gWgtU1ks`}81 znzr($<`ua)HF@%Rj;6+%D#5vA)vD(DRke=hwr0Z9S3@f=Ur=N&YN=mto>|ixs;Uu$ zxeYZdi~N_FFK?=8q%yMh13`k$XVxsOY^iTH)SbPuxu$VxB~=&dLra`3p$6y5n&#@7 zR!0@pQQH*c3kAX9a4LTbR<$%%)i{@i>T9S}aj0>{>dM9%b4x>YWpfP@KV8{U)6{IP zu4%5RYObkH8KkrmT3Kses+Fb6=B29|QM$4kR~!xqkb-dW{P}a|qrzMt)e?jS&6SPK zRI$0P#!UEWtX#2z&YS2aE30ZyY+hw!Q*7JKtJDsdYZ@z?YRpUOS5+-g(5-t5&UOsK-m-Zy@&l70wUL2;`M7xGa#HQ=I2)0jBv&kABb5<(if#V?z*< zgmeK$SS%dL!ubf?nI+5<7Q#iMQ`jVI6?O=Fga?F2geQe(g)ZTMfP*9y5+p)`07Hzx zgam#p;#d?C`Ede2Ht}Nd@RP!illk#Lew@OO2lL}p9G~GCsw!71&yXmZ zM3Xc?N|KVLq6yDm7>{hd9&%Wi>O}E`P_l~)jUtW60w(WP`^*{fwaQ58Gzy0m+e0R@1_uhB^ z_rCvw2lnoJ@S%r)_@hVm|M=0z9{`s>?=-}&3$|Iz#IKi_-*UmqOl`|#+o;~$+k z$)v;q$%E5}4j-8@b{zWk^>dj}HANWrO}1lPkO`Sdru0mc$&CLpJ_R_wG;@GZnmIqS zEc3F=#hG8pEYGaKm4?j0!jCf_&3rcVxy)Z?KA-tg=E2Oq%nvi)&ODrXH1k+yJIZXr zX9qqHWF`oYWbV)0m-$%cuQHkNv&^nc(bR*_8#sF>^Pl)Wo;km(Rxc) z)|f=t$_+r&2GcMH3neZaoSzQwlU z*DY>mce1;fS^R%&2Yz?q_ip@thkX~n_pp1}ee8bxexLmSzkAs}_8@*A#_x~VBl!I> zdz3wf-=DA}>`C?%`ziYwdzw9i&o9`s`23PRkI%2s(?2sN+`?XAL)m*w65eI+;};9r z%qk9L7mCS3&6JD8i$u4$bc$Cj5DW2JET*spVu7$0Pjk6Q&$3Xwl?BC3Y>~KFyh1D& zx3EfaiFiA!7Hh<%Vy*ZsJl8UD$&`9=g}73@lQoD}ig&Rlu^CU-Dy|mW#5Lko;@8Bh z#p)^7h}VkWVQa;8;ytWGyiUAcyg~dvn<>&WUM$`$-Xh*AZW1?(KVn~#B;h0JgmhB6 zUrG?JmpY{zr47L&MTVZC+VE>d-gb$_nr1zzNNgqf@ z@cW^36u)wUS(=xSKA$zYvZKe-#c1uVdlk z4dG4UZQ-!+mhcbZ@4`F6)50^tbHZPQp9}8`9|->xjtL(MCxt%>FAKYb-NLtp@0xF8 zuOhkhd%{uSU7=gJSNJi6hjiQh!sEhY!cO5n;Zb3;{Wkj+yJ*j{SK61@zhb}KUS+@3 z{#E;8`yzXpJ>5Rso@bw8A8Qxv`SvU9<@TWcGJD9r)LvnqXRo){+UxAq_8NPseW886 zeSv+seVIMOKG5E2@33#QZ?d=B_e%dOY>}P>W_~6;EIciJN7^dgBRwRIv;JIqSej_9 zwC)vZtkqVt&0;$u%(6ZpjJEzr7-PNGyieF+-+}$0=?C%-*ue~8-rNPV7Ybm2X6+}N zezcSD|IT#dPlEoV!VCEiVzpv^lmbQkN9^Z^uA4zgKL4M$mY=+qeg55u_aKgeI0oVv zh+`m*fj9=@7>Hvaj)6D^;uwfyAdZ1J2I3fqV<3)!I0oVvh+`m*fj9=@7>Hvaj)6D^ z;uwfyAdZ1J2I3fqV<3)!I0oVvh+`m*fj9=@7>Hvaj)6D^;uwfyAdZ1J2I3fqV<3)! zI0oVvh+`m*fj9=@7>Hvaj)6D^;uwfyAdZ1J2I3fqV<3)!I0oVvh+`m*fj9=@7>Hva zj)6D^;uwfyAdZ1J2I3fqV<3)!I0oVvh+`m*fj9=@7>Hvaj)6D^;uwfyAdZ1J2I3fq zV<3)!I0oVvh+`m*fj9=@82D0Q;Nk=!X*NCqd@jYO6rW0bR^T&xfRNhiTZ?vX#^+&t?w~gD`5QjT zNkUQrb_5)Xece#0VBWd+SD+zL!WjYRLqC8d(8n|&DftoX-!-TZeJwzJe6I8gNiX7a zIX-TD*5b1npPl&Z$4A-wP-*Ai^{wnLcKX(5oc^-#MZf;UMj@#MA9{=ruz&3P`26cE zKFS_!+Bdz^!UZ#C&pr({&U9T6zQ=^58}T_!Bk@ad48$=I$3PqdaSX&U@L!67LMI-q zvZiuLNMLpxCZ2ck)PP_bG$4>HrkI8%3}++QDD0v;hMmL4GAp}e#*7Qi*_X_@+SyhKE)>}hOp;ar*IeBv41=AM`&!b18Fp0j|vB85N*g{6; zLM;=TXvg)I24w^KrFm^_js>`cpG0w?C?rWgV$32Xn=O_J7K_s<4KiD77U`>IDaC=a z3`dTX=CD{SR+q)*l+sa5{jq5WpV@!u`fD9dQAFpzhMmJj2=@Ivj&oH*v$M9UYHDTU zim5ACt*n_!?_}z<^1P{pzbkW{h6~Qn%BuR7>Kf;Y%BnhS`!0Oa`b}$^g!<4DXH#=^ zLrr6-p^i>w1QyI*;GBuKQB~tya2fvi&g*S$&e_UsW2@*z$`>?O&*LqX&uk2})-+OA zdHI&6C99fitBt^Z?yKFyaTX6ny#>~yki*BFhN81*rC-#>Jfk4s3Cfo=H7Gl>msi&; zt!$}pE^l7bP}Ah7BUKB7u{yM}87HEE0lFeLhY!p;+DcwOEw60|twIr~Rn%Z(O)b^N zprEs=RgE>uS-5;-Rh3elE|yoV$io@-o!5F3QFrB1T*5Z=dN&~7>&MuJerZi*b4w%d zEjn1fvSu|9SdN!Ovkp~Jq#XTkYH7d;N~kxSy|SsfQMsuKDQ;OweL^p^K9x6xR#Yn> zjH%|D6%i9N>iMfy@qVU6;-s=#ea7%(S5;D%fTajfV{EC$HPl%{vFgg0V%1A(S5&pc z6s%eiih-@Dj0V-THOCZNT3^{z7hP~^(~6io*Y#5_loL}dw7R-By19ncgmuHCHLi>) z)z}=S#thtt9T7OZM%tg6xIYc(g?oP>i^aLVh5p<`!3-p`DV|0v<5n;96z8Muvt8hk6* zaYEXd5y&f7YYEYpo9jZ2)#VKs;%l5sE1Q=#Ihz_4mc`HbsI4w5VW> z=?x&2(i>nJr8fcYSdA$LqP=6yxp``|xLj4UOMG`QAI72XkI72XiREA)L zafV<7X$-*tRTzQ+q%i~oP-O^!sSE)}GDOR;4zNr>!mz zVH#hFs(2t`a5ISq{SyXwm2b_W^W))jKb>z5^R;<&z8#8=Rg@G?w~!!vA^;Txc$feQ zN{M;`Jf#8N!b6F|cKZIJ@i_w(|LoO_D9U~+)~9P2MlKq|Cq6c(_=53GVMS$Vdg$tTHJ&d7M48V!(*Po&C}J zC}J2x-J*zJ|5EUoDO$hAlP`;1DhfwuWEi}Fq7|GOGyhQ?NvK=3YI&1F>@_+Ii&U8E z!JNY-8Z!Juo322{!=|f9dxIh&g(sRS&SKDM0#u|*0v$=Q6GRX;v;d;6a)e02kK+jW z4Kz85@Z-87ztI7g-*BMFZ&WobwBbw@+UO{Tumh;bXE-|%I8 zBVi8%bixi$Kl~H(HjLa;lY1jq;C3-1h-*txAg(PLV2ZY61Zmom5vpiQMi|$Y3?Nlo zGQc!#$p}=mB?C;=mJBdOGctk{a&LesMWDg^VYeq&8*NhAxRWmZexMpMoX_}D% zs%SW+cp01Bwc<%WW%-c&k~?VWHw(=Oi_49FM<9gGJCMlXS+m7S*l&ggBOx? zhs10;yYUm``x29bLEj9zo2O1%W0sQh`Ta8RV4|?HKhASz()jOX8c@SNmNktCHK}fK z>lv-pkj`FRzNDpo`B|zCC?BQc6E#%yhzJD$bd$HN>Bks3{9MtNm9z3ltCwyO(@OF_ z)zN(76&1UIc2T9C7?sqY@R?3u(V<8dKm=J^ts#xz(=CmJnS#1B5(elj0HA(Y0Pj+fGKRj2vXRB0j97814v;DMi6HUMi6HU29U}Yj4;j?j3A9I7@!JUFn~14$N*G% z1z;+#z!44J{!C&EBr!+ld1Fv#$<0mt2K*2Fh9vxtf<#k zXW9t%h{k#jVN#0174-c@vp@mAao(V<^vCKuXINR$2rot>7)3vtMD!xKLW@ts4XSIj zk&U9&;3H%@4bya9Q#m#8hB$$5Yb&o(*1ioiB~IX#C2|8&lqGTl=yN6TXSX5}o&|it zT#;<_1rnNmAoJ}+c<*HqykH|i;QbWl4qFNG43@#`_&PXhJw%Y-MMAm=^1qRgcL?%M zBqRyJ2GUU-(unmCQK;*W%9BKWwq}yk>jF_08s}$grh+^cO9Maqb%~e-Y~>c(I>aZ` zm(Ieo>1<3;(Vc@d0xy;-?#~o$D1z}QY*B*Ms5;cNoV%KwwFRj<){GD~D(SL%d4FoT zuH#226Zq#VaubWng)gplg}5~``GS*kicMQ8$$*c)qV zxI-5S@6GUVq(wof3%b`A;QBGJQ@^TeIZ6Opg|g%XLj?e>tz<~Hu*^!9qOaB3+7c-@Uz(Ed(nbV-&KojVAQbSpoffCX0$)1|io4yu(tuAJ z4ZPSZqr$~-J+hd(48@D}N=!%N%Y)Ft!wJmkt@AjwdnOGA@FM_WFm!WgYL~YFcgB-3Hf1$<7o*J*Rw;4JQPmU;UHxb6Q-W+UgyQcFHG za*xMF2y|PZp-brw<;=p*FrcTHr8#D4kVCR7c3DVwZ&3>`C9^Cb(CPMgH7mjJ;ea2L z@SbG6gMhzmp88^fWm|$F9ivZDu@95k6>g_IJ#bMj(1kY@K_NW3KDg97@i59jmQwP8 zTWJ}6&EiPH^GBkuw+v($H4%OF6j5=R+yzxcnpv77xWjpOSPNxq4&)}>^iL88v5?ZXB8@iVIy0F~ z)+~Q;j#Z;4y!oSpsF^yCAMc5mifjuU;!Xv~n_5~Tog39udPMIJ4rX4btFxot?=GMr z0c5!I)c+HdNARFEsZi}rfzN66ovWPSTQr-bA79%%U3x|+(Wc&$qwrcEO=Ba@m69DQ z!OQhXD;&~rvrb?#P^KFMM)uE}E3F1&Fl&g)qf3t@Fq@nsPnvGkco~22SJGI{*N6z} zgxQJlOx>mNjA`cd&|=4#MsuD%ny2}t?VucgLGM+Y8NbgjlURzK zL_#=($cZ*Fd4(6!nI-7<`Tg$jU(n|*(z2w9*rs;S1S$j?_6nBi8Rbi^XM0-`7mk@bxf0T^?Hk_ z-hE?O#^O+pw9+gM&v)15xTQ3+)MBW74>Q-MC2ZrcJrUjg6g-&(&K6`DG8u-se)o^8_9PjPaI%n890eYsc zoG8kbep;^RhnkkdTQr2IpgdO>Rhvhs;u@HK$=Ep0IC`tVCrfQ zj>v-dB+H|G=U6WGYhK!7D_ z5OH?tD+)3e5nc5Q3T&5(eG3=#28v{9u)~sR?e`Zf zOBxKh*K8~xU4^()v+T0Yv}DR^#jz8zESY&8sI$(gR>LnjS){2@W<5Qa=DhldL%4u@t<8#RkwH(UL*t zdpjC%G`7-hsWI)`8w>hbEa+e?=)F{yp-~y$$&P^vwmcfTmh0#m19U}d{0|O<#^91Y zo`8z56*|HQvynyrK9G%!#@PBnQTVw903!;o2Ym=GlGfx)1M>~TYx|%W6g(IUdOjBP z27%^!v{%W+UsO2KgTc!gce=UCkpf%j3JSucJ4bO6ero=5G(7NPeB&MiD@Y+c*T}}d1FyQ{i z;cQf?HoAFt?ie0*qr0O44@3hVjRrh7Jmx8TVnN4n@91f>c?272pagd#eT3IQpC2B9 z4n`7-rvUI6$m9W3GCtK`N3dL{Le-Z8C!&qMNNNzJ5E*Vb*&gF4)9eQv728Itl|bC0 zYt`zt#-f&LxaGBeGm?$C98F46szGqd<$@F4lJgY{zw1&H>_I+npi~BTk?LWd$d|5k z6x6~ZHgWy+(&JEepBBtgsacv}HuP=xDBJ~avwsn5b+ExSDk$D-%~vh^)Zl^XE8u;FXD3hiKx8>s0xoyill2V{luk^g{_OXO6|CweS$Z zzHMT;0jEpj-CYFxF^B0Ldxv1ZHZiNy0&jg)RP|EOFiht9P}x#Zy;1wXjaJ z*aix{s|!n4Tco*WDbJy?)dN)PL;`A++%{{1Z;{>FKHmTR(x9}GucA@U*;*%fizYjD zSWw}+5}R>>-Rf!axJ&E8cPQ}GDZgHS$Rb^8mU121qg7Z@?YRUtvrLxP_@66K`St9G5HT zbkA`*y}-Yw=62D=ZpI4yzTBYHyb#u6Phg(K6$}LZo;mmp=)cmwYnMtdz&KACn4W{7 z531;whGoX^#534-|Ie~aVj5@3FC#dl$}hwI)+&Iv#$9))Fr|D_TzV8w9Lm4+Ik89F z*2o^i0G&Mo6laeS%LL`|Faw@i3Oqg>X21>4Ss%G2j*IspBrVq&UOm51rQ>-T&#ItOzd$LO8;&m;08fV*F8~6 z%eUd+e5uMIU0}A7O-|ADXVcLf`kG2#3-HC&z2tlkxpYJnQf<@(G*!^|Eo#}^fcAU~ z$K$?W|I$E*-zm#-mOLGnN1Mm%cFu4*t$8pAI=xEoWLwj?J>Bf`eY>J0F`+@fem+BwLbAeFY<;|)8*?_Xj?KC7Lt^?UqD7v%fkOyrZTr8xz_v&b#h$Hr~QZ_?@^I)Gl5|7xxTg zfO%?Rj)w5$Z1uS6JlA_=-#m}(DadoydFpHJvU|>Ef1PKwM=r>9hBRbtnvClA4?=Z^ z$6}G?+8aEUwz^i?v&Na__4+DmbL5umowcnko;K$)ZK^yn1(h}rW*MGR%ob-(sTDo} z>KN;#YYz`*qdm)lR%cMwUu*VMT!1Zdcvu|DBhVWFmFg1GECRh}D0LZu+S4Fmx?9|y zf;lc7*L1Fk9xy@N05v-RIz!)0pcNqFoVQXuxe4 zvmeq;O07Znv{JY+NR9b9(+hI7H_O|*JDp7+ba==?12SRIQc!Aj)4SwnG|E^GM-qab zAj}N}zx#67KjOk1tUPBCV8jD`&d&DnS;}%s=&3FNYB3kOe*vVvf1mq6SdLS@y2F6D zU`HMgYHCe`p&~BW^)J|MB|`tQ02>Fk^MDvc3++-1eUZsrae5>kE%7f7gKH@OkgEu6 zl7^C@Ai4@K*iRJ`7R0>;fdY*!#%-o(eV_Bpi*cb<)M3Di`5ur-6S( z;2y@jb=UnCB*N7w)ik$;Eo~l~sDd@JJNG-!EK_nX^9v4zm7V2;<(VG^9qWaHa z?4oRWWusbNH9wFIggek_7I!^3E8HNg5K4MsG^yu%g8>fhA=|lPL|LNbcmz~ST7-zC zh`d0c_fdI)P*lkaLJg?TB7wGFsa5^Ebk{p1DGTmlW{`U~U!JWHdqrYj$UdE*|I#n-u< zc^0pWS4+XW5`~{)iuKF4f#UF%38!IhU(luZ)A4uWYG?a+XThh>?0E2>Wusw=$(@bQ zPSw;affE?0ZrEWtf;Sqbd4yxS>U$ogNS=v(fcBq`ec)!sRe>--XCKs|vtu8e&1r01 zVINRN^~e8j_C5cv_A}?o$_W9=iZ9sT-y%<`=3LxIH1To9U!hJ)0N#L{L`8^9gLPAbU!9A_9};2MjPj}dwa7*qYyY{Q zQXj;Wx)FwWt#RIyyP^T`rO&YH3Lh`?O9`H8s;QL&UL-(*V&C6LUED(p=w{#d+)B^q zViJnNQ*mx^MjKuX_xQNkE<3G6S8|NArR>;ion8Fc;A`+HX@ib9$@?`Zc9|>WLTa~2xAv2ae0Eg_C|>Y~A{*s$>F!G$26ri=tM7Xv%kbJ3 zxow73qwPdiBi(&}F0nD1RL&dvGxP~Vi6cb5BYmQ;M=+A1#MWrQ-UK!}x`Th}2lmrM z1e>^QhKGA4G1}|>NI#{%Ws0r&Lk`o?@)&WAw~>j!U*@zr$2&dV-CJtiR)j)(yomXx zkDgnAKlz&ju%_&Cm1SwV2#4N~6os*^Nl}k~Pjrc&MgW@gs27Nou`bfy>LvnhNR9;Y zJAKChx}QoRYd}QBX9;v2VpPIyy-uL}4ItjubFrX9v7jvoNeQj56{*zSv7iI7AcUPnSKO<_i9`E<|tIa%7GFn_dfA>l5GK9u!D zS-2)6I5U-)Bby#rKu+Hm#0}HtRsP=w{1z$d#gWNFuv$#m{-z!omp|GD)sECNC zjf)kLG6dh}&%hTyd$Hp430sCF%AE|ua=@1$l9^LHSo}sAnDx&Aur4#OxR)7-vJnLB zF&cC|GTa)7>E;N)SmGUz0{{mTHAXa_K)<3Kw2`F!k6`a*dTtxu#WxV0Y$$U*LRCu* zV#~bnJw>)IHgsSYKoM-hPfzkBU>YJ965zLx z1FpE@3b=7Q^77zkG(qE1Tj}c2B;@s(Yn`^4T=cSJTlD@T^v@^faoWLGIAd~*5b zXqqm6kOw3-L7L_;EMOj&*rh1$^SfMgwELVt9Swdrfz99-Y~c&M6{KS6|H3ilg41tv z&DEOXHI5~shHP!qULXhVLQHcE@EH?B7`TQFUhWMO?7Jnh%P6~kYXHkCQzWuP&Ryf? zTi9|>W1ap|n{RpEhEK^{!?_=#d8=jv)pdG(#V>BYRdzNm|LobVx~;B(sjI#}2G|!S zb;y4zO-M5l-wiOQ>S;nY5(LT#T$Nq(-I*3=re%uVVjz-Wki&Vd5Y5#2B-Qy%gzEk) z0>C3iSJDp<;2&VDI{SNzAh#k2Db-wHo$H>RWxU6OSofoQXt&5X55?jCf(BG>nMIIp ziCJ=y9#Dnl6AYR@I$w}u&5dbw2V9x?5}?*BC;AU?Z)UZLdTQdwfVefT(ngS{0Wl!q zH<6WiGssn_KQ9M-7!9~FF{;G3q5WEODfi}j_QX{GT;*w6qG3ygi&Isd7ti48a_zDv=@|pbi5l*yhB%EPD z+zBKig22GzzA##Z;#X+so8nh!1Zf_%253KPfv3tf$m!&x2)iT)kkY#FADu3P1IQ@F z0pbB7l^?(XWMqje$L4a)b7mqAKgX7%BpyJfzMQPUH#(3*`u6LMY1`g}#zAts?(V^F zd5oO#%rYYAjPPxW?x4x|?dRjR_hI=jDsS8~0Qn7zb}vz(8w{ZL4fXD%roNYel@?W7 zxSIfvC$Le*oMZ2zNS&db>k?z`zXPCJLm`+Dqaau`jPCZWkme1ntv7nn^9W-|7E;1_`8-E_T^|G!owEkPfa}Gg#p9jIOIacc&Jvj1y!q2TK zP{>%cW6qO+rZNqY{Dop|4~Y&bcGWM>p%mzkYzRW)D4kcrtVtLj^-YhptmPW>=il`j~7jN#^I;Qq0`g=fc5BXo~Q z@m*W{P&JGwrg1ClCe~ZhKy_6OgWU3)HA|u5Eoo3X6b-`& z;u=O2h-d0Gz?4kAMv#`N*9cXdW{fbNsn-BfGxZu^+RCC4s4RIIU@D_Dz*G&x08=y! zBS^{AYk(;!h72G@-86!@(qRPgV)qGh4p>ZIdI&r-IZXawfy)cW_CO$qC}d!9fIG7XkSa&>lW)HOP5coN zac$&_6Ufdp*o=0=H0KYwt!~wy#AhvR_O-VEt|zrS}>ID(fjOLwN!kcU?4SM(TP0Ij^-~XEx4!LtJZZBW~Mo zz+uc*$6o}~Ucb@t03zTmR8>O^X$I2oIL~6e>i=pIBxU!^vtzumf%C)@F}^TZ3F+8h zQ`>q|WtOV;$}bwXa8@_w)M^^H@KmCZFcj5Rd00KhCv`w&p*Yi7D0;v-s>=B}4OWj4 z7#K0{Xd=br=tq&$asIGItlAdC0G;!btP#uk4P0LBwjmT<;rs|B)SpC+lQ4of=Z^w$ z&ToJzoZkr2IKL69aDF3rf_}( zNa6fO5a;|x5a;{`kjnXuFwXgnAdT}IpbFzsMoO~&TaeUW|zZj!5! zMaDg+(28*V031qisCD{&D)kraGvg^}3uKk)&bDt6{FX#!yQ0wJ4cN5eW8DD%Bat~5 zdE7qTKg3Va+aVO~qJ47sZx(&$YQ4Rk3LwjrcaFy|&n?u0JAOrQ>{?nV->{lLBOats z$=hf)$vL$in|pltf|f^7cGk^x+Z8y9&G6hdCm^@Z^_JO?y-<7nUJshyhphSM&aJh0 ztiDUQMPL|bww-Zit8FT+Za34P>i*xN?W(-&-eui|WKK#H=5wgL2c^51G=z>pSWB}-zyQ@kM6KZg^GUnAc61ObD@ zKARc~xr{*V60`U`eht<@u+4z^^+as#1bUo6TA@t@c`g#NgCKvDnA4}X{s@6yBT%ir z|HJ`;y?{eivyL)P;2AI+3|t{yMiecopYo%_ezjj+^o! zGD^b8rn=+;zncfatLJ*+oPmX?{|ClgHsq`GF1nC6pcb+&ifBQv(LpsfFc!>px?!`> zkjK?azEG-0Og=*8cH-dzI#Rj_v{y&UVPc5F;y7FUzs437hEsK_?ZXX8X^07_$?6sD zQBylLT{5hNs%%ivP-9pia@s>*y)uFxoQgWc`Cg8a1g0^rck)Gh14sMf^F5>9NxZIy z2=A)`_F#2-0vb~hiU8~r7???9ko!ik85Fxz1Ey24??TO5tU=5KK@5lNUaW7ZTtKkr zjWEsB6b@iQsA&Yvp*rDYQ#j)(ra;5ODW-6ur1?%$CcGUwNxdrZWn@x{2K0t+f zvEg6HorQG}twR|z0me!?fxUjf)ixIr{05$#cqgueH27A6e~-hdhS%kti~m}P$@_Hm zFLc#RS2d)Ky%^vd@ibT(*MWHi+$e=nRzQHaD9r`!Dy{hgEF$QKmjXAW;x6cHMw1#fT9sI zOP?xdoUE8v{Y=(|7G;L&vsNbqQFAs_PxfzZ_QDPvp1nBHXD`e>I&DG!l}vW%KF#Ds z#dyuf7_&QVuImbsuXs*Jp2t~K=aavI6A0WQc62AXo3`*nCBmRz9IO<$lL~A>%dT)zbtT=o!&C_MMV0+T8@uDTnLBJ!$rrd{?3)va~d+0jg*3qj+$s84+r{i zI^)3TpNgM)U_^|*(~gnTQFs~-vt|MJZf1pshKEtfS=Y#M4B` zTOUV&`gr2e?+KmRw4H?P%5iD7k@pGuv;eRMT)>h1Ifn~8W^ z#y1jvWoJaK{!R^Jd0+{!>C)W^(&^NrobKX=oCMI&PfaHrPKB~49(>OJv+thS`=hdP z#nhFnR@O|dsaz5whXC$$r>y#)ZQkB~+i+s#SNMeY{}`SxBs85VXRxBSL{rDGM1t4B zUp4*L1(zxsataBHoR0b{*9Ve5#=@gDLh0#WjyemaM_o^Vw4@I%J)0@Ws^)EjL*3hk zz%k4C08&#t#VN!s-D3x<@DUyWxT1O6;81tMfy;pIc>}n9jLI>dH-M?0Hz=tkL8VH1 z5>(!@ngkWcME8f&B7kmTunI()v~5$`EX$^^catCO3>^4Y`^9loQ zsmV-f2P_&1uA__BB`~@zGwB^lbeKAGka3{NF*j$LMZ4`IRQNjyY#O&abF>Ai9YA-WQu+A!vBLL;%k@zM==kih|CfXl25NvHdQ-O}t zmH6JZjy!2)zSJw2HLbQFfZjX=6f8!9DYy4}uh-^wd)?N6w*$ho-)*zD;=ji&eg9fB zM0n_rrMcH(^BA|M7TeF@$BnJXaoy%FD79b55AA{QMeI$Bi&@?|T5~cri{@^;Mzk>~ z6K0pE6vdG^*X_NIH&n11C3uTo5A<8{Tu5_%&iryd9|=PxHqq2dmomasCXy^gP@K~X z=sMZ@_wm1Zm*OQ);W>cqe0a|X5fg>&#QV-59Xq44%>VoO$EkT2aTXB5a9ZLsJD$f> zIfJKnv~Cbn-x&Ax@pXeUN(?7}}U*F`R_FMuB-SXz*VQXWb1< zVaNJux#nYpk)=-tj5TGh;6NMW1mhYizsPgLlLsDnkUE|@fH$2YI-sP&&~czYBzJ#P9~d_D2*!-ZkLBqoayr*MJeRtt zqq^pW0lI4*K>fJpL0AZ5SCv4J#6JxCzm>u`oQ*n+flravI5w{F^T|VXs(`kIM7ZC; z(!>=c2Kbjttt5nLyt|XGcHwHs5Ai}$OlkKM@V8`Zb5Kfpr#q;7(Y-~NdIeUrn)Av!qFC>ahT z=nu|R`a?%&GPo%82PGByg9DPl4-k$or0mSvJ0&=Or(sYJ6?y}&`CPMN@IuA6)Rz+p z>m}F^85=KaqG}&Oo`o~LUQbv6$e$1nIn;cqn_E_EL2yN33kc$uO+JAAbX9Y0bz`Wt zrtxgN>51E-PCst=F=Oy!TY*m@Jp7lt4jS#=XCqCJ)559zBqDqvAXCtrf{a0{k{Fx( zEbNxdPnWdRFINN!eV$`1^Gm9?g;Fu>Rj5pDr4T`hkD~?B(z%49U5RvvR;dXtMNkkh z@F*b#*A;q!1C3r#ov^%uGnHP@(b>r>D5=m39N@vwSLHxk)g?hfi`m1)C`m?_a3DpT zNvc7S(@8aWAx5bt4A7+-fclYY48aAeRKxciGKLyrGZ_6z)PMschzA@*fw<#`0j4-S z8bMmXff1?%92j9d;J^S<0}c!@jnx=|O2B~urUo1sV5(GOfGJXq5u^kh7+^}kfdQlh z92h}7;J^st0S5+<>hNfU@qhy(NDDYHKry~C*bE>|88841>f zU@Y^Cn*S3nb0ZaTtUn@cH+i^AWMq-ZN)_>Sjw<6!2b)V$B%_&B>0byqJRiaOSiiS8 zEnewLhm`Kn))(8T#J41-_{}>^!EdyBJl-u-C=G@oHD~ziRO%>JA%mJ!)JKs05%tz| zP(3}+q2e-Je><{9GeNK?gJN95o%FP4chVIIY1m^I0e!GMktI!nu(n=(4B>JV=wJvy z(7HXGAc!XT6mnfPHL;JeJg+zC^;}AFo!4Hf+0Ndft4Pj7t%4 zrfD=Bf|@Q6elo!_f(4MEsMxsa=u9G`K~mg92!2vvictp=-~$Bcg7tF-vZ3BkC~E`s zErP@NnQik=v`xO0i-H}PTcU6$2=tdp#*5YzVq~l{7mCqK_UuU zeQ=^%eP97nrZY+^a|s8!kb#;o3+W{c zOJ%9tAq|C?rJFPMP&rC4I%y_72A1*is3&>ybtDdnFmkD2JdiAvIi&GssoX4C@-=%% zdMO(CCp;B>nrz1{B-q&{49TW4NU1)D+Tu|mv#0sItEHA^X<)uqt%C~f6$a%GGFq!Z@;#heIQeR`XjE^9J(=HH>;z|@A2CvUlF7)_iXv1 z%p4p^V-~j7)w$(5{AgmVun1M35Lp4Qx6o9!MjE(IwoH{X<;-z8>i^t2+vLTXKz=*b zz=lDi%0i2Mt~q>})aN4$YrR7M$2e1mb6p&#!$10 zhs!B$@FfzeVxHg(a;YlWd;%d%Up#{#qe@p`EBYkHam0*;d=X`%!gWRCp#yH^#(^?* zaiC3I97arCoT>5_9g(~RT_P-R;Y63WAbTlO7bTUcivykKqb3A0UEYe4z0M?WK|DL1 zc?$S2%3EQ8E^m?W7n=`*_bE!=G6d2ni*AN>IK`LO2;%0cC=fSK8DNTe$_UcTQ%0y_ zo-)F?dCCA%%~J-LCXX9|iV$XisX~|mrkbY=FvUD&1S#ez157bb89<78$_V1-DIyTeizP5X3U6Qe~3Phv5&+Q=-(wV zOm>M9z>2)W=EjxOq5~2oouau;99GN>K<4ARk*M0JR7c7EWzc{f8@0 z^iI*LK_+M?lxHKbNDUF_pz~by{6$zBMd1Km=^0-@|HwMC=LMnjO#Y7%Cg`-!R~^zPU4TA4fSP$?nz_>9O2VM=C=s? z0Edd?1SfLA`yyUb_iawP0)-yOzC{2_CNo{6FA=Fsw0D+4MSd~>{qTL&sx^*9aD)mB zWZqKuG7WYa!G1W9`AT(TYa4<7HIU5>cDPF|PJ?|CaVaSdpVKR?$e-bb7IVH`GwLRk zqt=~+*kZ&!1#1xvx+pc0uBEQyo19iDBR@-8;E<-S(`4ZeYU9BSM(QK9FMNyi zCGqA;OtnaRYSR$>2$g-w#KyZVTbz{pPoh8{<*OP;Jl3bxF;BV*N}gG(HL#L;kG&#; zva=)8MJ}39|I|k-po@=>WX@7g$L8grBO#=cJydH-MZimzJq4FucE|qemT;H;~tirNFdg>ZS4g&tB zz~#avjc_=n>5geRfjl^graGKCxuim;DoYT})p)?J0nBFOop6}uwK~_=u2+*9DXkZ@ zAf?e}DP)#hCDMF{lzYF1)tNP@y)Ko>O6`RvEMlk+$ zQgyzRvUG;K-mYQ4j~YQTU2-uc)w{OUiCwe!35_7}$M{mQNsT4Y>rSY3^F&U3vWiqJ zVH16sloGa5=X&S(Qu#5TQz|n{6BlaaB%v1fx-EryZBFW_h&LCYS1PS>NMrM@7SB{0 zpF6zAGt}26D*2a6=jN}GhURB!Pw;zc^{Hg$%I0mB01c&fAL4#Xt?0mr zl1vK)V3s(f=?kT=;B8FOxBi+3!=Usa5NKEMly3_9WT$UYDbhT7;3(t%|J=QId{x!9 zFFw~^ZLef!m+YOMkP0LcNGKv|5`rKC2_2CDB1jPtMCl?bC?a;TmsmmU9oqpFdsmL4 za_qh9u^kJ?^1k0O*WT=)@7~|N@BQ)a4?io8Hpd)u%s$85Yt{8{TYQrIl5Gfd8_oo$ zc~srx@>X+9gPAp}aUib7HuJYgeoJ0}(;;Weztrac(Q}4-mj3U29 zjMmD2T`{QE;UvUAYM}8woRjNtNvC_2x&K#377Gcy;J>pp_vtfY5ie}n*YG!3mVQ04@iTQpA( zHcnl)R1bjXwJlCv{m{0``s9c?0+A$Pzz3~u6Wfk3$2FL>Wqc8-e4AAM-{7$8=uy=~ z{<>IbH^S}V^lUQQPv`+n_2`%8I-@MPQd9HbiCeRI$)?WCn9x#J(b&0;SKPfab7|S6 z-jxGN>&ww9{1Q2h7;&TxqfOAiHU`@I`XY0FnVH|Cys^~`H#D}TVt5}?`!-HN=QbXe z9DQyp(Z_Ymm|lj>kS-txDfp-fH?s%L*>6VbC(`E}2`x`Wjix@0xaNL1T4&NZOaKEW zqA&erBg!VJ@#J$b(Vadj@Y(CUqpJTw$dh(H$C2bI%L{zk~aZU>} z=ra;i*|2jlBK5_ucazP|Its}zj2TT`S!3o&k`+egP7~VNS}EZW^4XlMZWX zYn#~E)^l0%gzY&By_RoG=Ia2u_N?p8KF8swzRjFnhPKe0oC~WKKypn1KepG_6C+_= zOKV*lJknU+tF`~6A^uQ{v(@5;!`d28YHMq1tZS=lJEUA*NrRbVNYBn}C_Lc|m7R1j zKNVGOes}yZe2QaO(wz2%$YfI?TH7LNqMUKCV!^o6Sl(C9X&AD_Lk&)2MQR|dXgsX` zBz;CY%xPIuSJ~8ZQq%Bt%@u6}&A**6YnAz|YB29Op#dSkRJ{ZjBd@E9j1{|bnq1n{ z8w(j4=1oXm`rDOXvVnGOS=XfB-^&R^^2QRW<)jgH)nc1e(5edLKIky>x)X*yX}+x* zTFr}*|7W}Mzj+Drf25l+vaWx*S<<6_-Qp#9Ke|5v{Y>Un#W;JeYi&Fajj^S?9Ce4w z|BdF@MP{EK$yz>tDFnYMHU`x7Z0XZC+2-ye^`=hn<1lGoaHH8ctf{pE^R$>KMVVRO zSYBUIo@`GkzgAY5bIZ)U9xaUnG!MR(X5qK_#_~pULD>LYu*4~@R7M&4{Xq?8caF&% zboz_?`(x4?Y5sJ4gL$ff14h4STzZ?&l^O$^+v@6C(16X*u)`~%GCaW_%SA~&Msc$z zlKGbjB-y$$oIv;8Gg(Zb-Bo6c!j}eSx3qLeSz5YxO{OS222MD=+!)=)Y;-d$bH=01 zCM6{OZRKdwv)UT#+nO6|t1x)@B`P;_X4RR8@*J}Ku)5mhfIG}7*JjRg%InPu4UL#K z>*_`(yNRe=U199!d(3HUZ7DyjskJ5PsFuU)^t=Y2oj1OVpP4yxSY3CsG&KRurS11r zVD;M2hPi2U{~C%4^V$OZW6Naiq> zzoogcrM0m|*Jqj2ig9FiTMLHb#aacH@a0XIcExPEATW)8q@9$?51BA1v>=dC-qu#v zH1XKvzFo5U0oi!7WKi%M(*&o=Ea-6Q128I} zohI$BUXGG~xrZ^kw2Rrj?6Agqykeo&98qTW8dgQSEd8Pp?A|2no!yMy+jXZmX*rcYmZ==6oV@90sdi;p~f`urI)HAlAhAnv|# ze~xvF_h{tyk_L)lnA2w9ASv)Lr>D8RA?^Bi@K{JAcxCQWwyaY!0XosT77X_MH$u|& zaGGTvde^0Qrr?a=zvLaf1VY}K!6OU6e{#-lzYqF9Kl}gBPgwr?w=Mt8bpJ2?Di;3L z4Fo{)%_3+fX{T@ctq?eDqo^DU0njh$K;!>UFIU)qI;DdueM(0_j{gDtm#o&lT&)16<~jF z8|90!(M?94XOM_DD<#m+P0qfi-nBM3?D?9a&uAK)QOQ@WNY4C-Xe)l;iovA_e{gn{ z+!$@8&X>uSJ>u@{AIN?w%8!z!PdBf_@Wshv3UwT0-U}F&@(Wm}3`2c#8Bs>oR{^8z z@`}U#l}j3TGP&oP{GJY9;Q>d^CgpeAsKBP+V3~wu4k_*5Sl0!+y4e#a?$@XfGVreF zmZsqYnr5>&GWU0{@7cStr@t-l!TG~K*5K)hh16!ocP-VJq%+GV<8QYzGdkfaGe_2X zl1q0SI%wxOW)&wbPC8}|?hh<7vxk9c#%Hxo9MRl1+}v#7fQv^W`i0l~*5kjrX~av- zXu~lRgF65Rcsj+mQkz-Bj>Ye0>xS3y^r60`rT4_y6ZdPWt8AHsl}PK7#tJE_4CyoV z51tq4`44GhI1Xs&iESCy7cKSpe;t0X+t}E1c56%Ldf7|JN^fYd#_p}lx=dk~=D`hS zw-bl2pj=~PRo#HuNEe*E>|n8Hn8Q@q9O19twwwF*H#0eZ(^&mGYMHBt)(@>es28>o zRXtm=u*Cbho11#}F*AeNv$4!*YJ^t3mo#MKx$np&f3|7(%&J{Rbv+T&75||WC`Nq? z-mN9s&T^VY9M)Ff3UYl*urqwU8C#d6MQyl^d!>(5)`K~_vDd`L@|H^M&Facqss=Rm z>C@DEOwW2f0itO%wN}k;Z0QO!2Q}5t#=f~%JuM6No!^ggMHC*XskDA7SiA^J= zQlv$#g3m~3+gqb+o11=9_mjKlasc##1wiUm8UO#8=4;viHsIX}!)2zEad)O{ePseF?cKsHR=}L62Q_s0 z;$b?>AfjB>Ca&VnqPd7+`K*zy&$wG&bW+m1Q5bHR>k4P>R>QCh? zidSs4$-pDGo%~s3d2&$4P?wzW&!_mtfKk!fSkZKWSToBxV$y7!;w{0rSbx|kpEg^* z%Y@Nx(P>EWBlaDcx?GE#*~f^?B4_`sChGwTvRvmsF_FZ*E+6l9D<}X7lAcz{%!&BLq^WU8!eX|A~$jN?^D0m zAqcA1?T6PrsRKqFSTTz^7|KE%L z{{x1zt=>}R>&O9cY<+?d)El1zj&_D$BCb;UMk45H^)L2U(f<^^i7uLAhBql;8u< zYSvC7SPFG!{XDI1^$Vu5w*a;`;*Ueo44-5_GcSpxulSPGOz!{zjK>#{*dw13Do&WBczr2 zgJCOsN(s2W{H(c@OdLp0?s+6u&lyw3pbaQ<)Gq;L&lwJGG-$8bg8#>g&P8U&vmR8e z({WI=iUqJ@C!7R$H8Zwj4=%KneF_bAVh_wm0ZwBEt}?gIgwPpFfnB9N>wB6S2wX z7|NMMI6t<31G1k?xHvZPC`&m96E2J48!pP3Lbxh+XQ%d};& z@gG8d1>vgL@mIj`<0w-dTlhTS;|bTs2493CpFp@i_9XLKNw`n!tB(P%BHS-_`st8A zk+AQglc=*fw){?{T}}F+*llRr&dECf4~dFmd+k={d+ScLzSrw~AH*2z+(5dY?~OX& zWpAVGH<2EVjUES|-%L26^SXu2DPNg;Ap_^OL!dt=f{s}1+*gZZiC8)7^lv(^^(R8l zX2Mo%b9dOkg>W#oUk&noK&C{SikR80k&fKwC(*p00(1l zvVne34>%Niay~Nna4_H@v6HFuqsf3*$Ko>qf4l(j*4SR(LC+`406&)4zZ~>WNmqu- zwYH=2;{{$9dHtoKCt^FHPki0ym_dEKvVD0~Vse-j&&IqODbw)tPw*%#}Q zV)ua?sdHKu%J|S@0MYB4k?mH(XrvDz?ZbqFv8$?(?<0gmv1h&q{ZYcv*r;2f@-f1R z*q)Ce-^U5(t2|cEg9K?`J|VOv+L3E9Q?u?ABz$5hz?}F6ma27MnuX%WKKKT;vs*zCD4y!ZJlENt}Obo|$q$WIscn<{`P>;@S8?Vf0slleUlrzB)LleP;(OSz2Vis2TPWeqM_^8N z&#P?pq2>Nsgy}H0{okmy-=&bT6Q;tOe-Ji84_^wpGKd61&$OVn3_;9L)>dc=2x5iS zPeR_NAau?bl^Cp zDmH*~Jvg1xIYz%qV~nwns<_qXHgw?b>vQk(xf45Z8+`5#KhH(!T*>o0pL5oqIKTQD zZ%*eJ`}i6iO9eN<)+aUB%uvlN#$t3g>>`3&!D8WpD}5@eh0ZU10P~x1c0NSlCiu~X zU}y2;+4Q3^N|n0U1sazWLnL2BhC!t4LCkH&CBM}&$$%bD~L|T zqe?&C7wkLxKt&X&(Y~50UcuVTxRWYQ#Z$E(xXc}9y5Ae4GCnmsDb;oP`hfB-c7BFL zb;&kx~8V3QO?`{%%x%@QtDP1kvfRF+(xR_*ZXc zciv{pX0{rd`EP`y)Br5b{r1W>N*qZ)Vwyk=vI!e;JvOzruup=Xu^>q+4 zyvyO)`o;r@0S6#`i}IV%Mr`^o^Z(Jh-LjiIO=l5vVJ6-a5-XGKM~GX zLC>P@3~I_e8Jd-6MF7i)W$nBU1Z>`4!zwAX_GVP#fu%!vJ%>e}rLagyww?<>=TXRPr02iB=qm$1 z5#PzdhjwAIN$B$tzvcLcBFU4C4T9aWa@*FRRncoJA~QsAhouiY*!p~(vqn%}4m(^q z>~QsAhouiY>cEZQu;U8+KdKKqSR*Q`4?9=@E20DZp+W^ijnraRiT;*q`@~+OolCI|vaHCQevmg^60rUnq zJdSmB@P1Op19%JoRW?H`>_kYPPZ$^fNk58km2(N{M-#4gUZeg6glpZUhaah|g)CLQ z3ev*+0ebv{p#`4f%n*2#2^KvGZs0lUS;@2+QR|e>Xj<;9h00n>NJ-&NWg&38otd9T zjgE5%4L_Z*>l6|`g9?Jq?}X2M7MX=qrnMFS54=RuMXv(1s{_{MH#39zht5&f72TMF zvzltJq^hVpbHM^-T}3$NtPf$~cr{feoOKDn*D#BG=l(F@^@NL^hv>^|370vOsb?eA zSGk8So~5jt>4R#RL4BdYLtB+~YhSSKe8YMwf*!10{xq||VHlG?qf0uE@Uw&+=Nh`_ zIjRUcZxDWgNj>N9^x%u7fOGX>Kfx@zIi&HrB>smAd_^C;`~Wf!<2NI4=06?+H?k1< zsK^`?!iek#I1t$e*o?eG-I3n`+mX8fJCRAq*^PV+nP6llU@vkp;Ec#B$Ye$agA$5( z$RsQB7XF4Kw}KvtyoJBfh=OD+@+kghNAe(vuoqVYb~kpD09ONc|Mdj98n6cp2Wa}S z6D|RqD%u+062Pf_h9H*!PW>wYqrdSFj+ayv8xO3gSUBvsdSYaV4EqqJ%O1P`IAy4K zwR>gY0ZZsBC) z3>?!Fhe5&#B@QL$Ug3nn>4oDU;Y1U2$+=%R+2HiXfsk+#i6!K07EW$r2azqp$xp;l zx9$VNDO9=jWIbrU4Xbr)3ogLX)z$PoOiLrV*u#pwi0fWs;^dS_5m&#)tf?tNaT#+w zmhv+|EEYvmps3_Xpf_Pz-zm99=p^e0ReB>@snJWUSP7YCN%9Dil$E1wMjwNH%Ut*F zapmWLeJ@m84<^)LI;D>KPR1BBBi~RZI1mhO^|LU)IYR}(=A5f4&ZSjjjU7n16+)0f z`7*7yfmiMeq-q_rnrb`+X0mqT1*k68>uePp(>hfhE$B<^QPnJTcl9eE{ zY`Os?%e4|aON&(yWMwP?Upi{3DqG1y6dG$mvZXdFX8|m`hvY5>8@cvlH5Wpe7dzSL z0yRrfDn7w8j6FVqZ}`;Qh9rC}%jk9>DvXcyuWkxFKGu)j93CZCLUqvj|4 zpN|zVYPN&T$BG*@r-H^O<9I_2z$t{Ky29=L>gZFPb#k}21BK$h_5!7T`vT+0|CqqH z1P4EW>B$2-p3UH7S>xD7Nz7YEO0BW)VixomDYbN@)MKR7(veb+ky1-XNQXa#Bf-6oD1o~`;k)e zeCOEYNu<=8{WC;E5-GKg&cdkWF;Z&jNU6t2sih;O9wViej+AM>Gk=}4)^ zNU5bGr5+=tmX4HqjFehBQtB~MYUxO+$4IHABc&cArIwDAdW@7>I#TK}Qflc)smDmE zr6Z*tBc+y(lzNPmS~^myU8EzW9wViej+AI#TK}Qflc)smDmEr6Z*tBc)b)q||E9 zM_wU`lv>w*%X}FrwREIZ=c^;79wViej+E+rb)?i|q}0-pQjd{ROGip|UblRJ^7@fd z>$Y>4mqbdf`wqs?<1tcd=}4)^NU5bGr5+=tmX4HqjFehBQtB~MYUxO+$4IHABc&cA zrB-^R)OrM`xhf=)QtR2LnHM9amX4HqjFehBQtB~MYUxO+$4IHABc&cArIwDAdW@7> zI#TK}Qfj^Imy3~7>lGZBsi2OOT5pd;`}G(pwcaJDJVr{b_YP#U_82L(-k$_G=rK}i zeJ~Ah$YZ3``fw@WAs!>8)<s*b$Sm%Ch)- zo-B*qC(EK^ouk3w<9E0$i%rTo1r$ChDl6Q<5Tp2{sB~ABj+8Qo`*-mqQfhs^T?8&e z<{^oc+G|h8M3liusjVZW9wVi;j+AM>Gk>qx1`NU5zOr5+=twvLo~jFj3s zQtB~MYU@a;$4IGt-eWK)qx103JkGzq|{@i)Yg$wkC9SaM@l_LN^Kn}^%yC& z(<7z!70*zqL`v;zxQy`_DYbQ^)MKR7){#<=r?IwfEsjVZW9wVi;j+AM>Gk>qx1`NU5D3DYZ9ufu4{=O6>>UpiV|g?T7va5WOCeQhO_5G*X;a+7A;B zdW@9Xj}Q)djFj4s5{`O|l-iFGPI!!z+K&^?S7BDqKLJMAJR*e9mNv>?W-5_V`-$ZM zLlP;qU%CiO6pu&o_V!xiqwUoyOq03Zj>sQkDr#j} zG*Ea?rg(%=yJbyt#4m68_3mjO$p)c)K77?QYy{q;C3@^oRpIS?QUy8#A&I}5Po zG45c0M|#j>+`;~yaL8la!Ty18)MMPi{*iFP;{ag)L^xl?vMlP(rY7+{Y?uUC;tuxC z?_onwM@sEqThZV=MoMiRDfJjBwRNO4&PXYii!6O0&Pb{4M@r*aTj47|QW|HZ)b=B# zaYjmQKT;ZJq}28!rEx||ZO34ap#&qPwkybtK!TA{J7`P>Ym1d&q}29|xxltt2}Vlo z3}XSX9ae&oQajUF2JB5M!APkcGT6}G#qOI!4|Vv%mqbdbMesa$;Zqbn%bE*cWLf`4 zh2=0(YKM)bpycXEsaCgOmEJ--K4BZ$2HI3HVJ5ojTFok z8=gzqu$?yKV*V@Z%-VW|a(#eS?E~RTD7Z(IGhB@<0^TasWj~+OS%TQ0GCEe;m&rFV z`4I3C3WLXwMrG)tZelhErn0%4a;umPZY%|RAe(B4rW7I@6azcg&e(bPQdNigLapgS zM$dGaE=NLUZzxJB5k3NMMfAy7Zi7|VJy{?9q!Hq(mA{#<{)}y4#tSe>yRe4aLg!)! z@uZqABXy~>R z`SCG2ce@jb`50B*-o^j;xCeI2gD?36+qyk~?D=@hy4?gle7uF-PR0NDcq)F{=c({Oa>bNPp(Y+K}Va-+rg`C;7OT{tp}=LagejI z^$`1}3UW5Kwi332oQp3cd`j;-)O#iMzMZaj&|m1?NxffE@0TgPMJ%}Z z{7dS6Hl_DV>iv>>cc$wd_ZNC6u~v3cFS@$#--Ri?JE=FH)0+5qC-v?PG@J|Jlz%7x zS#Q)2^52K_F)BG5IKjo zrd_dF(n6aS_%bPAs`&L3IQ>l#SPkXz!E8Yj2ElUMoDD+G6u6R)I~h?c^bB=1CO>~< z=$+-r$S@V+{C7oXT%-9GBZCfjnaL{x^3#XZ&myc>f5!x_PoVsp0@%WXlK#^R|K0#D z$0+~$fq#AAR8sU$FZ^2s>~{V*Vl`vK5tvk?DRMal=Vfv$#PVzhH!peRz|wI$W@_oU z9ka25IDa!ssml{kf98_o^@^Qhh%ocH2{Mx$R)T3{&>>}?TJ4b zq;b5+yaf`t6VwbiBvv`yjSWCtiFah_c!$raGae)-{W3)OGg$A`Ns>su^NCT8juss_ zMme7u%uU5s#vt&yAXaqL0C2t#BpCbl2{f@U1qsE*{{zTZf<&W(so-lt5-R-f2sHfD zcn0bsQRiEOV?^wT?;!uZh^W{t+kyNbh!sPCO*#J(Bp7?`J#c;$B&5PFbNtEZ4h4~F z=gNSICK_W1$GJM7tz`(uxkeBx#t@FPUXWmnAslCeAfYHjIL@_#M57GhI2#2?#2CVH zt_vImWAkGS;W*a|QW#?h$GJhoilYqSI5!63FrZ9jFmHm%+Mr$XlNINt0DrU*S?#o% zy)c->wtfU9BTQ}VF{oo_q##ywz?cJ+GfI$Pbl~to=)Ho3V(+pB#+XMSlW6P&rWq?p zB6i}3K*kA@A3K?x0|hCLola!DAZ4+$aJ=svB-*NC4}J#D1VO4}WiJ6~6QnjagHRsO zc7k(ow=tRfg14wy0&}ho%w-3ID=IL&#d)D8csz@V>NpN-##Jnt73YPX;A&cA$9bVA zcnVA4#Cf47c$(ylwbe`rok8W<`ChN%sqf*Rv8?Q)_1%D~_Vyxd#h*a`@T97P@m8Et zc>PHa#pjuj*^h8EKI2=^_ve6^KxhOJF>gqB=*&)d!(O277q)>URj%S2F$jC3weIa7 z03J&?81M8x;PG1bbQh-HlYD$xR-&F>8?XV4Y|`0rOR@!djb)6 z_YeeABkm?>h`LUWqhju(fV17hF-*tZH_+`9?w4rlIj;3jTjjb}pc&`6tr#2f-2oU? z3f%DbwkmYbhRH?lpqKG`8+S7-DRFb5xs#jsoQ3blk9zZOc3gZ6}B^!ddY(Xm`dMP8-qqC4T@uh4e)H%ijR6C7ctV3ZEIL63&gk z!L+9l&Wn#k-!V=noFAV{kDfueAinuU$ec;IFn$Um$;MfPi{cOb2>5Km#qp7}=N!T% z@jFn@#yY~C;&HSx<6Od}@v~U2^9Yy41MsnNKH>8CGiXP~1%xZ&G5YpG!jD!A4 zSH&O7hCLS(?i_zZ0ltKAm-y{y%f_XIyC(J@I1ndfgsbDfVO}%Z3D?9!%G0E$Dm3Eu<%OUENAJM_IqU3C3;f(5AAZ#-=N1%gtCj z8uyT|;+=PZeJ^1nek+^*ecG;4j2p)N+O91u+utY?j30oWXly3z#fQ>&TL@>wGugHt zVA@c81>3}fq=)0Z>Bol%N0fCY#xrAU32exoU_7ytw#;W+dWub6#b0H4o~FBM=`0=eX<~7e5a@*m#~}?|5ZZV@NSxxB-cCo@YJI2ZV4k z9;1B$4kB{prsmO^oIwKXX6KQ6Q4ggWvER+d4b6Hbr1c<;N|6wZR_Zp8gtr;MLe0aE z0D}3vPN6wZg0oI@aB4(GzkD1@rEzcTz}T?&1RlU-^m>1lcSL&{0cr1W&;6w(#sr1Fx>B01C8K`+a!!UpmwNEq$Eg_F*{ z+;{fWyk6kGgS5A4tp=>*5n3LmoFIMoe7YUlcMU3Uh|m3^16Ov#0aIWlUk%8{4iZ)^L#rZFl zC%_yc%AZ1#5>bAOaMnPwfUD?U0Wl;`? zjYB==HW@tLoip0IMw7YC5KalYvd(R$$I)RZ@Vw=|svHQTG}OLkmv&PZ=4#GP$BUA4 zz#Js%FG;CiDVzl<^{YhvJyd_IuWM0CeI9-jD84$yC-qc?_*vF&?wdlVZxbhN2eS<3 z8tn(fFnvv*H-j7wW1lleapy}S*@%^hF5t`JzE#A>aW>d4_}#$se(>{hpiCDy&%)@$ z+AHu$Jyk&nB)nB!<>mXly);i8QrHQ^2)ImN&z6LhnXy5<@B>(@#XmOU5Zc-e9<4=l zSEa{t?XbZk?k?aLL_p*P3 zKSegufpv>=r9CInqhy3Eg0Ge%Is9dtSWTAcfs;NzQ1rUcLqX`~q8(T~8au_jWhm(~Dac(3RK|ko)5u|Qm%#AS->|?0PToZ<*zv!j7yT;d zGmoYU`kQF_g$3P7`QJ0RVGgXDsypf*B7Qh#&pa#^f-LEbc!!d10GWMdnI>c6QF+h! zqGxv$-R6s~PZRwCw64(lx1{JOtlL#y2zP^-+2507?bd9Nnb}9a1`Kq0RiJUe+No(~ z^MEQbNiwu>w z%okglMqBO6ouO%19RyU~S-#-aX~e=yeIhK=V^NvKp{r;t*KeOmzxf6tU!o-#?Jwn^ zQggWy`^@8M*_Egj-CDm8$*sW47fxTGjITUhiD;f#x-;Y;t?tfwQINKyoz)0QE_voP zgGP5ZYq4Z29t<+wJx-IQZfE(TM|2dO>x-U{CVHVSdSOS=c3%X(vu-Zip?tJ9wpEz9TqY$=|T%PQs?u@IslGZ_IU@ zPLQtHAI0s1#9B3GO)I!_|0>;0@%nf*Je`+ZyB!3^v=l=NPX}#9iUvi@LbK+Bb!j@w zXy%d&OC1*ASa3I`bJ?I|Va5DYc0pX_OvA>}cv}{+y4;UIMd{nzM!cQLa-WjLfP!G?{n5AL_kb7ZWm1(_R-`!yLKO3CX5(nTOW z=abN427|;d`av?WjEDupK;{}d?|7eY!dOwR`6q%rF#`TQKEJX9KW_`j?BaWAvUKs+ zebEseMc?&B4^0!zh-j;h=_rcdQi#?webL1*01HYfz=jT@1+5@+;aE5uK-zRCOPt2)`FH42-9w+_VU||#B-7JOu9f|Y^ z@|&SYkfj9`;PUvPpbG$=Boyocfa6ucAOLLGc}D_ZVV_H8sz-@MAhQL3kR;#E!K2_D zkm=UKjR20-j_}8|l{}F7MM|?3OubV4p%cpOuvh(JamibQr}Ru7sr({-IFk7D+@Aa* z3+D@g>ni%UXnK^m41B-IQl%QJu9B%dp8Cz=JhX_o?C}b1R`FH9^D=U@AFnJ)_hVim z$XvPIrODiC=2d}4-#(#fMl(h}khnyAE17zf44YGYr9ULedWks0R}e%&{R+xk02(tY z(KPsHr7BnsqAU^HeeT|x%O&Clkhnx_-9@_9mpa5JVTpK;FSTG7X{#@_Mw4WT_>?bp zX&P<2FL#@!=_TUZzTjhN#Db4O6f<}FN#6IlT;~?zI#b83W##GYUTf^+i219u#l6as zwZ6t?tv`oa(Y3zDX6yf%xYYU@n?qq4YO-_<;#1JXS{x2sw}Q2zc^Yx4<*S8%I&e(P zO5KEdIu-YA;CVA+50gU|$9}T7eBG#7fny*r+FqjYlNims7wP`yGm{B8IR0JJk_%Gm zVMTuAi?YR##uoFlrm@A4#1<1N^V^$lF(gxImn2zQ3`SF}Al04s;=CPp=)!*R?^-y( zX#c$&E_s0a+pt3y-b)wt<(Y5Tp$mT{E-nl^bRinD@3N?98clo!k38cJU5duzcO;F! zNBnyp6>Gc_D?{C}5)M1o2;h0EVGc|1X@?Tzodz;p@T(@vBz~hW8i(B|S<-{I`=VVn zSwvp~jqYknifVVg2{N5MR+G_2n^i$Zo(et+*@9vp$ZG&Vv1M9}-DeO;>^`UOB8~E; zuJB3dJ`;SYJ9d#~_)?GgB-G_xU+T48q~*TUmzpG*cFVzb7D;(S&y z?}!-fiz<`Vc%f9|xp1ql#tWqyA0#f-c%f9|$Hb*4TqxBRN8{2x;bPI;6}YrFw)~SS zI<)*HK8t<2E1R`HR%z^p?NLXC@P{il5cAWWBKjtm1ck(Z#-QivIZ5q8TVE+jP2U zxu)%|bO`VN@{#XIO|wjGETiJXR66;5v5P0l>RE5KT=A6lP0kz$_SEDFTxe4LzwOeO_&jA<{%eR zE8zs;zXASDf6f1oj5?h`xJfDBC8Qny)EVuvkjDg*Tn;}=U_|K}hSN0uAaL#5gC(Vd z97^8hh(5*T@G~2D?pwqUaT(VMtecU0D-Cu}4_}8K@?IxOu(f4DYwr;wvM$%v-%tkG4ViVjf#omfhVF)ZS z+UIqFgO1?%y4mG;dJ`QY8@vZZ^54MoK884r9s*(3qJNtACCKc2lQo&;EBpa8dWX3J zSC!XN_vip4zxX|=zs$THDROtb3(i>C2jT>=AOSA7v4x!gupY&5=%{>wq0xQ;_MJZ9 zv4I-xA3{ZmRLwr3yeG&7`+&_7smd#HdBJ!))jFo4@{IP!(ZNb9z7q}$I}2%aVc!+W zbBW6;?mcM@VJsX|^*x}gn3P`M6ErF559BL@(OgaLP~Rv0wdh5=iE`MuH7VM0dVV}f z)(g61X8-UtBnL^(IbUmpw^&* z=d#5Ovj|T_1?mcJ70ETg_10{-#r}LPa5g8!)&$+SsV)D?EXIH7a#L{wcA_nh^iQRt=tNzvsbPAyHLaVbh=CAZZrq0hjd04g$q z=4dyZ7>f<270sU0T`AM}@fSHrHKsLg4~1 zxlx2!5|b^M3Ieygsj9Lj)zK)H$k-ELa;e@sml4d(qk+R@jmIz zAdZ(b9Nn~)izN;Bs->skXL*n$&%vIobZG#uKUf@k8!7f7F5}JO&;!7a1fE;Q82!=^ zH{s^GZ;magWI>imDIWzt4~JhtZld3Sfvgb7K zY7=3;+kh*LDZK`C?OUZtr}o?9*dmvzWh>=8usz zTRo)qDpTt9G%1vyyn=nellm#MJ_p-l0W0$usp^)+s+tV`3@Ol@?#Zg^mPM&9*d~=O z`~wY2<8Kj{0(Q&V1b$u_MvetD7b>H>XletVJC_FnJ+e}5Y&F!Ux3OHgd~uh-m4$6C z!~R_2MrR;z@wTaQw_C_^ld%FM+nf!F-1E44Nm5pTQZCmyHu+kvrxuP~FD13~SGntX z`Q~op5zzu`Rqi})$?lPBxcGevf^WHJ(hc{@nTu_<_uC^;JI>=(vBvg zi+I1mBHH+DaZE_mRy^gz%2aGkC z3vBZ$Xb!T2CttL1GRjkP3~n^>@!mITKETZuKHdjL&HGq?@$ud=YLc&<%J|)=NxpI_ zc#%<)d@WV*6r(2jx~hzwM$M(T{l&+#12yAuD9*=o0yWP)VJkk~XGYBo@cDS38#VXg zb`2lz6Qkx}EF1ZFUl}zIU{2xVePh&IhTSS3cX*)2#ZFhAy#h7g$1wS8m-$43{C2Cs0=i|L=)D$Bo%g6iBsQDO6Q9hm(sF{v% z4j<15)NH^qpO5#gQS&Bll<{##25L&MRN~`~3e-G^g#sVX4b*();EF#UFBsS(6G0O` zswXo?<93)j7F8w2Ve#tXOUo5)dR5 zKIdQ{rXbPqkVAl2f+WI^9t6Y|BtJZ_7KkHAahNx~EjRE1RF{S8OTh^Wr#gHL1_a9! zq&CcJ-&Tf*)rY^G1Wu-K`h~X-01^_UA^g~RK(YjB4u3|mupooNm-Yk_5oAdC?`T3+ zRFKy2QTX+>6%%As_~K?D*@BDCspEho1eqM3Kyz{e^wiWyEyZ$$gI8QN z&j6Mum}Nx5HNf%(3mTE8J%AMm7BV7NoDHl{u&5E?%aW|3KnP7fVML9>4^2uQEN`*Jui177ER#~7JTIL#&J@)~xTzKajkr$@|s}SsB zBf{4yS(PH$ZbY`#fmbEG$6)p0z&ZzNq2(zfvXu4JMR<5U*P0?=T?NA{?Y?5VYQchT zWEK5dBUs3d+&==?9)d;P$jQ@zbrUS%MsDi{tX8mmHxgnMbQi4Hjd;wjhhSxHB%ij_ z30CDs?!p^?ta`z!-ADy_Jp+xfv(}9y*!+444=)7kLZ9^(%!)>~viAB27K}z>jllL4 zEEJ6#z#8i-STq{Ba~-g~1WQCCSBwPKPq6%G4%QWJHqCC!j*dvv>mnbJ5#lQM>*;@Up$r@LT#`9E9*g zFpM8|Z^Bk~yer^7goD}dqmkQt5)LWTAfxX@q>XKHYWYfl?5kJeK6$;?vEwMfJ++SE zxFzn0?qGKQOu(W$l>HAh6-RVOvu92QEV>if55dJwU#88^er7G;y$Ba)KZ%Cs^dnrB z-MSd?-h`{NzrGakK7^~Y7hD8*U&6K7OVRP12Ez5(eK8c_#eRVMWM6_t;xrNNm+fGA z;PfZlkiCHUHWO~n-gG430fYx-pMb{b>_>P=_8%BsoPmT}v!C4?@F2pYvbUZOcz?p< zvJZr7oWX=AWOqk*cMc#tIs4mF01qKNHMrFpTi*?6tE2w-BD2eLiJc z2_KbhU|7Uk5dkmC9#8rR!ppL6r9C4FugJcHc8(*wGCOoG-~$P-&Q4$saK;l}n?0KF zL4?o9{uINeGlB3q*_lHCw-G)+`|$~YClbCmJAvQ2JCg{vXMfK6noRhr?B7lVd@$h+ z*^g7t6vEeM@0Abu5W+WSUqU}jC477Kp(6oLBfKel+ZlilC48SU&n^Tq{R+0DsB>f~ zwn*96zlEFi^T}7)pQEihM-jHNx6qeI6Aos-GY0Si!f1=H*8y4hG1_4K*%PpQo4Xb3 zTO6QaKX4QjCY}RYj5S0X5WKI{Y~G*m9>v9s+*f^08WsDvyj%=1#+}9vaIX+G7a(Q+ zakGR<7%zk?u-NDeYcc*9Wf-f2Q)+R)hbfWL8jtEs31UJ|&}6 zVBHE;cn=-_qwu#w^HkBCm66o^qvSaSDYa&epFsQrR4Y=_FFa+}IsGh}9!}--i=WdX zRcd0WFyv)VeTs0KF+l%}!m__i>SqP3$F2k_C0aqXJ%N`0{RkvGp7k__sFX+0vw>C| zj^9;qZ4+2GxAJK8ePbnu#lX7B<#)6LTg7xks<26ua-XP0CnQF}f@-v^TToEG33uIO zl{g19y7YOUCU4a>>hbPeGgGZpiLK!C3lkrA;P+ICPyK{J6o$$qp?REgm^4F0RpM7a zX?eN?+HsfCF~YpB1eazZV6=aY zbor9W2+0H;E3f$g2+cHiq=e$3q|nTyP+@{C`B;;yU;fj*y$OQFcVYfCjuXx=Fj18} z#7y}UXH1HCjP|@a7-fyigi+RL!*(aHWP z74{6ow8#FbO&zWB&R&Z|cy|l_p?Z;MIuhlt;wTofn3pjs|50|ckj2r%7_I9uEIg|V z1oGaYKdVJWwW!#bQc>MOMRf-idkC+WsOW`dj4@PHl!=Oeq*M$|s*tK4YOy`xm;QNU zFclkf(vpP2NyFzPliYy!g+NA%fh23#kI$AQy3%>4MVIw#KS@y`eis56CK)BBm1FZ^ z;Kir-*D9u6!$iYb=Jgg~IOtL=Uw~-`6|G=l){Baxp`r={#I=H*3#|CfL%`iADeqtg z1r}$nylGh08QUbAL6S{DB$>^&WHzra6&XL9_jh5BL6OdOn`HF9pY2P6nO&3FzATz5 zfUCl%mqA(BPWA#61eJd%GA$Ad!#2ydCq(lvohFjni@J|QCEa{cbl_aDtK{2%(BWU! zJ2R!IN)(+%(Y!Sc5UsN*h7k<;-N`~qesvD`@>||T` zx*AEwOA`1JNea-*l z@-*X4OeI?0!MNpi(zuJTCN|DZOLBKA$+;bpoSRDGU4$ezrX~3_mE^__Np4Ifc@HaA zErM>&Fx16F)hGq|p#T&Oc(t@V}+beEmU7@=g)JDEWjtRx}hXV7C8wgxJSB*Wg4 z#dUs$dkXecD#N~_=@VvHcsYmWBb|3!)aN!O|1NWqO}uXVe-Fa1JII~DoUoY zdVY0&#;O4Q2#E?Wo(@XTWrg9kn7`m309AT;%Mi|o;=(YyZ%-j0t-o4W!CV{Ie|oyC zOLLE8(%vH32pqqg=mr6PmoB+_xEjgwCE0jMhT*R8D{QNbrEYS<*Avs5)L)b<9O|E( z(tnIdUMTwK9RY#%H2nr{66H&>_N4wU6R?Fg?hurQKrzyESw;^%vKzHzBDQA6b8Z=2 zqU8#g;q1Y9EmitrNj(SIXh;1+ICqOR1DW^>w+|BQc6K(cky-l-S6T`N_adB38G+#B zl+dP>P#~BTx(CNC##hE_2$e~O#b}6$Gk|iT`4&=OO^UT^=W{^n4m5Eg0ImmrN%0cx zAkoke(rWSCYe1q=8pTcDDafZ=5Lu`y$!9ENwcEP|>Gtg?m|n+r6XU|&KrH-z43h01 zO!ju|sk0<0*ChK((jgGlJ~}`oPh`?ABe+nQBmzMFHD#AqPXKjhimFG}E~7aK%ui8u z%k6S&KTwa^of=^aKWTUBH?u)Kb2n8Rmyp+(FY`{Izgb>5^HT6gd{hgE70U}f_*)2{99gq@wosOT9CUj{^Xi7@xEG`5W zrwKij5?Y)TD!GXL?{pE;?JVy_y8LRP=-HF^dx{RCm#_BNXbWnSR$r~vj8=u54UO$y za*)xp;(%1b?UL{cB-BmzRgrAy4sC_6a`oqBP&5DO#yOWszvjrIIg=<_Qp4q4K?cRN zu_ZglgHn}FXrzJSTnuzWn(bFph=D^;=`w5%N|?mQMi+?!jr}C~)b|DZ?zDWKpo)*_l)F>; zoPhH}c|T=x%=m>u*Rs+dPUh2-4a3J!nxmfED=pd0>@?PK~OeA1b`w$!crcMO!ZOQ2vK|qD3#T39c8_Z>3aUD=Djc zLbV*qY5Wl2`a^y0#SUY8)#tE{?LlAZ3q94dxdG^wM)-^?yxKIvwUa^UzKc+^28(Py zwhGkD!a&2v{?<^ulxnGE5&YFb)6cHZK~qF~hxgnM zP#|l%z{;)L0Az*)D=l~GHcOCDa4g<8WgR9+G&qpRY(Wyiu|q~F>u`hj zee&HU*CNdv;Z(WLTm|F^L8{&Tt^_hykXm;XGo2?$y}SR_K#mlok9%%Akoki2bL*+? zC_x&6qxebsqm2sW(;OT`WPuc6NN@n&7G^CJq}A=c5os0~4GgYbtPQ7 z#FzwRk=w#dmx}7O%6kF+$#@xmSc=Ri0c0xsXZR|E4&&$Lg@`xw;=R1eBSAQOB{_Ig zE*EE^#>Hf{D6Y3KcEoi2NdiHEMF+h4=C9B0}5e&K*81@Pzdt_ z3ic$*G_MxQri|_*qw*G)Zm>*EEXVCoSC_kWJ`yWt<@&gL?tPNmThA#a1fW=XDDuas1 z6FjVJ^5g>w_Uv}#7n2Vt*hk-iBc$*c^ix}ZKq1TzDA*EE^#>HfH(=(s^#>Hf{D6XeEYp^S`2hu6e?TG34=C9B z0}5e&K*2tqdTPV`fPyWD{GK*3%~dY|xD9|K-RxL=qbP_X56yg|F@B^8*U@$xBh(Az^+%!A}2xg1r_m(^E0|fP%fQ1}+Hm0}8hOfI^rbP|&Z7x5E5@ zf~`NGp#5;6_QM&kK&SqILYN;=urFr5iSUb?LDwHp2=fC9`qlCNr{?YUE%13vKA>P< zdja$12NZ1m0R^4!_0(^L`2hu6e?UR!d!x>mA5gIM2Nc5mfP#Iq&R6ofh0V$TfP#Hn zALx(C2Ndl43~Zmn{D6Y3KcEoi2NdkhOlyVt0R?*t;b3^b8sw`#pb+K<6zm5{kB0dH z1^XeL7eAn2Zzb%1YTkb2D&{30P_UoH)S$xrfP$?*pb+K<6m0zgh48W!(5XM55atII z>}}K&3iAUBw*G)Zm>*EE^#>Hf{D6Y}vR^KKK*4?`1pQh10}A%r-!n6QK*4^OpbGN? z3if-y0Jg&XfP(%0AAo~len7$gz{VCh6y^sM><{_k?jd1*K*9c~C*aj#en7$g_yE9L z!~B4P{mF2^k45e4= z6~7wOfSsptJ72}`p?rbQ&%>MWFwfXUKAx-Mr%=8`<8DO7%dY`m>hr@YemME%KAwfQ zQZap{kB6WSQ;6MJ<6QA4uH`g>H>UT6wK+3-_fmFLxH*R^yg7X~il;&wA!FA_fl#u6 z#ujW+;(_%b?yohOD9HuP`2Zg;RPiY0L3^mijRFvPYm~Ql=N847xqXW zM@g6Bq{<$xaVuNJ?Ta)FR>El6^pY|Q*Ywl9_-Ndn#EHF1{vuyfsfs_y>b%6q(IyMnhA#7Q)a1X|1~2#VVtjRzp1jh> zi&Xq&@~`%B)N~Eo)OsIBO~1pEUhCti={$PsI*kWVvx8~R4L(0d#cSz_n|wT>;^z~; z#mD0+ehBs7=HqAy^QrF+A4f}gjq-Q-c(Nt@FZSL8N{VW08?LH8Ro%t(bWiG`XBc3B z0R|XmV2F|&q9CXwNdh9tgop|VMpP8V1cocZsHlhu6-*$AA_8VbMMV_F9In@kIqUa4 z`<$w2eErwA{N6*hEzTM#H`CV+Urwon*5x3Fa zGX_^y{;|~eoWU_N4rhH|FgQlW{q*N0gJWcTO8qYzoFn5oIH=sKB+ylljBA9-k+IAE z0^`MsKhH|MCIbQ^<4t>CHbzDp_WWB$Q!q028ax;o?;1Q98Sfc97#SZJJQx`t89W#n zpBOwC8J`(E7#aW6csMftW$3}k__x7>k@1zmgOTx#!Gn?Uoxy{V@q@uJGOBq`{-kjS zBVjjN@)tu7M#66f4@SZt1`kGpEo<9F1+nA2>GEd6kYe*UhJHNFW~$RG92{3@oR4N6F6k~YIGW@g-o{sH zJc_~jPfk167ho>LY(>pYdvqSP%u=;lyI&Qnu z$-l_Zu9yq4dFn8s~ao!A9xb70#LPUf1*np|Ymy zog1X4n`mT%R1h_N)cJ}v{TDrb%xFeU_pxSMH142gk8v1oHFVVML)K)Q#uaMv8|8Os zJb@eXa?+pHIG4Q&-jF_c#7SW%QhT;ZsPybPhX=Y@@!jl$=fxp-w$phIy!lts-B+|G z_Q9oO+GTL`0gp>{UpF{B<#Lg`+u-nY65DW(!7(*H%$mJza7>K{(bIPfjy{^qKG|n* z)U1qs{=UJ{NAuVcA8H&+R#w41U{LN0&cDN&y(CoD>=UO6O0D?4RPw1*D1HWSq|XFK zE&t^lgKail%YPdyK`p;Bcu>o4431ik;Hc$IZ2uoLUP7;)K{b^7xpN`3>-PRa zsPyV*CyG)l{w%Bdi#QOU#A^L2FubxOJHSzRJSLNf1hy#L@`WeQnQghQ!D*x++D^H@ zJO2!gSVB!rn`ODN$PHqp5gXVSh0uI>qz3n7{)y~`p;}cwCj3e4)!`aP#`eOKrdaMs zjXIcLWuTAJI2KE+f~Ta>2S<2dny%hqLS^;FMt%^(PqWd-i9Hyesc?RYmEVoSX_jo9 zC=RE4*l?#B91WdK`fQCmXxQtC&oy)mpKm$Y%+om5aIJ#5U{LOf>dVlRlY~l7&Q!Bd zYQ;~dlC#8t`dy&F?vB#fC(Urrrq2g%rMsd%?DVzh1SdTW4Hm(-%?dE(O71uB;?KdF zWbQXl=YC5t_nW74za>uF!Id|%I|=4~^K|aF1arT6SFl_qnETDsx!)4Z{pKxMjdCx+ z+;5)F{gz^5(Kjo>+;5)F{gz--4o~NPOECAFr*pq0nfuMV zgFdSSbH90aYX6!0&C|Kx63xGaU7h^b_XZqKF!!7HCgGd}bH90Sk-s3p+;867gwsjpe)IN{rzFALZ{9nEOB2lf z=Dka}EWzAw-h1@D+$y5WIOM`AmOs+@4OE_@gFkO?3z542Sn&pDY7W61}wSX zyw9gWK38(Td0#$&VqMw&4+2DGSHa=0wgXlP=6>_OW_c{Z+;84DgyRY3e)GO1oReVg zH}8AG1qtSU^L`+lMu@wj?uOJPZ4Vzd0+!rw-p|7UX6xK<-miD3VVJq!Je~V3!Q5}2 z&ixi;?l;`*ti2Ou?l;fmev4*rL0g&JZ&Buc!$t(z+$eLuc_#N;l)2wLS6(M$QRaU0 zJewBdjhOq*iwZK;X~f)bUd-ME(xa*obH91M{T#4esu6R)dG+jN)v44qXvv?l&)CzmAf|I`^Ab zZkJ;7Fg69b-@Mi~X8>&W^hG_|*j%}ZmooPoa+vIe;vCzGGxwX<+5QY@?r_Y9710hn z=P7Z-M>x{G(;EP-#E}?g(awZbViDmkU(kjO%V<}^@x(FYKZtNn;wr-32p1&c^WlM_|U`xF>x(Th}!c+ZL=d;gY#{^J>CIYOB+vA`vUt_Xv67&Wi`g)9NKJN!ud8hF{NOvm5H>x11~-YOmp)qEbRX&#{(w?oi2 zEhALZ9(dW7Of{XTrkkkQ8LDXyr*vm`6E*!pH9bVlEUI}sQ;o&f2K|on1X>&wZC6Te-(kX*>!kzvcDA0{=^sX9p>LQ-#DiLZ}B7X{Wf3Gnu$|-!QdXyS`|Ze z2UMZ}iaDT9M_Dz>4n|pJSjMD)^Kj1pS)la$b7`xqP&yd=V?v5m_AttfKC8(P4w7?Z zAnZo@8fmj%z^$utjtqq7;f`)I&G|X2@(5ddfqcrwIC?oDjfQ916J`>e19*fp7ars(PN+>@;vm&)aSOryw)nOOKVa9s-7d}i zB9(9E9B`-2&x@alOS9`$x^bO>OVh5AZkR{>JJwpS+Fb{{)fG@b115Pl5cJRR%DJy9m*6gnECr=qVHu83q+Aow%oYPAPSZ-TlMh`R5rRv)75 zZj`mkDEk>@oaTCFl*OUg#z-8NQC5L6KKrI+l=VUxA7=A2%7&nfPoK*(%EqCLx8BVe zWyhh6qxPYUvbiYZ=y@iiY$3|{Y}uPpb`{F_p!h1IY$eKm;{(IAF*>}>y(r^j-_+k~ z&(wcvna3UZ>R}jx(SB{go~OQsV21(tTIc0mWOo>j;l|5bWOvvKMqb{fc8B*dtMKy1 z+8q+$<>g&$cgO*q7mi`;a31Kqya{%Pi=l&;cdp$*;eTGsWIj;*qE!A3JJhnS#tYnk>W}>s`Zv1Ypfb^?@CO^1>+oMp z$3&75AIwrzrOoY-g5k6xgl#Yq;`O2YmOoY#v2&H2p z{Qf=AraC6VXH10BF%dpvB9xAa@EH@KbWDWLm6i$gF%e3~MEHz}P&y{UXH10BF%dpvB9xAa@EH@K zbWDWLm;~wGbTdmm75AIwrzrOoY-g5k6xgl#Yq;855y& zOoY#v2z5Sd*+Vzc1)RQWe8xm59TVX*CPG~Z1=c{HF%c>=CPH1DgXW1#OoUo`A=`m5 z5lY8I_>75AvW%j9#zZI`6QSEd$3*yyiBLKw!e>l`(lHS}V75AIwnHf)iDu1V#}ywsp@3sIQ5M zQ1>mNEs2Rx8zx`_-)BsO(lHS}V#}y&zK0MV6i$g zF%c>=CPHofm9``%LOu5kZ80W7>6i$gF%e3~MEHz}P&y{UXH10BF%dpvB9xAa@EH@K zbWDWLMMU+gsTX4+)N98Y04FU;4;v+ux+UnOsjTnkN}c_;Ap8dnXhWJ}UJ7<#dllm_f%@FFXDF(!Z2 z#o%cxdCgtG4>EXtE4gxj7za0fQGi@@g=Q74YRR}eAG7emj#MxHfJn|eY z4#LyE_NQ1LNHWGj_1BsZsKX2n&luyN zjxczkm1K;A8m4i?I3yY4pz2~Al8kXsM;iVdE6ErKHQL}wE6ErKHP+w>E6ErKb(F!g ztt4X{)C7aatt4X{)X@gdvXYE(K;$In9`0u*8RLNHNsaqfk}(d5nbdd;^{0Qw8a!$x z8RLNXNKN;wBx4*96)8Ak9FmN2KrE!DJ64i04v2u%xNRjFNbO83^RH|=`TiwJ^JJT z`g5nDqa_%nG0x}>wb|epn(HrVz zgL7m^^oBylQ+$x>k)fkEI5KwGU(3i~^oDv(1_VY%Z>KYOlRPR`^_A~3?vo`qaSZl1 zI5p4WAjOd~<3i0fLZ#+`P8_9H-YMi6BnqMV6lXs*uVNietJC}EgLfo(sicc)r+K4BjL+^;O-bev+H|s%}$X-}iN!`blo;tGZ47BscX{-KKt$ zoBAqaQ$I&G_0=Nh-q16>sZYNfS0+|*ZfoBBy^>Z`g<{UkT_Ro$k3lAHRfZc{(WO?_3jsh{Mg zzN*{Q*H77uP5m6%)K@EZ`g<{UkT_Ro$k3lAHP}V^cpzHucpb4pOQZ&-A7~J$ues z1%ItPZtAP&#UXgM)A^L1aZ_K_ZR#hvsjuob^^@GxS9P2ENp9+^x=sBgH}zHBrhbx} z`l@bIKgmsfRkx|1F>bA`Dxn-_0w#;*6%Uu2LOb-?7E%RI~F{)T3jbba0 zTjt6ah0vUc#IeeryoC2=j;!R$+uG&C^9+vX&kWxC1seD9JouVVzCw+=n3bB5o;LiL zJGPNtZ1^$14(4rKV(6G(TXSw`WN^%{BWbTx<9LmLI5-~L~G61VP+VCV@g(zM|X0b|>H6F)f#o>CAc!TzssdmyWMsKLP=#35W-L6k3U9<1=1Be2lXUm-5I-Xd#LtKV@iU@8{ER3NKO+jn z&xiu?bri@cFdp|aqCosbXbTes;%7vG_!&_kenu3C{})jpr&Fnk0(lyB@iU@8{ER3N zKO+jn&xiu4mk|ZxXGDSc8Brj9Mihvj5e4G^MHEP1_z*WyASEgIlMw~tXGDSc8Brj9 zMihwu7f~RuQm2UmxtKb26v!3(x{07X$dfHqCol;!oH3I;U>N1>nISy%GXgKX8?}* zItt`Uz;Rzkfe_B|brcBs3w#{~LO5MdM}d&1#MejshVZ_jMEq;T&H_feZcEQkX6 z3FUr96o{V@1yYb11yYb11yYc`1^1bW0x8Ih0x8Ih0x8Ih0x2+2AhZ~7Xre%fOm!NX zC=fr*D3FFG3Zw|wF4fRPfiwoTTQxLMAkBfjts0sr5Dqj%fq0yHsKcx^dF(c75ge&7 z)wQU4wkm?rY;^~^BF{vDl%b?i7zHvDB8^QH2xkDRz(j#?ttyNHX#*~7BIKDUkS;)* z^u}y!AH4-zCM6KFYjI$@*-|{3pgpvi>?a<>ueYNQ0!y}{v9h_CSnV@8$G#2OF(TUn z`zg(3AL~rYo(lFBvIBWG`K*?uoYT)C7mu8i6Ufiy+Q^MyEdK&(hPS|E4RR}-lF`9x z-MYCh(kOllg>S_++=oGqc_{1%a%(ZX6*AiJ9W=ZP`YKL4b&6%*$#(t@X!G89a@rEm zR*I$n3fXGDn|F3^pxVoO#LKqbpq6dX>_WP`mJUt^PbKyn1vw8$vwu_FI<5w;1PZsf z4PT|fn{#@$-{FJxG@vxFj~cH5((HBW z5cD;mx)I)!MzE?pR=%%IY)p$_zqx$_?1e}N_qA!^@E!=wkRI+YoL2Rhc&H;JhU;7B zgIGO>Y7Vjaox76wGMXGHE0vD{FTV=9?}w&rXht`4@45V8Q`V%eto8FKn}E^5q0kTe zp1V10?-8S)g2WF8o%v6@caOODb?DxG+Pw~9eyy0dsvf6~$A$7^sN<2aijRwq{GLG- zpUBj))#%`kpS@Ekv6eyJma3AVnRbefj?mGO?-$^F6rLU#>U>4(>?C)_tG2#1W>?Ft z@tW|?1#jy%z@aN+2uMnT=iir_b7?eYYhVY&Jc`nmRC*Y*cnSGn7kD>k(~v@2iW zz{7^Nhjo%@iCV>_8heCQHHo$+xa_a}P|`z`+z{HD;8ID!!NGVwS~#uhS6H`6ICdVl zCy1@Raw~QQIC(qHfsU#q?~}U}^;Uq^4tte$?E~1v*Zp&sI5#xZyGA&z>MQ8ZJ&GS^ zGePW(spnn^;XV&owfKIY_8gj2yT*`Zlcpu{H1b-R$K@wnfzv99{^?U5e2NXY$ zKLoAY_w)*$j&BU2nC;}!tW$%+@}Z!z#pi&^I?cx4VR*chuLOa&`xOTeYOM0rAn+c( zB_vp_kxVAW4$&IWD%{hzFLBGzD&s)|39a%oBy_7>BCVZ*2OT8H?oyYlIn~mEmxn)F58q`grIiRyXDGI*!0XSX}_*zqS69@F~q9fiqm>Y*Q399zH7#{`!G5)(4KNYApZh0JVrax_u z{kaB2ZQSu_{Bh!9JR%!&dx2Y38`&J?B0Umjd)VxleN6(hrAt4#O%o+R!h`fg~u=cM}X@NXzNL*N@!T6Z1VpITI+ZlE7k_DBz1GoaeV5p5!FjT zEEk`qHw}DRBR)0jYkXQGKJ^2to8sO~pY9W%&H=HL__WsJ=f1OaR`q&z_Bz?>ydC6f zaqE6@Ya?(>w7hR}qioj=6;`Y(%Iw+~LN9WoY?+V1DlL;6Wy>_i(^h+tFM_5+3Zm?g z8W5`+QEMv7-k%8Es>)-(cM|UXFwnC1#tN)=DhsCh1tMe*?er~&@cB{QvGdw4FrId}kxhN6vOE{XI5bYQt@DuO( z=5h3d@v|*WbK=vAcZlLnqWCFMjHi;-WgXpoTNI5HMR>tBZoVywK81Nc9o~^v@&=$! zshBfx8CcDXD-LqHuA9Saz_gl8^^-_aXgn^v_Que{Phz1tbjo1+$qcp*GQNJ1meix` zR~cPLgRz=tvD?3i%3}|KzAkOq6lHjS!Qz1EAJ8JGL0OFV%tc_6d!{VLd*(x+?LWFRuY%nre%z`P2nI@WzGnVq1#LxcuwKnWteUb-lrXfrVFJ#SQv0w zJs9T}TjqTOAA3d-P$t*#o*#F&End+PIP@N0>ef1ZN18yhqBT0uY?<^AdJ|o=DDUq; zv%dq)Fhpj*h>@iJ4mA5a(CqI(v;S3rW{aIl58F2XZNUIIOp5^rdrX9bJtp!8drX9bJtp!5drX9bJto4z9us{pw^DR@1d0pg z4>7Ag_L%6P&`u6%gitSbO}08Jpi`yDqVP<>W{>G!R@Uq>{fc6<$MhS(V2>$*g`r@N ziRHl_6X9TwiEyyTL^#-EB8<(wY(?Eg)Ff>WACR5KGJ8xbKnwSnzD4=}*B(=G<{ndV z<{ndV_LjOmrsB*!rsB*!rsB*!red?lM2qnTW{-);RHuR2V^Ub(dsHknx-qU2ZA!@n+eJq zp==+@{>Uh6fwC`9mWDFT*Gy9PG_3&PM-VDPf>l_()tq z7dwJ&IR3Y5H$zz0YX$4|GV3*sb+6@}@-@-V_yvCh@AgKA0^LvaMp6$3DivMHip~I5 zc0Ls6i2Y&Q7qOae#(@F$R@%H6q(1oHu8k)Gn_FpfF|~@#t<-vVpmiIyz5%So4v3aO ztJVAxR$(vIJ&&^SqV9@N-CnBuE>!mp)m06K_VpBdmxF64(MwpteXP-9pi+hRY3y!b zO&e8Vu?xl)LM_@`R^nTfp^(3IvRa-?Syy%-%27B#TxgAzy>b~Hu1z1FfueG$k|#gg zj|>4WPDE{bQAS+6h>50?fVbk1sb|xV+krNnYWygLZsP|!K4*?qT{g#R+Mx_P1WlXa zG}0C)guZp9Z{?zK77BH1pB?&EF24Oj+p+XI2NxEInjQr#n|vahIo zFjToSRM}TlPKS(E*;iC93RLzNl@Czm*N`3}D(|AoiJ}sXCkejo+E!Q?*DW|vRK6Ul zoG2=@4o8!aeGGIo26NaoESyTkt$*amoNfOIOJKl2n~DG0NVm)urS{PBf-NA-7M1;> zvO?DM=h}Q*oDSS-U60Ze$@O|(-g$N<7p!@C7uc0tQ0L{HZ&z{&nU}ZFuH-r| zFYiLTGUL~emE4`=eikrxl+f=TVhvoMU9ttrEPV=a6 z$l%|Oaadu)$>+||kK-JlJ{?gMv18qELWgtI!zdZdc_ZRPm%i?h@CJTH6hV&G(Gg(Y zPGXKb1H0=ed=ba{DCHhMb2c#dxj2HtVo^T^P8D7FB939bYb~7eioM&R(&N^GS0XT; zmaR~<9#`x_{K3iN$Blu?hV;g1cPWgtp&QPoAK}EorxR>A2Vn1!03$IsuiCMk6Ck3} zRN_=aM3rqNxEUg<;st_@A)?yt2IyyD97Xx34KA^%EdM)Dq_8F^#Ihclh6Z@l@-4vi zY&zz)wND0;C8?6*I#sfvRN0r1A}NuxDn7%_z%O7`FcK@&o!D4w#gN*tT zQ6AGEyS5-Pk7-`?P?&|g4B3SgX|^* z>E|&GvYQlSpvN@GZjK;BJf=Z*a|IddF%7btC&);TX^`D~LB@DYgX|UvGTvhvWH;ro zr6wgR$W|y6%T6#2G7cYUZ zz)mm?vRfjwA$Edkka2b)wG6crOoQw;avA^|X(yNl8Rr<1cATAH8f3TBIS5*2*a@aV z#+insU1KMh2H9;Y*fKl8G{`vLkes!4f@zT5GNEmQ*T(~E?hFF$X*QvDL(;&O$g2kc~*4SwEze0X4YJ!)XajQ+OoQz95^P9Lf@zT5 zg9RI!lVBQTw?;6eL6#evBSP-}mKtY|37)NS_LAVf#@QOl3vt^XW@d&Hg94;M&S4s4 zoN3QnKeyby$%)`m?cLlHXHnlQRxR({ZjObs7^Q=~#q04y?OO$jd1vC3a(9&=@w`De zx8J=@kes|Dh^!W*!0TRvdFOVAvsT*cnSkm$1S$496k^QXDQqQqy$3+%E+-!jlv+`m zBPcaNQXKk~Q;Xz{1gI-apNkY~>i4*t&Qg;(3Gr_ZI%tv&H@ zu$*sg*NRT$q1VQT=}(cr;7EnPon~SWEoX(Zw_nwVW-}z;xLwKnfm> zRUGX@$IS~oL^^1F%r+fsPgtdxZ8}y>IF@3z=~xHC@f5R7$2tXrV*`{M%374jrZ8~-k;j$F7O~<+sE>AJrbgVn!iWIX=$9fQMmtwZ* zSWm(oQ_MCU>qWS0irJ=P2NUj*Vz%j64dI#;vrWf(6YiH{w&_?O!UI#xHXZ9rcu0!b zrepmG4^1)KbgVz&ktt@Ijtw9@CdF*iu|o)tPchqcY#`xDDQ26F4I(@>#cb2DLkS<3 zVz%knV8Sy}%r+exLU>k+*`{NM5uTl5w&~d6gy*H0Z8~-Y;j>cAHXR#DctMKUrejAE zUYKIG>DVa37pItQIyRc{WhrKxj*TI_B*kpgv9W}&Nio}WY#iZbDQ26F9Ywe{#cb2D z@q|~Vm~A>Xf$*x-cIuf(_>L5_O~;NVye7qL)3Hf}*QS_lI(7`<2UE;89h*#egXLUV z3}i}EjK@e!z5csv6)3y+wt83u>DR}yrPcYvm%<|l;Nyfc3WtCc_U~ue(((#t#TNGM zYuVEFN)=g!qrfSxuk189L6i1p2yfvKVA2E1F0={{2PS=>km0Rx$Oxd)4azRSuIJGH z2&&Q+^R2=G&?^!UR(2)qF(zIOI7{OdN;u{Xk95fJu(j^MN)+-#@cOasnG!;kn zj_f}i0h1c72iFI|=mEEz-spu%$cf@mL0HBdKWR2=H& zZbZ>Z+8uOdU$oCmjiD?10Xtb^=*r>1W@)Sh*l4u#DH?5n765jt#)@@co~E%PtNziO zU}LsM(d|RfSaURnZfDcY)mWib{|I_`x<=9ON5JGfjiK9z0GqEd^!kY21CW8aUhn1sYghm3o>sBq%82=UI*w-w?|EZC*^bfXxl^Rd@I(np1Cu{(` zjOJpEenv^0p4>(a+LPPsJh?+WfyR|In)){z=@yQ1EA<26TRB8k>Pe2@RazrW$Mws| z$27s05j;rWMm6la>0jgf=*#tX3BJ&~f@s$^9>ekbpgj^L{QO4-=!dC&{X7)llui`P z#HVzVyUX#seT0uzXX&ISPf}TutIla!dN0sQ`*_Ib55?+%va9S<6L@^>MQ_;c zZ0Q0PSh>n>Z(jo_Z^#jr-NEKp6@GU=$g(>M>{v~kvO=Bh(O{~EvgQ}Vk?Zgk{ul~c zU?J=9fn_bQa>!JUhVq7{II%+vVL1r5LgXtWQqQU}l$D^|2Z~CHGHTh1qKznOq>G%> zVPzO9X*GWylpUb-$fVfqTi`6N?pE{H4fUu@Dpm^(d7mNA&m`L&4E1wEz3u>N7ekG= z*DkHgq>4+0pwOk4GAVXkpvz($toVL45`FYhVaV)gbr47ry8;AkSN0FhIejc_T^R# zLXmoXY^!-aP#L-3QB!Gzqhb#17aGCg|3&Z++iF=1Y6QcsWg`Gz;g)OJ6hL#RvdVwK z3J1LEfr3)tcI{HAXf>C&+X(wIlvu4#;Ei>R+)3lXHc;4J16$MEe*|TU+)Dk2Vrk+G zklRBo+;SsJ8d#-hIa#YNpUQiDzP$e898(ZuGK(t6}w)d0hC2evX0>f&9UqRVi8b?(2gh?N+7a z{adRGb~dp}D?fx*`+iPPZe#%*ul$k)514{-tF)@sua3nl>u0;_$+MKjE9-Z=-3yqZ zdBtz7dgTn;;uT$FSIxqj53lHTcGYv|dKRzPqiz**`0|Q9?p85-FR$1Zx2mwCXYq(D&(fcUwv-a z7fcFtrh+PB2#j9Ccdnw8U#P3f2wG_y3u6)dL>d&)5jX2~0baIGs+Hi#hCx*`=v5#(A|q}@qcoh-g_ zE5cpPKkM+vlRb7Er1Jxis4P@P^+HvwT;1ecfR6Y1>8fsaUId5b^V1b44iHg3KV8+W zg2a4&y5j5sQsVXa>8frMB&QxfU2zHlDFr@1UDfT*t3c8|KV5Mi0g+;#pRVdoVJoS} zPgk5wa5Wq#wX!HmF#Z$(d@j?kY<{|`yB&I-(4hjopFw$4K3${o=^B+!*QowKhX3$?kzYPtqpvTZ<_JGs-C?`xc*ZiBT~t0@qw?t*l~32G{&bD-)772$V;%qW zL&z_mu2K1Pjp|R=2tQrj6Yy{ite?tEchN65V~j=9_zUa*z|eB%3`cn~=AN|)|L5@Y z);)*4X65kn);*7vR5|>-br;e(H;13M?jlyk%i-s(yI7pCBK*8{FPjUd+>KCepF=e` zD-Bb{&3FaQJ8BOL368-`!>P-9gAe`)dI~=RYF{9a->|$mv%Fm$f3+#E{uBS9ndKS$ zlTCTYKk=WDS)Rdvl_~G~C;q!K%QN_K{BsFtBeb2&%an}5XQ zzYuKr0Sv}fed*xM>|lFn{Z1SLn^|rTOIH$koa3tZFgzP)oqU=>Cjm{r8B*^73KJZQ zxqY@l<}2V9CViM_OB15?E76LC<+oEX3V-lUC_E>Fr-8T;wY47&IHbYDNyr{nGMlIP z`ZjNIXX#m1!_$#P#_lLvH)nMSes#71S{jL#j-uszc%}7pG`;AD0m0E(6lRexj?oagD44cfGr`>!uQ1GGVSxo~-E_@Nu zH${YxNV|4=DDtKeX(VIdO|kj8GzTB+cDZvIy1Y_^o(qM_9X)Uw4W;68hjy|?pmVxy z6MO~m3gC@*k*eDhRpzOmGXVYTjuQs+$6|vi&a2tJ3ra1UrRVSE;<8= zN4G1#foaB$tt$q-sAi04SeiS5~lytT~N#~a&)eca87D{O{`81GU1Sv~$+e`f_uOQ{g z4%9~1dqkdDc$XMy}7NY^Cyrtr4K$+JiDsHZ@&Bb>i#lJlPj;t0|&$^9lA zsPif)1CtF|A0?Eb$@{3y6=Y=cJXXOIWK5DfPbw;^$0si(B_@6_@(X)=40=?yfa zy&^+XD>6yW_!Xh1L(y*b4q+-4O;>=aa2vhcAzr->uL{4UJ&peWJbfEgYup}Q_)Q%( zjjw^iyXvS1@#`RU0S2hOH^Y+$LQnRFp1e<~AB0J_*XQ(P6aD)^JUNWIpRB`YitcC8 z)wYLQkA24WO5zsPwe%d`+H8tXF{bTw1``v@YNm9^G=(kSUYN84^U2haUEf}AJ9}th zgf-FFl`yZnuY*w6iaYCR35U+&pH_l_ZKb=@>(POdlW9e_Olcv`0SvN2DH5VnUF%Zt?vu{DfJE}}0Q|4?w6)_Boq(6@7BX#6PR z&#}rHzlivjI{LG~v7X6!B6SVbd?GdAhp1i4DCWW^XzUX)Zs8+Ucl>99Hvw*?%3cCP z6hk%`hu5(Na<@fspsF5+b7?Z2!Srazln$BtvE_NM2AbX@)6X0et>m}R9MSg??UTXe z;mMvpf?oCsnYa>RpP*)U3=Zn(4yMA($aI1*je_gi#}l;qVOHvc{dk*Qtd@jAvnVv1 z1AnmyEeVAdhe9jaQ>(?D68LS`-bSH!S(DWw^lT`!Iuxqigg4_oYE5Wq4~6#7(jE~~ zV*~g0ghE_Vv0eA+P$-GD+`=-}$#pq%_X>qvSK1wQ;=7Su;eHqj^`nq9M1=^=3xz5| zA)7k6RgP74sndK4Nka@0q5DIjL7~t;cyCY65Mr3JZivYu^hGE%ITYH#*1I}G=mQE# zLtHIFEfCG5`|0XXsEA`}qib~vIya3MV%-oMMQBnev@sN#LQ9`!SUQYC(h#4D(DG2| z(@ykLjWn=bqhyFC;D>cW;WO zmw{U)%gIn*-ZJY{H^vjK;jx_6i_G`r?D}$YO0h8Rr>1hc+(2G?lN0a_L21?{0olg< z)NgN<24x1fnmj@w2h&RM{!)_9l2kNps-~Y^GoVAaNlJdx+!O}1>-B|mJa8*j%^S9} zDAP~irT8x`P1#w*FkgB90_V*rm$&z%)wCt&uS?dW#Eq=NmyAoH*!}p^%zV^*K<@@( zM!@F_PDn5xGUHy>A2Q__82tC{EX$hG0Ut7YJ@LRb%RXTE%QZ`M(Vx~V^^&5F?$c|Q z=aNT<1e!I=NX@edX*;SF2!FY5*#!i%ZaI{oUbmb~P_J9gCfEl`B0Vk#2<@_j`4nKe z`8=B*<69+$Zivc9OiX{o_~s+#OVABhm0d}$%r#Eme7GR)(W+OXj&%5Kozo9J|d zm27d{?esBy%0syw9e^jI6D@&6BpRn&v=;W<=%s+YXx^*HsS%CfZ_J9kfFifoosdm@ zP1*J0EB@HEg?MvGUc!g#dbZP<9CC?0WZFTdQe1ZJL14;}XYCF)3I<%F6ItG_V;LW^ zydAKdNETWC(OS+8S-vtXxkr%2gUaAW$$biSuyZp@?t$}mPrC#YvDTA&65SnQ_dtpM zJjlJBB?vXeKf`kqrSNll{L?&n6)d?(UOdt$wQKVL=Z~V~MUmAgsb$>@=CR-|DFAAO z3(C=u_CpbV6!RbMBPb~Nh0Z?lr_$5}YIrfiLB@aD*tsxPm?Hm9p==goZ?oXzKN-vW zf~Ap+r~R|^^d$NpQS7C+AzWYjBch}!@eHn})ut-2s%ID%O7dUO6bcq1D63E=$1>p@ z3f#*3hMH^C5VWVRSZj#Y7qJ?#$2X$usO1R70XPPXH4wCG8F5wUu@i?0zgj7-j;5;$tPwk;YGi<_7gEC7LMDSS6-%T%O z*sRMHu$BLl#@T%vS+~3B%S@raMO+M?ESi1>Ui2l@(dnYn&Kj^%>#1U`us{oL7gp>L z8WZJfZ7MH2I#BkIaP|jYM0P5(Qs>a@uc3|_l-aebsN*wiA%Q}Nr$Q!Q0#SaoUje=( z)cLzeZphS`p*+IoZ0WEyy29%oqTKcZQ|Y4VVeKE3 z&Jz}Bv9$7uq4Hs(d@6*s=ffP{0!x7FrX3-Y>wt$2hk%tjnEiNWsJtsg?OJTpnPxdt zl)nXGt^7=fO&vWZP=2;ZmIDuUhJcl~k;;FOJ6w;jtSA)C)un8b;SkK1yW|&#cgc03 z;@?E^hD^m)-bWk-_*0@yiO=EXQr)#VN=UoFO*&f$P}A*iO(p&l#9x`r!;(* zcxab?mtZ&w|Mi@QH@B|;_eIeIcRS@>;(*;w{VwtUYqwLsDh!1a=2Zbr5xyUA(0Lp1 zCrXHSW{iUzYoIIeU%2wgC)r=Fe7*rfu<}VbT={HpFRlL3)PTFPX|xiEgxak z?eGXauoCm}`l5~yL?wFM+#DsxRl5!K}r)>I8ZQJw3Q_u`wWyZf|MspUjZ^!kc!0AuYrscnRdw$ zBYWe$MUalkL41iBFKk^C{V*M=34-)U$V%$O$X57PlQ`iAC^%YV1}0?jbduD1NTTc4 z*cv`YWESYAKMbuQO&_=7!}FnWa-<3^m6LX>?*b|Ji65~&*^!-O<=z>^D@_-|Dt8AO z(Ctn*mOBK=f8B!#$8%4LfTs`PoZP8jp}hYRzy((1`=@~n91EQ}1@56gQ}>HIQ8a|S zR_Kb1dN;D{|aBK*m2reQ9^%DBQETk3NH< zNu*o3bKd|wnXt;;_8#D=gk!l$+BueRJXf(kClb!d{RDmE&Lj+9xBe4Mr?}9W_x|tr zps#1o#^jv_(XPD%rTGhhw#B`-6o}sGi<$ku#fFkmikU5M@V8J?-@Xh~{fV^~l7(mB zZbd0!?ET5QX^}koCQN~?G&a{Edfjd?AqX4)a>N7t;KzveG@@4C{5{ZrxV;7X_3K1i zGRZ`IxXpV4uM>G6{0ydv_VXdra58zXL;plE3#OtHbU1!3!1dK+!l|yV52c_`g&nuhRarm-D`P4NMP+|9aEz=}`0mBWe{rzXMjc+Do82-6g0) z@zh-?eOWY?p;UK38jtt}y=hrfo`NmCL;0%ByOukYc@r=UvBug1Qrh3cAXV7t4JjoY z`1_M+|5W?SQIvNz{Vj#(915)Jyil~%(V|w-<78^*u$A~vw|UWK+{Sh{DV-74B5&1m zDDCIW3rjFek?o$*vHgUX&Hg=m;qX5x%$vdi!fn35gz_LN-Fl)Ebn9%^YJLV&tB`4a z$W%*Bmt-&iBZ#a8BC{znyw2q-Oj4tmcg_vWV$V68cU|_8BE*;)sAlu znYz;YtHPvP`u&jU)sX2Tegz;4Uf2XtJkqtM_d=#AxZ7<6D~C)KA(I^m+UeuBz|>us zO2BB>9s{NZ&%X)%-Q{83aB85ryB5Mm>2eOi6C->OEkp-uKWiy?39ERb2#$uJUPL%a zIF|yq@6t zhwldI?6cnnvR>Fq^;-^^#8(9VP;FL6@^5e&0Zv{3cAKwU=KXIc3OVgs>(ED!0LhhD zlA2tJ^vMe-8Ks!wlJCJ$`$Q7;Wb_RL^DlxK`$W#F7;DL&$+Cbf9a&P_8Tt!`NFiDL zX+YP|nFT~!15L>W#rbUR!9cAf1ODu5SA|2G&-47gv~n$(Xk{ri5HXINMHZQ|R)*IQ+6Y8H;(r{B?|cM>a) zf9Ea4Z$xVT@1r;Jzarp|t(6(;r+4=MaN9bV@vsiXP3Shnl>D4NkqJiQliFZP5= z9E;K9^(O2kdcFs^AK_Tys(pY56Rww7%ksksV_$tP6%FeJo!K#OG#_b+71S|~bStrr z3gli2uu|4hbC(AY@oMJkWLMpRF)o zr&!UH44DfwMb!*0Ypm_$vjf;{5D1Gg5f>=lXUXH)h2O8mM7a}uc5Rg#bm8~x!sDg` zeHKH={+^U;fTraA|3{9-Ekqw@e+zo{u|PlOwE7dNj}cvfp=19{^jn~XClmdJH-@ux zQvSCvyF|O@oDG%&#o=Cm8it;IEWCpNF1vOdOsB<*V{N*Dxw(Fdn$8rarowa+nPl*t zY14IkXi<&kA*IoA7=q%t&cb*waMXA(Y!t+WU)w<%0}_fXf}_JXd# zp5Jewy}OM)y`^@y%_$DSYxVQlw%bK7pN2><-~}#j4_q8g+rU6yNSEsWi{#6f|zog>CVws9icG(7%q&0}MQ$h)@d_ zTqr`i>XSmD3yqL&;tQRii7kq(%&>E9D6%rJlcp~##qHSfFjjvyg+9sSVZ(|*@{qPaA4~>YorOdC4d#?no0aOTa`|P>YXe^))`4_+(0Jd@k^k?>ITWBK(+B z8tma+m~_8}@-?`j^s>XT^#V7NE?aCR1k>YaDCyD-dswmT(&UQV9#F0NH_&bkCL}!l z$x>SjC3>XQh!RYOqM}Ar{6wJ!qbM}l{hd;c38u2$r%+AOP{9P0HD=oi9j2;6a zZS-Ej&nGTMHGVUd$|q!WnfEH-@DmbenlxgF2QM6U(ul!MyxgyB3k#cgIiKG=d^vaU zUC&6}q1jM@e=l8XSvU0iPkA|i6duc}w09YDDD$%_`oWF(dYdA!6|GtYWU2%+sOY2{ zfJ_r47Of%MbV1^=5nQ`HR*;-nUn0i|QV<(4a454Y$1riGqjPVA%n3p%i$1#&$cciK zNBi6aWQHIW(V;YYk|6D({ci>`Q;?3)t7?IqEJ)XAJ8GLHNRQZ1{$A%4yD99{#QG6A zRjM#B)|)T?rwKA7+F>B zM@s~;6=hg~J4O%{WmthbR*+Z>VFm6uf#Wes93^m0lwk$#ctHxH3@dOa2$Htq3@dOa zI<)P@+>f0;G)LJ_g#$2^ekKU2^(^if_j9K)xV($q{mx#@SHf=Mu%nE_c1;|1lyTUu ziNlUD4%;DnhwYj;Z0T6n#9>DnhwYj;>?q@~T@!~LmE(tg zbxubm%A<_Kc1;|1lyTUuiNlUE4%;F}+@DB< zss~0HhwYj;>?q@~T@!~LWgNC^;;^G~0+5NrjxrA0HF4Nc#$mfA4m&D`0o4=L$3+>3 z?V31j-R33^J1QpuWeeN9DC4kQ6NepT9JYHv9JX5+IUHS+a|F7{Mjm!NW42+O;ZcXF zFLx?SC&`_GwdmZ_GSPWf-gyiWe0{+|R&1!q^n^_Qqd-67GqYeh*|}ti122TmEp5FH z(_CVP_hM2myoj+)8ZXD*T%iPpYWxJ^5*VuSYl%x>sK(y}Zq?_?idyFJeo3#T$%kd0 zL#=QpEk!ARS1ET{(n_~Rhu9lFK?(On@b?LTK6Yj-YdbDwz0x9_>_31qPWH31$%$w4 zQp=h<0F%A>J)}N$Ed0r&oWx<)82@r%(fsN%3|o?8%1oFM1bE7sI`RYfSGW^x2*=S4 zx8tw-0u~MILVS_&GsD{px19b!%!3>+nfNjw${*3;|9FZOnb0z<$e<5(MJ_fK>2kGY zO&Ii_^wg5rybn+}iBL;ef`1d>W`>M?_^PsMY&BvZ#+<*n$FL6V{NhO{pUm>E_4*FN#_SZr zJ^aTWhi_BqeofX_L*TLml@c z#N)GT9P46rN;XEkKO93{ohA}~+p&QEP6^WG*?I3kIg-8<;BlElsKo!WmUZgwTxX-pC~yLXe{m&DEP8p8D?akG05;Vdh%uM<8* z)-FJM>O=M22glMMCT?~gCXXeFo89$>jj%pc-`!3) zZRN9j-efWTDN6wjdcgY$2lDOG@jj%pc-+f~} zV11~*yZd>-`cQrMO~U$6efKT$>qGV3w+ZV*_1(SX(TD20?-169>bvg}E|bK~?tApT z+{&lR*P^&U{zzk|aHu{V6xzCwMhG=x*JLXm$uD%O6j>B*1}ul_yPtD$O&_Z7e%TAl zH@dR>c~-wZRNwt-C}4f4zWX)H^`ZLiH-z<}`tG-c^`ZLi_k{JK`tA>eaST|tqVD?C zByA5L`U93j_1&NM!X0y{zWZxutjWls`tI*iNlD!7>K|FAd8j_#TS;)zJXGJc1&O4y zw_r?}#La0Qs_#aG;--11zN-ZB(mYh(b>&MTmgb@Qu4mI?yoiVDyHP==Iz>EG-;Lq? zItz#D7x7Si*T)%fJXF7khw8ia?D4>Mt0Eq%?`GkYHVcR97x7SiH*RyF?Zct^oO-Au zc*UgS)FL<&H@||aXRA?Yi){4~xbvFk-4JOoW%{v}+uG&~V5NDe zzT3ur1T$Z}gooY=S(MsbxO{}@6IJF@(|%{3*2hzK#Tp5^C`s6 z0&dqb*Jv|21^gk0@My4=o;vLmd`CGPo!0{|lLNgUktzLE;_N4Tqb$sS47~a8PeV>|s0~ore5ga) zh*$9ly~5^6tNLu6(gFi8<8_KySZP#9x2rSRE>Fn{L<&$l?JAW2F0i-WZ zu{no1OJ|nJf%m7%@VgG==KG;-hnU7OVKc4f1>LO}k7(loQN()y=V3+xJ_Pvp8TfeM z9{dRCGk_On;Aa7Ek%3fH2ej@s|-ohUz4`l}1h6Vv_my|h~PDM5ezAgwkUcv&9X`X`wZ?gHNO zOJnLsF?GOj`x&GUU@;GR!w%%(S}hToE(5VeNU_S(C}VxYUhPIprA`UTmxzCTasvNK z<<{67`qxBmjgwBr0and93d`hHa3f`&Rob%BC|({KLo?|cVkk&o!ozz*lGSn)%6OA( ztt+b>Z^~NE0`L=v@757p&NakuGRhVjzThCX*{JkYD5J3ksD`Oz%VkEks;;c^MpIU~ z3IJQgYWfDdYMQeK1wEuG-U*vxnlwe$X+c*_m!>!!c*_k&{u-?uRUR7Fd?#oe4Qqvl zv&AaVR6Lcfz18wT`sU?D z*cXZ31BPQi6tiPjge0r-(7;sHQkuGkll>5s4HRqbv010neO|*^WIL3%mr3s$P9oQ0 zBv+q0Z8lDTq?lDX9fF+mDrW%T6jXUC0Nz!V^8j%8R-OZZomaUK03Q*Rivh67DwhC| zYFCwg1Ye$_(rZwL1q@7S>q1|iqAz%C8DE~FFZTh}zC0toG+ztB#Sl60zT1dWHo=V{ z#j4z5%vSC&fK}Cj_P?N_=TRm*c(w252W{~M?f(?o|AO{kI~_SnC56bp`JA@r1@7$E zO=I=avwUTa0h*TpXak*ekO$T2iK{6}>EOX3#cJ6aWo(xbQYL(r1B{x=F#r@6*qToT zaAqhK=sN+W)OTe_v09#rGU~fEL*Hd6<5Q^RJ^;KgUJM0fbe4ysv;0#dZcJFMCUVB9 zj_gOd-j*By`DTZ1mr_3YH=#9}UBdBIEpGxJ0xy-PPj!SZ(%JLzW^nve95UKb-V8be zFaI6t-a`)r(_u%ht75b48#J%{bapbV$h*+*R;QNujI*Z>M+r{j!_j)3*U;L)b6CLd zzD^B@AHh!}H^RDf`j7>qbOCSuPT#R$f-c~_*u}*l;1!$Jg_&A-`4@I&a$R2TrFNHn zTU?7*?8q)mX2Q!qrOQev;^j^2l7=`hZ%P+tX5od8x*st3lyy;S{M7-X?}3F2yK?jr zTwJx>vThsv_j>5x>!BDTf3JuBy&k%m(^CBJ_0Yf9L;w5NLxV)5*kJz4M5KJcXReug z7hfN&nR*#(re4OHsh6>4>Se5%dKqh`UdEcKm$7E*WvrQcS0fSt_eH%5yb@%rnR*#( zre4OHsh6>4>Se5%dYNmc-i^Dd)FdKph1Zk+=|rTf!ZlOx!L#WP*G#>PHB&ER&D6_S zGxajoOufuCQ*Tp8=m`>$eny=-5orXkvOywJ!a*WZ!g|fr+e$b{L`pbFL`pbFL`oQm zNZCEVLAy>wN(e(`wfv<~lZf}Kj0t{Dd8Xy zDfxp$q=bV+q~r+_krECPkrLKxrrvw>-6SG?1Yn{3k>x}tBBg_pi1f9PMhNv{*JP_F z0y-Cc)%tRsSVJqnR>sD!#nnWZz57!3=@$OsY^t90d0I(rIv8b^m{aawmJh1kga^cK_XHn2dzs)`VvHfM5LSn{yGuq zW#9_dOuf$bGN5|0jCS}LaDl9u7RZ`uLB^VCw98wd#)o6yt0LCnANUaSkKkuUr?mhr z|HzL4cP6a-MTEQXe9lKk zdlD}7`w~8waG5`ya1CKI;O_!hD1T(YXDhZuwxW|V=*cQ{6g~_%G6kPPu|6)q#>?S| zJwO)5Dh>{Q-fCkxKqo96U{mjv__VW{L}3@dgr$uhU@gS|b}bX5YSxp5b*->oPOS@s zbtG6zgmrYtx%YO;^em8rjFeX6e0sB4in4Z~oCiwHf1z{(kyJ=hNuwf+gbJx7Ns=TT_e+-C%o^^r23Aue$OE$g=p9XmIYtW+T z)j-Q`Md&rq{Bbf)Rkq2{eypW6-UXV6K*oZy_knLhxPm&g&p~?|j%r#=*%@h$m9i%&|wmYnP5lhV5-r&{@>^l8aiPd+JqTly1Tk?UJN1PY(%c`Ya5AD`%@ zEnmYwKGDG~{duzJB`xQDY$-meJzMfdZa$HbEuX^C7<^LusISpFI_mo4@T;f3HXw&M z^);Km!rznkDD^8Y7W{X6qyPW0yL1aI{@QrCPC6Vu(3-UtBsQ;b%`roOq zZQ&Dnw$R@n#0rcZDW^5SQr*w*mw)|9@$L9GB|- z*B8ifn@PXth+!iv+e@9OtV0aF-Eh@0y!c>16gK)+(2MkoGi0IZX~c> zPL^39HxAglPL^39HyPM&C(A65V?pC&51St9Fin(v6s8uzxj^o7Bt6v`316f-zaqo3 zNA<*_y)y<}AnQp+^eW3SzsDB>Oc z`Iz0wNGCGVc2KJ$oy1570o9RCW~6hIkxtQ(Mxn<<%8|;^vDUknqpdk2iNFawxMmbX z5uM06jBj-^zPXI=rDS~b7~hY{_~z^QKvVDk4~eYD;fJ+?g7IYXo=ir!g3;yTq$Qoa zm5i=6P@TN{8QoBz+NTfd=nA37ME57j<2c89oRSI16+wYjQwrmB@*Zb=*C#bTAsQRB zG7ii5Ln4@6oLDJt1CdXYY-AfpSk}u7-ys>#++;j2GoJgB@w}?z=>thxJ|(Hh&zodC z=l^p&w=latq9gd1hR3RTHW|-HjOQ7|Qz(-NAF)mR7^o`Y;54KMr=`;|!s8RXru0UP zGWi6jmepA!p?0B0IqH&T_|+r6aevn%z84rH{#MXt# zw7~Q)vB9kJY%bL*GCcnD`Vz9lu!X zc`Xh78NFl9Hs^8bL%|V;oU`4{nwX6)j`uBkLe}Iy2!#!!u-&?nu;rahcnaZw=O8ZY zDvH~l{SUxXN$=#%+ziv0Bp zfcIEba1pXBf&W&`Y{;f$Z3B3ZMHTi8;C*fvN#ad`N3-l8uFkb6YxPRK7+0Dt7d2zR zRA~<7Vi(ft53Cu`jH&|7@#7iaZ`M3evV_9pKcdf3?l%dJ8HxC4Ch&^DG6)rl&<|n| z4uuDm@a;3Oxnecg^MN2Tnje3O$)6k80&)U4?%d^2CySxxN+_!SfW>fCU^j#!BE%ih zDI&B=gf`E>%WWUn%b+?FdkQO|`Y&|YlY!4cZX%(sN~(S`sXCiNy8~IB{285PNuk|I zp^Y?hs@()a6{6{#Wc*WYChY^p-wy0bi66I*qDaSc>9!_rAJDbgC{M=hCN6^=X0U-^ z8?$+~zKUN&lLj~!C1Y!s3^u@Fu=>})k>kL=46&&ACOSSfFdGC+48etKlk%yOgm#pl z4>qmJx)lK9+F}*850lBrOpzt zMQ2k0)Oi}De4Xt;^L0+3&gbZt8=U@0olhlo-XMN_oH~d4I!99{Y`WX%tb*oVfeDt% znn(^}<0V59TXU6utqG>$vl-1AX9)-c#T^~-8qw}pBhh@qXzn%gS!<0l1u0{C`VP<@ zqOV>t%=$jFxypK%G%%YB4Mi)UGWHrdV~vWJFi$>n4uY;r=(R~BJ`*Ei*TM*O8dmy2 zRYnK^Qcp|F|&+foi~N` zDQt~QQu3n|%dw_{vR7n|gLduv95G`dqRpBOHtSFoY&21;^tVA|MM>WcfF(HnV*qR- z(mw~lW+44*0BmZ~4+3Drl>Q5VUy;0Y)kTHa3Z{DiSXk4e09eA(GXSurr{@7+VMs3m zzzj(*1HiT;s|f&RfTz8I^Rrae$%dA%X;uf7(FY{v1gv)LmRNC!e)=h3^O5&LP!$Y9 z!t33``tx3IkX?H=Zf`E2BkH|F_w40M#I-9Cy6{rGc=*_}3X8zw;|wf37616yHx%wd zM(}Y<3jdBqmyg%5@J$5a>q%=9}$t$yb7T5)`0G`AldO&klu0t;I1lo2LAnw zN3IX-qypc<1*tQPaxN*gRe04plnuAQD+4W(hPSa>C@`Et(N?}FHaAU#qBO7lB_MgT zRwCG`RHUNg$6c(vj)Xn$`-ed9M3+QW>TyVt_3J>?lwBZLHQ2eN(z=lN8*}a0nLzW1 z9wB-r(AW?NpNYa5uL95)z(%OmCwhidd>V*@L3~jYt>!8=8>CSn#pVIviNx_`CgcG> zB*yWv2SB`zV(X1qYzu(-l>Zn2l@_sKz+1P4itPtUlpgS<)#+L~mIL5+NUMwh++p>r z1U^zyw-Vtq&n!gus6Wd`>;!O@Gs-r8*e*WM2f)LOdj^2b0BUsHc8dMEip>NO$37#9 zHFVCx8sH~O6doF}Ea_Y=BzOTlrd#rbEauaI=AhrW7~84T-9#=P&E@^92^)asz5+!& zz5=NXOh{n%!C|mGDH{3TFgcGj0^wbV=~qW*DAMsg!ua+;No)_eECMRyL)1{~`F+5L z0<~%uLWJ?Z$oPMUY+6s+|B_gJJyM%M-2tIA7O29dweaOTXgiwO5ZY&A7aUD%! z!D+OpyCzHpK?>w^2wRWCnNvMg$ZO!;2d&3ID{Ka7_Z(*r;QCG>FNJrMqz;i3_nJ;f(@ zZ1J;?dWw(R!72U(B7EFFZc!;x#>cy?h}Yip2{tL30_X7YE-3Pzb`_scSy3~{@$p6# zZQO<{-SGq)6~!RO$K6uw;2$6NIjiVbR9-&8#>M4`i;r6rDB`=9Pq-S}AKB1<(IVN< z4}xxfvfE@D`U_E4xApq3HuV2N>+V{u;9q;vcKmwJz$E4MJAmBwBKQq>WASTy9q@|* zQAT_fc^vq0>HT(D3<_66Br5LN&qSt zU^GJ}10O0XzJ!YKBh-5dLD!y%k`|c({!GZ@Tc%3k8=h1ZSpf3QAP+s7d@IO2@RO%Q z){$Omq;ENzyc*;ckbdxJ@)je#?P&6Lkk>&PdsOS_j=pK6Yok-Ctjz2Ir7Zx^UIiay zsuu2sBxcg!tpe2A(8oZZgg(6U&=s)m+XuXxu(yF7lg8yff-PBNrJHyk8<0UjBZnaT z90CsqA2DN5LyGArM!Yjnx52u$E{oswBRSyg2B+o^oN{n@`y$);q&kKPH3sK9a8$Z9 zQ5ozRS#QveN^o>f^c#fA{Pa68-XdcL3{)BHELlGh@0JwIgCZ^XGa1V$m^GN?pwMD_ zHyo1MLnlKrG#cn{j5|D^4s5j@plk0_Dv{03Fdt`r_TRwcpA9)aZdvv> z_{Ya9%gzRqPq1M&-xPd8Cug%S&c|7h&AvJx=VmF%j%tW%XL@|MA|M-rL#81Z_%L1? zz5jhfV7hsK+PAw5uKG`E|5b?FnmQJa?u-yt&FlE}CIZbz@%5$w;I1ui9spjt;VlQy zhQKNSrvXszBUI6M1Mq~X_=zgE`2k)4Fb@3R5THOpY9JRdGp=sZ0hsHT3!ru$)u>H9Y9IEHT%$ZF7 zc}=FXY^J+R5Bcb$p!CF+f7_j&*m5TGl&p$f$#lPrnB4b)rokR}4*>em{TKj!=za!( zK6JkTKp(na1E3Gx?*L%x4#}8DrJWA~*F#Lv^$XAelsf`o7=gf_v zg^yF}xuEdz26$}6`2=TrEK7VsrHYe-jtU;1EaPWDnq|DgWXW;s(Zu!rZ)XY9YBi6- z6=;!AnjM;MwTmYSYB3i2I0|=~`IYY<`nZfgiSF-m_U3Xg+#I zwLd9;m{C1?5H^)EN6o0t7m7Qw4aPruMs=CiQ)fIDXpN~>JBM%RK$u{G=<$mqDa8`I zo^o|715Wp?Cw*Yd-suS9^dubc4iWA}*!E^%BG~Co*a==X zR5^W~0O)yX6zNMiOTQ2#i>O@fmy8;ygln5s%lMu7A>z%YM)x9E0G zG6$YzfT|C{4ZK1S&*kcIIHP{3YbBC z(Jp6nQ_k9MV5dqC&Uud=uC(z8rP4+~kCoODa3F0bU_0$^bWqw6z;4>3fW5Q<@KZ4D zYsiGsE&&`)TLL(eb`4}w(z<{WO$#Hfsc9eLH!W=~=&`g9@f%N5kW5c|7QY#3xsc3M zfgHU4ov(SQwzkJTeKzgs^(%UmX?=e}HB1|V-$2@a2qMR}{DLN=8~cXtOv^pzSpZ(f zVbFRK)ZHJq*IR_G7-#I=-e&`zVPRo5{*w`xLZCvN-1)@Er>nqsKR`{Ng`n6WH=_lP z*a*oPe%dhW*aql{r(K2kRaykK-%1;gldJ=21GHi10rraAFi<4^@mJ)F2hCa zoq)5@Hg$loRYNRe?T^Bt{%zeSbR`8^wIeUkaaPR7#F!kz_N!!7%ig88Z-#IhW7>L1AYgLoRMJs1?x7U z`#aiwFhKKWfSLf!s##3kzt9&41JwNu0%>ElWc&t;1)I&Ed!TLnpKnTLFMO+yoF7;DOBptV=IyD2As(`-e$5dCwBp+3ULoQ z^(+FOy$Z^*fav6%Y%_UV!N^$$hE86JlMCGiR8fy_LTmIP@G$!V3#Nlp7@z~kvU0tR zkh!6=a9%zfa|w7zfotalRU(fE4=lixVjd1Ys8snG5ZVnP5m+Sjo`Ddyw%2FB#=3@` zpfbqNHXO;xE{$~yiLF7b6e32ODyJRLze7(>Hvr!;JBqKOIc|WJYdDBKM3|r3Ggy1T zp{xD@OA{l(W(4VAY6pZQe}l83a2%N8fSlPrR6Lettvoa3}EV=E9_FS&;^apB^S&&9XXB zG`~t*l5l|}p&b3wtjlo2m|%AS%Z+r?8Q3{=bSB!7!AMFRT;@-I&E<;n+#=AJ4I}H& zP5_N=nBmh9ww<9Z@@bCB?P_Qb_%u)DUSw!nd>Z1IVrV;k8uVRjX#0E`^vyH0Lp}}q zY78w32hrVCA(gwq&=NikalB?|t$Z5dc-zpr`ZUC`*U$#~G{kYh(8l^S#G%gCKA7p# zA}T-6(8La8b&A8bHN|1Qt>>~5Zs-7!IGPTt<{}jP!oRV7+sI-Ox(VohX6EB;kwyZ| z=>y^4SWWr^V0)H(A%IK(zv)J*@@hWw^a)+Oa*kOxD_*FISnS}bHz zxnGzdZmQ9wO*sHEP07<_bRcto1c|0J^Ch(@(Q|bAyZB_Cc^QU$flt<%R|GOM?+PKy zW|G`8BYM3S<)l(>V?(R)gX_#|0WvdhU7g@<3~h%`L*{ifw0C_PGVe@7+waqmc|Aa5 z=BYGEy+qy5&~i1+&%6skmdqPw_$T^&?Xi&{(_?39GBa-+Nc7lXUs8K)hEXutCu@%_ z1)25vE}#5o^?8*EyTKQlIiD@ZI*=Lp^P0^1ya^;mzFU)YeSQ-})@K(JNp;(dWPL^r zN5w|tZQ?2P1s{-jJ~Qz&(`44^{U)9+zNAiM=N{U#7x?5?L_v3ung5eC84hQCm}zL=`81S*IiRr=aL*XL zBIYbGwECK6NYkVitawUAkU+0;&)f!J1>5a;+Ibh%g!GP7ogCR-<{-1Q(aYp(Pq zwac~{1q*z#E*HBD`F@{#kWR>X7vxD$lDpUNpZEDX-p@d0yzgtWbt19~B*y!#FRA1G z#V82j1zD#tQ9ZR+ihXkYW)?LMWGXqqCu=29BYn0{uAp>I4#?L+I;Q}@O#oDbtNErr zpF=D-6l^e`u&+I}D*z^mh!Al&|T*Q%BMF6qbC1PB0(uw9*Rn82LCctK^f7_|k(X*rvpvN(|jtvI+xKK34YE>-7YZIkeuT6Rjpakg4cFq7U{W zemxn@HX*q2CZIChXu{dscYp@_LHKnbI7O=xzv0@6Lv=meob2Afd|890|7?k|!H28Efj!N*KE>aBejz#-estG)K2onbFkt7wUKLOyW9jQZ4 zBm`PO&MCW>OkN)DG+9Hi7;;YKCV=is{P`<>JeifjiJ&ozVUD^d(QuksjmVMvJ%jpw z&v2o;*8V%F5ni>i&i)d5R0{7jaUZd%IS|1$DDHY;I4N@3#iPRTBD@39-5{J$id<>& zm~bKy-ht?D6izfnZn1b=IBDRtQ0^w-#8c$1iYJ7V0ZvQhZWc~fid;|eq;PUlcn6}p zML2mWawEl4!YNQu-ht?DwVABcxOYNl%zK#o3->Z1=Keya!@s|fuz!CcVgLR@!v6h* zgrk@u{Tr%#PhQm$o5|7Vr;R}Gk3z@NhF!aeEG}cfPtO1WjU-mBftx_tTLd1iz{BSH zEV+X)5SpRVM}ye}%t!v2i8oW`L=*C#L#f#JjC&{Jo(-8YiMtF7mtXDuyjezEW9ZKPOMD?TU6*TRWE4o(5}k<>Mkg0-@&yH1A4AIBo#Y zTZqb+z41)*IwtKGs2Yf9zBEa*``}$b8$uIRy6UAu=fKk6jdkelrAI+yfI`H~B-aL* zqVluAycEp*0uwT`+=w47dL1Td_>In*PeWQmW*PotiXUNha^^ERuff6``G~xL9M?XC z4o}VqCa9X}bL}>%AS@<9W?WqRBogv|17RWZ9ufx;BpsIzg;=Ow_Kl_@mERBKaWr?b z;ioSFa5X5uXhHND;0xFOS;UtcaaE6zXF;s+P5>SBl~pNAyaT|Bco`TUuf{6k^?ZE1 zX;u+$-Q(j;w~BaMBOkBID&mENe7wn4aqT;=*l%(}rN#a?vv67Q-^~)WNJDio(&MPR zPD;LGjz_Bbj@fG(;+@-+T8J-AfBg7y^cRn_VfFvmCjZ}Qh+V#+tv2t%ZTijg3}W*3 z08NAK%KaO&=^Q}leK7a;;ujM!$PcoEeBoM`uz>7MYUqL{BgExY)+HUmXmT@NPEy`+ z;3k$801ZjIaR~)S1C4x!uv~}Oc-P>bsA&o9z-o(HrCfiwUED4Ti^>iF0tsiW|Zk6oJj5B%d}m!&qwKR$ND)R*y( zk9|z)!}!O?Zj^dH{_(NPQ&-?0AG<=WLr2h2mty|VeBf^MyDnx4^x*h+$9ARq4*VCz z?0+m<|E(pB!!gBd*KY+|{^M8w2n2ER?qv|&3y@d8?gjAGZ+ZdG0(5`GkH?=J`rir-p}q;2Y%w~`(Y|Fi~SpC+6Y zUTh=mHo}N2jtJcC&7eOz^;`?-digNpdOQ#EuTn;Z?_ zNjMrl^BCCh2H|-4iLU{_NjNKfU?SANWzuyuB5?o8+dD(0ov<~^a`*7_SNJQI?Y#t5 z_-f|wN3#KAt1xZ*n9E;7;oU5tpDY3#4L?5y5$sz9xNG=&>il#Y;F;mfO8|fNHekJ< z-TnJ+zn#U|kEw8}xceMbu6X5>q%y<3ouKeZQt7T# zhpF`#!~R-cfcx3qFM^F&h1a4~dD{s4ry3IWPc`_c)asO*(rMjB{_tN*;`o}(?9iyhU(d-D^J&8dbzQ(QK>dw(VDpK6#N zrY)U%p$GkLQ^5YIhJ^i74Jq%RYDm~W)sQm&sfL98Qw<6GryA1s3KgfxKLJdaA8~dD zHoDV5;bkI-<`F`=m^G=+?>?U@g%4se;6U@XC|qCk0^dK?a2d$vRKt6yBm5KUulMbG z!2YR*r2D5D5{`yvJO-JAg#A+u3HzrS62=ugsSb5#QIq%{Hrx+5zy&nkFYN&)Pc>W; zLBzYTmeo5ViIkgQyx$31+1%)k3Qi=D&5iDOtrM}cQ@24=KoBRJ8{Iuy5I36}-91MT zFPj_PJ=bDg3uSYoyXRSqF`C1T?p{!kp@AH3boW9QKap*5a@Z^K!qz5WyPOUw*RA-k!<|VPg=P*P&(9(mo+aru zfZ`SGsqN0N-R=UVuod<9v$8OR(9XInN>|a{=&gYVi|* z!=hWDs`xO;6C_w?sAE^$su_boi8-3XRG)_c2}!t?ybE~!u|u%vW0FMh78MqcW`NtQ zMt~QTw868t%1N$2|qFoqnqVG--8tuYXOwO5rv?_ zxq&JR(c=(ARk7J{ctZ_26Ox?Qz+qg)UC0fepy0UZG8CB7<#Pz};R9;TI8l?clf+t#8iP~jF7zwt3Ye;3i0U&95BWU62h zv%BCH%u>tLnC#x7us>c*bUZhKv`x5N(L(tFKQIXM4*MR$=n#HhsjH*b0#+-UaXmx8p z)@nC68QfdI6+fHO76@NcV)fFdl~}!*eM;USzd(g)E1RrGC*5jc^+0$`7obQznvNHs?k<>9Q3ZhQ%P45oepMCM zg2t50)wE=_u_K)Sa^C8 zU$xD;;D(cMU%qdfUA!GjP>bf zPK_>r3;5^0tkj%A$@(g4J(hDX89LU_Q$6 z8`XdEQI2c+tqu<$AK@t!t!MD-tp$1?Y*#M7C0ae!0mqOS?BhTU4pn%u_i768DikDd zBe-jUcv}EGL0~(89R!{O!1c7=O90*{@V*c11@I{-p^pLZ^LaSpP`gV9Ti1LJYC@vC z3&a%eN42iO$38V5QOcH7jXq3Nn$v3rfV&fD@Ca+dbqwb$Le~I$YBhEoFz*l)9{@{5 zq$`tl0K$Ci@-$AC@^Q-368Hkk$2u{M+pPGw*QcF_g!Azlrg7Gkk8@1gccAdGk4f{3 zOt4hVhCdzkU2Wb^LwAUIzs}_Sv{$gK_M(3=U->VORylejz!gZ(pU#laA>%*zRQZRg zOKLPpCzb2V7>-WPhHALK6re0I6s)N6Im4O z@;U~G!NND;{a_ToPO#<;I662NuoujD3m@HsHhx1m55?+s6#|A|W~Z_{tA+}nY5_in zCEW>MN_vkzfI}*cpR;ko?sbifp)EQljUib)zw}uXWvqC4U)WJ6(^tO6t;G;oAAylSA!&ApG z?%@Hp4wDh2N@6$zGch`b;Q_|b8X9Bbr4eFfKjQLExLiV35noS>MoOygCLSX`DnJ)M zMf?lKG+OWvfX8PdI#%@fJOG_wYSt|P&H)fX^sqY+`d-Cv1#JLm@udJRC9nYiy_2;C zz<2=djre4K=i*y1zSqdA)W6e+uPi2FKZqS9d25r&)126?NUr4ZSK`3#z_SAVRcHi) zVQN*3foIaQKw}QlIQ^9qv8mMWnX(4ri6)SkB9Y*`yzyZJ@!Bmx;X9KX>SOY}sxo((Ay@<>q=Uk7nrCfM<0#=Jf!9CQcNG zOa|v7aG0qh{+SaW3l6=RKGTRK1KN{kKsr;tEu}7J5?4qPAAsO+N#fa@W4l&!`hen~ zwx^Aw$t$Foj0GMWNc?`mYkw|4@N+C&ZCY=-qZgVHS)>d%0uNo$a9F$*$oM{1=MWr}<|;90Gp zj)nX~Z8hIjSse`RM@sR^p2d7;X>5Db>hV#JIjVlfiBr!3PfYrP~prI(jJ(g=!B zRnDIZseFDYvzkleF%torN~%6crmDGEISp3oR5h1W)d0_tJZ-7Zi0T`Y9Bn1oW~OPr zvHuZmChSsKOAPHLO+%U{N}AFi0HfOEFe^(wzs_0R#HtP8^$@E{F=>$#VJq8RtO!(B zrGE|X80{iAI{O*d|yv@=!uD**`ntY*sJpS6%Z$8v5o5k z(GC*jLWpJ!1IkLyLz9voSLss>Iq6@FwfKS2+Acm@1U5<{N>0iX2*{`2O5h_AZpr!UqAS?86Tq5zSSF!w{nW&8$6Zei2a?=E7Y7>*rI!`)r?Go7r1` zBgMYZ1Qv=Sb5vUtBFV4pZfWwh5vkl z=UWLIZ%;nK3$4WakmVD^m-kX+fuo*nk6+ynJ>AuPkqY$P`RDDzC5c1+MPKCq>2IfQ z+-mdz4e4`6FJ&D|Pz5K#N~;NBC-@r+)^UVG!5ySGB^=dnyTB51o81cv6t%!$OL@Jh zsFhaqn*;XoT2U9-&7&1nkba_8gzhtt)?ZRb$ZE@tvtAc0a>LB{wksj36o1ADM!nIshA9CV>$mLYsmz=CXgloC1 ze&pl$1`pJ;u9SCKsL3`C}a*ATvmFbeo_ zv~K>V&=9+U^rzXP)SxlwPkv1m0>`&e=IH?-w7dgv)sZ4cj@2_=6mOeCq*uCV)=2LR zIgDjHqZx%zcrVKK&c#?qi(LqGR+HTb}MQfTZdOx95pn77qDBj25f$9m_qS;_o zInqs&wQ09Ob#7z;CbnV04|uTn){Y#+<_*k1OvJik1kS_o8_jEO4#eVYd=Z(f(e1E+ zd&w|k_BCECVAcaOznc$SYXDWilL)N#mKvx+i(rpca|blklhi%DMvD+;Bb)83-R zZv(IYI!nZ<7Bl>#U~T~dGje_=tQ)x<>1?U|Y2Y$;Rm5`>tnt#WF9LC%#P?}3zVQ;@ zPtad{7VFCd(SIfiQ?WQ@qJ$g|Tt$CCC_YkD(osubX?7Rp<((;r%e99i{R!%B;7pGgrM@~&PlS>dKhisf9^Bd3tIn!(YURbiH>Ac zdcbue1DgG&dT4bGnR;kNXSomJ$J>CPVBEbZa2P9_TD=>E{eo{ElwYnxQK$!(<)kL|`-)hkWgbE>?0(Ygh z0GbLwrEnL&)u#{eLSd(IxtKMyH;}O)Vm=E>c)URBM4$|eMVE-wYD^K=o}8x=alRAX zCHN`>(qcT0TGcU`9dAOK*)iE<$9Er~D>>}{Om>(&z;fNoaCFk2E>m_m8DLe+fQ=|A zFxlbQ-fB5BNl>37R~+?Ctzpk$H>6DK7{kWnlh=1N%p74Fb}`P)Y|HeRBOtg1Kaj)W zw4=+CV`gu-AwN*Seubl_b?j6q57ZNie-tWZiaE50y4bl`4`2>QZHIQ)?sT8l%F|h~ zci-;LVl8|3?Q>u<3JUk`+dT;Dz58}g!g}w%-HWg-d-v_$gk|r(-Ddzmy?5X4OIYvS zxBC&+d-v_Z>{9E!`}PpRdhfnHlCa*pZ;v9Z_wL)H3G2Q4_87u?@4h{ju-?0GUqQG+ z_U_x`2_Ki%0-n(zlp+>!T-=0fY@7=fOQGwpOZ{Nh}F7DmuB$>^<`}S?pv8xE!ciqeg zxOd-PD$5IH@4kIERq4I^_A+JFmGyaq^7u>6ktD2I7?0nus0FT3l6<( zoU)%_428jAqm{jxV8Z(eTQ2M^6e$aiB>gFBXT+mGi*`m&%ig{WqHdmLzi>Hta!!N& zBGab4hp_O}eo4D{6ycW%d)~dwmsdIh4tXCCevLuH-W$xi*RKMcgQJYWCYZ|nMR$+* zTp#~k*rMX36Z-d-YIlV{&3aOinC_$%zFqIk6xnCl#mgF#mF?NLOfPYT-;vebWTBlC$l*o&RvMDGgkKiGi){*zzF_ZHD!34=8dfy zM-JgHXvA*MD|WH*fa_DdNSBF zi7n?Y#q|@zN=y#s`|hqU342gxY^Ch6R!KycQ+4i()cJ@Ae-6Dxl7bM1qB;eSh{f|Es#BoxhlxuH9udVmfm88% zvH4eGNP))k??!(Onf|CYsJF_^WX5h4G<2c=ia(W6j%VrE8X(*m!RlW;TyU01t0xM_ z>0lJxN3$QL)1S1Z0fLS^F?$wu@XU)XHe2Z-P*L0wZ$0ZN5v&1@9kV#^#7cM!S&5Kk z67qXLWCM>OH$yUy&6-u>v8cWaJpW=8mxdDVN1%yz2>bg{D@ExbO-h(@45iis^HqT*$KM6Em)%u|RnqT?l^0YDSO5SYcjCxTLORY3zi*;z8t zaD*3QO!9!EA)H8Bvk_M z+?WYtZu79$J~S3ut(rGrVjkZW))J5K9Z;xEz3Vn6K zkG)qXVW}ixC@~~KV>c6H67H5HtOc4VLCkdRYQ#k+P7>Ev;e+OxhVy5Z8~39;zJqWD zbV$8p(f(Rnyeb4+CP`U=8mg<%Q)2q7h)-9ctx}}EBrZvPT9O(?vCbQR$rZ}lCdM}g zUNjokKkHEycH88`_GcxTSAoZz+98q~iH-2FwB;t5f01OiCORGk`8g?2X8|pl2_xCG zF~t+`D_;j1;ADZerdfXs5lXWjRJjkaqWvh*W?kfW(vL{uSU-M}#7vP`m=C{*?<6bba-baq#niV(ClW=v z11T(_j}x0X29?E5q1Nn`_(^dXF2%V5zXg2j=eA{QQyJy^eJ0{<1)5dEg&}!E`SNQX zWfR>IVWnEOh>~?B(0q2rtmC8H)PEcCahD)BP7p<}0WZB5+G;q`N^#g`q&Vy`<-SON z^|u+_rercFV=N@<&y$AfX9O?nOuGh3!_@YEs2`12>;*Oxb{t*;9K9MiDJ@R#8EtnlaG_cr0q!? zrbxgqX+t4Ge+qZ}Rcw&m%Oy=gTMxS!aaAR4Vv(Xv*i_9fL7SA0NK*%hU4;Ku%{8gU z7j0AMwk8iCVxBu?ot(lpxj*nkFG$m8r$Lsbw2JEa4ND~kg2iU$e9f|});c&|2E=Br zQe%ALXDH^=3=s$ygTTiM$`*VnQ7M%Jq*R7hA+LF)mo+e@91&kYyagR| zfu#3-;?L7CjlV(sdJNtSUj7j9{95@-h&QRlw-diPmXvR`8tujq)XWP~n6f~1kFpW; z?u9Ar=!^z8pJ^K;&fi2773w0XP;UcEtcPP*y*FdI5REVYNfT2ldVNFw_5)9@_6svNeDuN@I%BoaJ>5sNbTxbIEir8ch^Ls*K1~|;% zHa@4VN-Qw6b2QDadJcj$M*UEqxC_L$jpUU+@fi@mFvR&j@mUamG{Nuli91157wgC$ z*Tg_oJFNLLv{!t=_aQh8M5gT{pST;u)kcyx#7e2#3*sgaS(bSKWSx{+D)F2VZ>TxC zD8FqKpX7_fk^2m-yDzv1W&S%uyx1o$aa74+LzLKS;KdN@)b$XN_S{yvrEGRyl{#KE z6vsz_ZPnb6jxsOp-D@`6yMrhRy65z|NZzsr<%I9fH>H94jwn|?YP8QylgY&20HuqNj_H0}`O^t^AYOjtwh1@flpu+Gn&dJc`d54ax96bfGQh{>K}rxNUC zM3J(D!}jTK#N_4DKw{~P^d~BYX&0_F#t?Xe$lv?K-s0ps^42tEMG$2VXWz z@jl?2N+n)00b@uBy}<}R290@CYG~|seGM81Ym@=5a$jX}jfu~lOL1>8XgIPsi@C$s zbKGTdeRRF=kaUs!J8{V<09OC0^@jHo&OR}X@d;nZBGe>7;ztRMv zW@aXO8Q{ary7>o76JxDIpO$PMNc^wtLT?lNPT)Ej&x)omiI1L)H`5N`pZJ(b)?cI)S$z@* zjZN#be2>FswD`S@(TO7vV7gy10=l@O?v~^X*U8lx#iTO9JaA6sX7PQqPF&doV&!=C zS~cHgBhOgD9+3)m8;mKg$IM;tvhx<&2;-!}Jtlc(_0`o&WBtLE7G?r8S61a_Bh#tS?t0K2wSkyFp{WFn5zNOs|u% z&dtZwSeDEZO$LjD7mYnZOvZ4waK9ohV>pdpf-eh+J;wI-FoL#DLKe3gfiLzU0G$DF zh*B~dz|pB;@w=5x=;07kGaCXuBsD)nkrcn*(1aB-^@miD`E!!MX4 zc9`v})h|&4MRfA(#-1wJxEeJ2_Y*^#0E=GuQ;UYsqIV>scOg(A{mvHo#-ew_^zT=& zK#zpp3$q)VzZuUEEDj5Igb9;~U5pWeM&}Zpq^v#RQD8nuOoozs!W`IldlEQ$b$<)9 zdJG~qI*HyxbbJzhnds0Y+IkC6RMNd+4x`2ZOC&B=p%+e6>cu=}1sA#}T7kqVqR)hc z)hhGwiHy}_GUR80$%^&6md8ywY!}dpYcf(R%0t3+o=S~VC0)RvIuKoZiBUBPrq}y| zt=q9-H45uJfm=0S`%Y^jPP+*P<_#N-m72$e$$En3BQced@=uB3C84oCPXR+IY#v?$ zRdb2KgDu5_n~8}BTZ#ui(KzNNTM0jRD-u=)E9i(f#eN=XR{9c1@(SApfL)Z*JqE!0 zob%1O0jhT$um_!*Qb|HD|O(--M zr7a8%r^7KPx=5v30OIG8j_vhK5k6fi)d)ncE7h60_5qhlb(Sohv-;Eh-K5fQp(q-N z?t=YFOqv9ZH{S+angoqM3p}w5(XtAji%6NOV&|a{BgRkjDl2guD6*}2s(d<`b60=yc^Z{BY zt^5)ZJVcy5(c2|UuiVbGVD?S6V9f(oHka>ojc+6_Z#IqZ2VOKD;x!>g6R7T!&#Ate z5c{QVFecM^UL$4VY~oTS?+UR|y9juq4eaF1)!_O%9o2o<>P$hJdRZr9ZUF4vVWn;` zY%;LG0YbgdG+|ak`l3T1Md#dUq*xA?gT@}pV@VoAvX$Qi4!!?klA}tugT|7Scm)89 z-e-o1I+NH98mC$nlE{czPIbhegTpeFFB~)X#y9mZM$K`CK{cT(bTisTXu4;@TgOT= zz|gaIwG(#3AS4646qUP~Wpt5D+H`=ZE~D2LBU_noi)BbQp3c?TDuQ>@xm_X6Uecq+ z3Z{O9vEr?yWzuFNUAmVv5qx(3ztMaSVIg3r^j)PA)4*e2K8mV@cSc|teTS&#y5SUtZ`4l7rOrTjk5l#9bk{)1W&(cN1!JH$Ez5= zJWu5N6PJ$B`B8R^E+;O&9Uu|i4ZLU^-l+pc9oE<+_9AZ1vD8P*^bD5Wl*GrW5U0k7 zUrnn~ky)&1HjjR@t`%)%5SuJD^|uBz8+xyc@=M-&M5E1~spI(zaGAEcUd%ojxHfCH zC>jgAXei!}H%2+|w5vBvmu6>^{PXA7c{nMBXwY1#H1Ip51jA8nfp&1?b5{8M%hxWjFm7n9=GtJc5c-n=n&=t z_WMaLB(lI~U4K&tkr@n>0*QbBKgFU-#)4xeAOe$-yz<}KE;dW$tD^?Ikrs>I+ESB; zm8s0bLQHEF-%w$rlak6=={CUghVYwMi&S>yrV9?VWhz5H3RGw538ME6;F533wZv;cw=jHIoazduJPxH zUqQTWD%=&Q4NbPyNVbJv@U!i%RDRz*1~{?}wP&e#a-`ru@0M(v z15{_*y`uL4;F4|k9i45eZA@W04n zh>VwD-&W{hzP~L-_}$M_RLO2IHuxngT3cGt4?`i^%M&fC2d?MK(-Ds|9gSd#wmVY7~k~cHM`3pt12%bJ2c2wCQ~yaZhD$995=ZKU?fiSfE# zVdPz)IGH*b+c8N@^PsvMHqm5oZgKLTF*w_FOI-&0FOo!mlw0e+hxxT~wiueY(x0^) zaIBy4H^!Oq!PosH&XFW`0InT+lY|@zT>6*u-YiM9t2a$gw19Hvd?i#P zjed`-x-*I;6VwHKW_@SPhYD44A!tmCloSZ+k0<3DYvSa+O#Bnh&8>~|OYc9DNq9swHQi~-#CnrU1Iy}j%=~Da)qXO#x*5>;TH?~b z()h2$<-@PW%ie&4@J`tfuSDpM#89gqi?jWn0<1_T0XD|DiXc$kEde2^7iYuL+SIak zh;zth^}p0-ccU}dts;kw`c=ZwlX9eSe(Gi;4LQktzl#bSFDoXi{A#{81L=P4*#MeV zGx|8@Hte<2*iC(DcUw&5n0v9nMin z?3`ri#8J3^Elm=_#!gCu>4T{9pEzi0CFYGpq1htoc(|!wB#uvKDLxja>4dZv$)Uid z)Si@{EVUdYY1FP>GQDgrC(GMN!d|B|ligO5ZC5Xvt_yklbUiGZJv}ki6!ILzEQNfg z9u_U)un5~06StaD-dGE;lqYt8#s;pPp>aZBH)yQ){e4ACsEGCCb8uMk$Nhn$reH5+ zF4~_uy5peHgDa9W@f(bz(@P7$*6->&IOVr6SrCR=EC|On_Z_%M92kGgci?Rzc?NKC z;1Y3Qa#(r0@eqfVTsL$_I?MewhUWZHI_tz9VnfHHPgW!D{Z?=eJ2l>L7x-gq@lM3q zDbnnIK(+htGVaHy6l$dwEpBGqUw2eW_fvq4%qm}igHjUN4c<{p)V`Ki4<|`Pgs!|tJX+MzcPWU>UIYG z=z=IPEMWRe=wuTqOPJJKmPDx*dbBO00u)14-jpr+x5?5MwOINJTcY&MV7dHGO5bQ? zdGYle-Fz?j29^kEmwuFxAF)Ub9f9uyKPBt)&=Dwb8fAT!`g^Fh{>oD4pVJwWU43|( zF0DUHX+8I^ET0%7{36A322fqzel_Loz%=nPr*?jpWaFLo`84e)zUT^Cn8fa%2A8n8 zFl_*x#X{Ir3rHJ48uPQOALuXw^|DUW>d3jw=SWL{K;Q>(U08xascu@WfF?2v7ydJm zsvgzEz=TvW?1)6wNe5y>^s3?O0X;_tjDzL>qa;Vu_~!YcmL?U31y%yEEy{prSuN1c z2~!#yneuG{j?7}_s&d|IU=7dS4N?VKq&|qvsv)N5M=lf2gUEqA*-$n@25Qd}=UeJ> zS<(I}P_{0kg~?r5`~WdV#(O2iAUQ@9bpTd`c6@C1$A}_OJ+z4X>#s0F!HY_|zy!{> z(QaL@s)sT&nsfAeHEc`a26(+D{yRSvIPpetoz_nhJ=m%%9&8qD1uLQckQg!tQH#w# zi_H(w5)M)iXLIOiSFfI4G#W+jH?hU8en2;A9ElEu9;rI3r|Y_8MxpFm`F54>;%=&p z9CUuwR3xH@Sa66I$Xe^n9KHoV^=-(?;oIGQ$JmgYbCeBvIjER02{tT(F50lSt_`a| z{4*P>Sz()s4G6C;M8j{@e13vScQc8z3~}bks=7)s=``TS6bl|j~gT%1TSYt8o9i|;1v9OlN zrqOSm$!cE9(p84UZneaJmLFWps+FJkXT<7SB9(AX2I*APzu_oWq`C_8(V?M*i>z9a z*N+lmXlcHa*}W4jMsH5`q88A9hafL?xmd3U2%Ttfocpzco#<~Yin#U+e4K2!o%2}- ztN{p!GowHWjRJVGALe(}Fv{_BB+vvTNMO%jh#N&N^d+XNhKD#VYZDkN63Z5#lhYlb zh+XfCMODMI*}Xm8yG_KnAiZD$z=|@QG0VqkP%-OkSMhO5E5>}{Dn3q0MXB=8USVra zqR|ADXFfKr&G-^_@v$qdirima#m6aA4_nwnql!+$uiiRelB4(f4bMWt@YmxjT)%Yr zzu4<{^aj79clEIrJ9<~&(OdZti2L`HB6v2wmlG?!_^{Mopz~(muc@tn-jc945xeZ5&Pp z=Y}&$>1JIcluA&r(%%}6(;KkJ5FtWbMR=JgXuKQf=p;Ib=*6Q@1vPs$a22@};rOIX z19nJ7nY1WP5e{P!&IKxZ1>jVNvcjtIS<_WopCRZ{7Oerrwf8Q>BD;A2RT^Ggj{2xJ z%TCYNSvJFD+32s8dY@S~=xJ=f>WG$4;U!q>$8oka9cy3~D$JQp4R@ z&evc^6!u@cvmJ`6NMDAZ<1nlfsZY*aLA*$NxX_(1K|+ynFvndWNHm3SZTA)ti>Hhl zKOTpP3z88jq1a+UvQowm#;M^B-(EQ><0s;zaDj7E#^H1>_fA3bA{!ZhjUf4v6B++q zf)qp=kh4^fdXXJO?se#dLM3aMj`%6HRd^c;Y7_S=mzSiZOdLK^xi`qdG?h{{2G-3M z#L{-(C{lsQ0fceq2;xM3ATn1FFQuvq3g+pRZIP0fv9bClLBf$wbLkS7&Wc1XCvvk( z*GD7Q764fwNIX)5t6behB9;{?C$d|xH` zE=YrvNu!4<_YSeGB+`pv?i6ihk%dHRgi{{Lp@O?aTZQ&e0^TrXA$$`eE_Cm44&%nU{nJZI8J~_(y`0( zsH>Z!PU`!}H|s?1mr*rn5p~153<1())r#i4gcd6%#~++%kyrX5_|jX|{La9!4#zr4 z^jrcgeGPKMY9rD(G~!Xl(pF==uz_oRsSvJh0l*CD>x62_M)@h=CCIH*4NLx#oOE)9by>NY9tF096?xS|4Lt zod@Szgo9`qbslKRmcR=sek^?pf%>>MwX(jfg6$z^L@AmD$Iyg&A2E)>I*zMxDgJE>3xVrM#|plZk+w6D@}wuE0b3FoS!-3;)i8eW86{wFvM{f^Z$`cD zEDN`YvVkCKS2x1Qt#~Lq|AS;2AF!*3ICD5iB*=(8IDU(T}peejD}fbU|MRBzWcfIlG|_jVIr&#<$C zyBYR*!asX2%mn-Z;S=2M(*Yk~+3pnDL!Fzcv&4&E3;4Es5Z7g{GXwAu(pR`^3FlD0 zAT*!y`w9OPJVYDrq|CR$j|mT?4L<}A0B&#?%2-f&HhdhDP8WH@fIWevsk}Sjir+Ah z%Bz@WfT=!kiw_(GPzo>R^@6p`-opM!S_GwQCN$Ew%~f72L_$S{TL7?n-J^Mds;J7F zbDfsYTLeI)dcXyuB!Vg)E|fk&q`5e~Owk{s!>G zF|^?YSOa_~_qf3S|YH88?hX}hL`K?L+P&Kri47@l2ah`o* z8WB{qAU3Y?oH}}71cH^6rqG;gt^&*!RAsV*RmR?gwS!4;?O7ZZU50Lv^%`IFu00!s zvQ%3AmX?@D3G|lVZzKWc11*~EjklCd8K`W2#;9_c}(?HeD?%WcgG$k z!!AR+RMCvdUD^m1x%To#AY6lfuC+?*SVRK5fYt_Phig9oLXi2{3B^_3lNd$4N`c2) zf`VJF8R9XT@t#gX4GHg~TVg$|ZC*yg00ya|zg;^)Gv28~C?w(DI)pqDF62w$R(3;h z(LK^uaVle3rY&DWrA2gP2twKLA^v*(h|jO1|F4V)%sH&KIlkrJclf1~ZnwJ)u}Wv=egNL} z_%WSyyVE?f=-BPGtf2I9>;jK(NV#~-E)>{^Vi2me;LziVaC4f|z6d!H;kmiasZ^v= zcyNK!QItm5=W;r+L`474DGEQ0E9VCapJ)&q`9ciuXeaEA2et^S0wq%&`w_kWQ0Hc(Ps z*O}<;qG=UP3pGs(L92yY&>)O4ZXt{@#<)WW#)K&MR#!JIOfV)`!I&XP#uzIY5t%52 z5CvnRVHBbw3ZutUh@xm14U0uI45KJSVf0v9M2k@vLRbvL(!)GPCK{|^@cX{K?>^_; z>Mpv`&m?)T*4q8;v;WTDKIi^b*QNb%Ia94#Nd68vm9P2=8#+SH=gtU;j26G>^Uc6)K|IrA*@G>_K(yDjqt&!JxI#tqG0xOvEn2%Yv$1FT-<) zc zi)y0AF$}-9Y7GQSqz_+(@d#<>x++%Cd=>#m{w15Wa<#1EGdpl6<_M$%e*Vpgn!ZgZ_U%v2@)q3crP1mVRReZnUl=K)_AtkL;DBooG~>45BOK;| z1D;|L+h?m$-#&cgw`MbHLRZnOC@oS{`shy!!4OIj3cjm|D zhhZb)FO-fQGHmdGA$Jbxyklrp{T=^m)*ZNTZ;b{Io(U;#84QmG44FRo^Mk)U3`3O4 zaZ)hwGZ7_t7s{81Re`zX9+W@Ljc}YO231!Lh7UXkQe8V9VVa>HJPdM^*4?VX2Yz|j zH0W+cF;ATqhon`bhy~^14Q9OhG>jC6reRPY!!!)58Zcz=KsK7D!_ug!wlOfq2;45l zY>^n_od#?+7UAtx_m0%AjTk6B8c7va;qB4ABat}NGkkLzkBvsWyIkec?@?*xsrK$k zRbL#b<9Y8$Ohrxmh<`at!nELGFdgR{X5gMFzKGL@(Z7YatPQQYPlkHT7W~h7Zp05} zLD6ao-2Dt9Kr=3gfPnX=MKt7#BWIuk<0nOARt=GAKayO?4&^PuKx5o+XydpIvH`BO z0mN_Nalq@?o*qMkq^LM#L$V#d4MP!ejF26ERE7qF)lfbL!~7V1abymI)|eR-w7MS) z#IK3!aIM$^ad|8GaEq;je7Ft<1v!LDYlEagaj>niI$LA6hAU%;t3fjOws2+CXXjw( zB&-akdRg+>z##KE3d8(JHrhxs)o%~Ra=0n5RKgkh&8lo(k0>AWk>x|&lo8w@5yR0P z;CHBSQ$pX}y06Lho$1KUCEW({HmHMSP*H7dIBV{mj2`C?Po7H;V6^FVh zkx6fWI?v5-SUBmn5pipP?)L1Y4-cpKjewIfClkc~&f!riBE-ik-nM?Or1SZgE*MzJeZei(Bqt&$q2AQy9gRt1n z#vyFF*zZldiSgjhIo=!UM)!&G{KEHPZ0E!68DC&>^lQb3i5O;I#Y3VyCddl4)t+Xe zt@gAyI7nM$WnFetz18w^h(A8vrWhqhiNw4wNn!9 zj%-FU@9gLd&yg<2rBh9|1nx}tnCuM8kup0`cLhZx$|yghNH5!_%9ATdX&xm}Ocy7o zel(^&D2_=pfx8;C?oi3Lj197xxg-Zy93?9zsHGKyp|zhV&$0==GCh=h42flPe@iwe z@wVL{P<6e5sDJkiXwYo3|=^j&SZ5?cFt#f{o_qW=1ojm~!_ii3nZ7pEP z?F2MkV>khob6jbKt-o}6bN!_`D!aa4Et&0ZxF2Dr+Z{F!%-s>eOpNrarpau$)$0Q4 zWexhcJRsra(6%{niHu6uQ@WMjohCzCY_a^~$!XeqHg2>_ukuZMOsFYKyw)HHsvcW$ z<&6t9Zji862V>DT)Nn*>aiXF9+4K8xQg_o^JRH&?!3xaglfU+{#NtUsx+mkLB0RVc zN!N0kNw)<>?78)lv0#RV8P<>*r5QHN4SM-$-p!rNl}{!vgRIezQtM z20obN=4`s%RbggF1!kzppKbKqzHV^Yg!;k*0s@5x1Qg3N&@ZJ-$_07b+htVRRt&!G z`#nxKK76)?JC9ver3u2lKiG&WZ*gTA7hWJ+&ZYUooC|iS@Df5Z55Detxxw}X+vd=& z!GmudTsN4zTjL$rhy3M!aEgmtJig`L;f`u?koyL*dycTvijn@PhVxqH-JQDWH|zP% zU3R|1D{edAL3{7cp3}7WT6wj8PsNRLbT)G>bwS%p{KjbDvCitT{84g!SuxI+js?TO357=(IZV1)YM_E?pRI zIodOOebSE9q;1lqy;GC6*^>6IpaKm0C^trOLUOlnEmu{A94_DOErXdPWt$)J0#{m+ z3_X)8ypIIK;64)180$s1(yL}YTh*)Ur_rP-<}9mqfJ zLi9Ywv}IRnkmYQz<#@Vq@Aqo}U&K!A1y?9C^D; z8>E+UwJu3ya~y0*QU^xvvQ<2xM)Pm8GwAwo)3?)U3CPpwAT5Tu>SVKT49~oILL>K6 zwaIo$gFgEs5AUL+HeNWw9gZaENN*!KET=bj1Q(IbcA8~5E5nM6)r#+)l@X_}CqN(C5zG($rH_up)WV$R#jQ}#YD_$YQ+mmo4={;orKQevk$X>!{=zqI&~ z-r?`%DGCR*Azk-BmIx{sx!+*%`b&9P7*+;rJSk^c~reF24uh+L1j# zVG|4H7BeK*YTQBm!-lK}Gc>#zg?!)*VV9wI2N~L83SPh2K!lr(Uihb*Zg}pCe9;8? z@TXRl7QIdTpz!!&kCya+m7VtTXW%Zd92thVi7Ma2ao69DD_jG3x0x$q@P<|xmdUOP^*R)dcDC?By)N z4Y15cKW@%t7Hql;afRBA5_G5U1sjZ6X1(=m9}cDl!&ts1ZI_lOtG!NHW=k$R*oK=j zrmTEZE}xiDOstRgYXd#E*6b7wvNJr+m7L)X4i^`mkoj9o{0d1hMde$pOWpF-iIb4+$~;De%Ib4ag(*|+j*V0XUi?u+8qj7z5SEonkf4YH26W~{9! z^43gHvU3RFko1^KW=DxIww8)#ItO7;eE47=WsdkF*wk|-s zK?=PtK;9xv_hPm9Z076$A*W>oAIYJt=jnn=A;}86)J7|LT4tBpX|cawulWcUN;YZ# zv2SWx!j~nAJxEPA-(DV!J*8h%P492wHc_zsWxreFx5#l7pEB6Dw$fa)=VL4)yUTB9 zYDBKMPx_SQF(dPoOxJMQ&hQx-*Na<)Jr{{zK*0u*hG}ztfiC^dZt$o<)88I8pclG8 zvJcddjsIMZ6Ml35C-?0#f9Intg`3muG)*afzbxI{m?`{HL9o}|?US@6NaXC-70Wlk zF`3;A)7hF4++ceP5B?SJhWp3rb}t-V;U0G5@2+x_^9QoBLBY4H!(*3y-77tc+?ozb zy6T76N%n2M-cYW1R_KpK4SraM1;d*C=1Dp+X{Gr6GC!s6FwCzN2lAj-IHPcy@Q8|2 zi*T*FNZd7=^lreN>4c`cOmk-TZm>H0HGARLBFg8_j#>U$h8(lpodq7G(lZRsHxE+j zp`S(GB1%)2MSLS(kGjFVKX!6R&^si2Z{LTfS?222jaZKpcpt3irEYd*@e(XpXzsge zm?+C9KQlddU%T{5C*@9iLK9qP>F#=$o?m9KuP`2X`ay%f|C6B~)0jP0*VqZ>EfvQp z?{j~UA2J@pYHcZS&<6*Lq0SVTN=u9(_K>TW+j_y+4z&TzTzLhHgLMU8z2wH%=-S@% z2-ffKVD@9^Ts7sXRO5%}mh2GaGc$*&?8o7;!WCU+xexR;)_lk+f<4GpQMsM{7$%%p zeysnZkj-F!X`k*eJfh$@rQamPh}*w}^vn%=V3cmtmQ`u7Fn5C1nf z+WW`d75{xMY;F17h??}6o%Wfx9MU1p+xj0=-nL3Brym)a{@a2}oU+H5c28(fcu8be zRhWJ|UWFk)Ft z@3cL<+4k_hZwY1(SvT1D`Z0ZB(=we6_ubH_to`|HwhhXTi93pw9m6aUZ~f>K(ZYT{C{e_zw#NXx3%Oet~jddZLLP?nM(HEk8}m_yC1d!uru6|P8_t@ z`&|2FY4HwNaCXPQ zLA!cOwL?DorI=kL{;^S*qviM8!V{dbFSa1P?c$~9f!O|?7Zb(Fzs=7Zi+n(r9t7Rv zB0PWyvUZpa+6^x@gQys9K4S>hk-xAEv$pKQvTRAnzpvUN4Rlz^c06d-t4}*Dq^l+P zF9*%T9tVY%%!JR0IFELHN)xohu20iqo$c8E z|6|?dt?sTBH$ z5-xvIo-W2TUu||##Eq!nbhKxtmw$ap(DSTWW4wFG<8ogI{$P;o4p^Fb+#LdK`8N>kpg&B< z#^3BJ-$+3Ay37NW%QTE6D%gw1CIF z@Hs_o8ht2!2UgD;cEXy3!~ot zl@W88`Q?NUk#2UrP-ACE{y*A#JxFEu!|-GTjS5djP^`a@U$ExJIXswoo}Hv8A$BJsT?5>Vty#z`+l;-GQ*PhfOM7;g zO>2-)>3OF2QvuS4KUIn!y}}1SIE7DlF3Q&<&FsV9r_4n=@K^QA2SoW7Q5sPl@x44z zGmu-*6kt8jKJ&rkqOaX%ezQ~ixhc+1F$Q9fjk;4@0z{vpl_^@hR*6>{YVp=Ve;l&8 z1GDum6Fa`SKJ5?mZ0DjBm!!B1$i8m`wgV3X*^Z;Y@xaT#*}y3^+Q0cg_Q^g)FuCY= z@B@=t&ray;Aj8j)=J`Xh2Y~FytEv1ra0$w7w?ug~E}9E$1Fi$IUi;{{z4(OpCxG=>)*aq&UVDGWBwFV>;`h)HUnFM`+!}*>XG{L-$lS> zDBEWuemgD~{lnL6{0~FV_MQYjlN#+$(dvy+#v9h_J0)t?&$*0X?5i~Pk{mSJ;RWbq{jz@l!jyqkfUp7wV<#4=O;Adry+Z2>p z@1hhp0b5Y!hxx#d*;{<;mz8f8t$i&L-N(0bIoi0CqqQqeS&QuoZ6sSp(b&q<(*j$5Xrr z91VGWi|%tLf%{P2bPrzW4qP%i%0CIb4BQFaJSNJ|0Uifl2HIx|+L(*Z{-L$!aI4mL z3fK*!DP!?M5a1FZ>)Ql80qh>9@hdsj3~Yyd8<75ofVIG*KpQvW$y5#& zuL?o`QRaAF2F?IZ9FP47ZCvyTrZ`^71DpuNkLl)@0_!GdeMR65*lqhH@)vkuVw9f- z{bk@(;P_8z+$lh=o4IMZ2%Lp7KXVoIJuTpiX?anKyHX^q2j!xlKWgK)6LF}YGAYUz zfSZAgdkjeb@t@Z5Uk#*ue~Mt`b(>dFwsB#8ViR`jvW-Ug7?S5+m5crkZyTv*F=~cA z`&$GukJbTS#W>8Etm}6Xkp0^Ow0@x|uPn_)O_wYVW&9P@tFYU|0zMosei(QC{W{+) zbH4bo{maVQA|H|S&X39S;I|^qB$4VLJ&s@h2XBS8r}}VQC}Mu_GhV@!Tgu8DM}ExS z(B$P`{EmLgr7LHpA3(G<^>>CMjJXEcs0gJB$g`obw{ z78#cJ&)Q8h?p3+yFLPCHmi)N2q;bm8;t`wCPU>d>O>Xv99sxZ+R<`;L%k|bj&cl4f zrCm3$9k>(N20RK}4djP;#ZNnE+Em>?Sf0T@_;7vjLqC2DtzOF~E7OK+Ar}oBY~!*9 zajD-549E2_%52}U6i)){QO3Kkq@7@8os9Ub+O+~12XAb0diIka##x!#txM759|Xg= zp+CoW6XH|9GpMKBo<6fdx#$;$**H*U<7D$U1+qOs9Fv9LipV-*Fn? zW9K=ewJ77UahhKTwjZ(m{1A6R&-vezBIOpx?5u2Pa%-oRdyC&&JnPqVDEFaW+XpQV zAD|OH%me#O1e1&Q-edhg1ix1y+xR(s)g0~i@K5?_1?HmPyVvZG!!DQVtR5COiQQrx z`l>~H0(P9o3&8cjWz+R~XD^V~1+M~Yk=w^VuP=LVo)P7@LB0<-gML8HXY&^{Kj#DI zqPzn*6?hET3jfP9qdfa>pB-Rw(W)<4J0^Zn*TD=R$Gr&TIIm9S8-X0RZ7J>s((VAT z1$Yw3;{+Ccp5xgKdqTd_OEoxnwqUjfp;`R6p=6d>cY16ltfAoFl#itB-A zP`1wuFuCadhpaz~=ID7|+n1vJO6Yb0X>$_DxaWY&fcBXWCWm)mnE%|lnm6l!v^xc4 zzMccpw(ZN>uK6i02U2gJRxr6}>}rd*8v5Cg?FY7)5m4>c;@xG{B0Z7nuK;a*QlWN( zzGZfG^K>26rzp<&!@lOCXPsRm^zD!pffIlmfi1w@z;57G;6z~S&+B|o1Gb^O9LRY) z0Ob0(0-TTa(*6sW2W={(x_9NG&p%=9ij(X(=)4U7P1AQn&-j~x?9)CVZ7u*=-?T!M z-wm{n&P!>l`JaT|#+i5~Eni6Sa*9{Yu0#7}@z#UMMK!-`@fx9Ldu9W<4%Y!WhUZeh ztH9+bFDYuAHNf#G?*c9ZMx82e1hW0hfSZ9Afz-!cI?iC_!?Dgq2mjFO-wQqa@gi^< z@B**}XrE1Ba?#6wWcK+5>GcV49CYh|Yk(Jl?ZAoMQT|cjULf0D|Dew!=F@nT7Xdc` zxi9Spvfb4S6^p=jly?BxF8gq7a?z}P){b4!Gk>lC>yQ`GB7IHZ4&YXE0;=CP{>=PZ zeo6P2iNNvD7lF;d)xi3|kGYYHuD)b`8=0PYOFKFX@6MD$AFx_)<^XI z-L7vT@1f6sJIe0??pv$J)vLf=u-ow+9ghRR<-?B!(k?lH>ceCm6;F716?_QEWCB^K?NPyAd4*(-!ZfIl-pSU*3pc1_%@ zZ~LHrBFNs%Vej6sH)&AM5AW;ay&3tcC?@dses%QYs<@Oa5`~6u1N?XOC zV;o?jvgS^$iVB2DqKyfRR23D>kj0s*CCmn8>#40lMuMu`{Rg>8KTAY0f%odOK9qb_ z4G2#P%jF~=-n)NBwFKV6&$?6cXKFyet2xUIc(?!0)qrs11_nuJkhtOHbAqE9fxyQF z**4JNuf-rCn1PQ#$$(pAf(SJrjX(~ssO8KN>YakzU8*4P(L}aRhJ0V^BwPd0ASsc% zt_p(Hm6XWg&AX^8Ay{2W$=8*Hb@}GMi8xn5x#&wJBC9}J5F$jPn5aE0u%1ySPN$I( zE`#t6lmr_^i9Fp=UdXbAGQAI%SU9IjLvlzy2g1-1<_PQZhT5qjN(j{I@TA`Ikf&1& zV!|WB772XplO1b55Gsg4LNEhAKpP=_LJVR89|&b5P_kVO2z*kMHK62KH6ZX2Qcr{3 zVvrF2wXg+(+4|;C@`@P5gyW!WFd7^ZgM{!Oge?%v)(4~HZ83-me3+FDMuU@LkP!Zh zumytI`e2m2Ck8PAhv%|jG`J!L3E?Ng76@kRgHh4|LIx1{*eu&J8q|wHLbzSn0>Ny3 zuuG6$0aZusGg?dJ_}DGj$cCdh4U~)8O2k>h-(Mo~$sFaDTumsqg0M)&0luItEq_7T z0)S4z=F0djAZ-9Sb?fkM9dzpgRYe_E)nXLafLJ|ogYfs4h%DVmxu>aIq`___mzkzQn|%=3gxFksB9PDPn2bZKUbDcf2FMX zFvevO#e}OMol$Z)#v)iu!0B76Acyw?QbFLO&}fpXD( zB_gAQ<8})AGaz*3Ea1n=GQt2@Lh4)L=4BK#Pl-0hYcuoDD7zc*tg?Fm&ndeX@Vv77 z052%}BH$%u_XA#5_5k3ZvWEb#D0>)iMA=sXuPJ*J@Vc_c0B9>|SW1!00yko%M>2z+RrojHcQ zT?`Te164(xgt00mFbbqTSi)dyR7$Y8ex<*Uxb@I*hKY>Gj3Bn8aw20gBZ!O=X2f;G z$U%m=uLfu{JAB(Ze1lv@w8L<&_{0wYmMXg(utM3DfK|$_20Wsyjj$n?K>7)Gr|i&~ zLD*z=v3L1>y~^3;C$tF!ewiR!2@U>03=+a=7*s`F1he&Hz5xc!(6B+oH^q1X;bbZ% zzO78zw0PbYy$D9ieCr3p{$LWVbCqiib=B#GbzppJ=Qz|Ar1G*)8Bx;}6+T`-NJh+A_mWO#(!ng@7_j*^F z6tp8Q53pSV#B7Jga!Zu4o&vGO#9bg9;perM$Q@2K#Jv*3&D0?jFN0)Ufd50(@!Wb` zn}aYej{+W3b~j)Th{nXdDz-V}7kN&rh`{gjVB<3FQHaif=uEr>LPij-fCgev=Z-Cr zy{;NU%}^Q@2z8)=(Ze(%+a#Kp&;l9+&7g~|gRYG&u{cMx1;RXG6T(6ezNWcyVAMi( zrD_PPMI$XByFoRC&8en+Y>DhK(G&=82%EeOI4f*SxC~-nU{AKOK{_xBFhN;D8wd?q zjfRk&r5eI~(MT`JKBOALs#Jr(WOs_DNZ6HXrj0F;-7T7g@SflMoAA zATU|c&CQ5r2}{vtv4{!#K)SDydqEY1H=Kgpaa9oBbP94OR6%&lDaf5v1%Y3E%kG_Q z#8wbj4RJdNi;_)vDHRhBsF)3SI~5ZdFW5<0%r)|IIR0UE^22KK{FYr!^y2~l5Pib! zXYatS4supXq)iZpU&wnyMT8Z%Q`lD)Vlf!%Ls37n=d)baL$T3h&RQO?a#}BK? zzawR|CFk2hyHgd z(a&;>v4Wwz;fU2DR6R(q+{n!brGXo@4vT9TG`lK#(4^U!FsaRiFK1`M|L^vUAC*i3(Ea}KS141?u_)XC47%<2j zkc|QPWm3+L0qwd#9D~Kc>PV zYg{V4?QTS^zsH`7%~sa7^k;@BQ?6 z;>+0;Y%9Ey-sIW-2Km>2wnB*BYjEeul}0j*#y#K-5R!%PzObEyYbqsN2k9{ke^dgoDSmdMVzhbIe@v!&I8O>)_QFi#0io& zyDOV**!7HGZ*#%cc*n^BKQ-V#8}K)=_}q0Ob+bmOV338nS>Iq2;{Q;5RTG1-8I&D{C6KIAgSCJS%395||2-8E z_#YTqcS;Vb0pVR|K<$3aZ3nv3#Q%IR`5QZ-lyPw+&1lfI_Psj zf)@$z2-`tm2yB}^QU@cwuTsJ_Vcp*GGK!4G>^KH|L)5YPQ_KKYRcxcle_Uyh9>s+D zARSF|52%8`e{9JPv-eEQvnpCZw^-Z~0^K0(SzE#Tba|hG_i?Mu*V93tSrV~Gm@RAv zfgvzhK2irG6;(>;64njY5EL1WqtXVPD(cw$DQ19zimmzV%^DRE)`E0Q$Zb>wVTV(Y z+ocM^GfqKnuPO-7I|aFYsvx}J6y#o11>q&9Ah%x?1pbRrcBa_u?~6e~u+}9daxbfb zV4nVtocAo4Cq~)373g+QA`}T0q2P8{MtB;;yWB+fo1;slHRU$EhQU^u?MOw1_jH$o z^ztCGtwuu!Fh4ImEWx1-TyH-c)SZ*SbVWuv>yZ%0E5pc;G|YRJ8}l*q4>#uo^iK)9Y- zVu@k+!56kg2uCl77A%+ErG5CDI&jYBK}CgmR%n>1EBaSdnCHh9I9L%i(dxtD^ad(x zMj#4UudT2ldjt2?FAl6<&}NYBraOR}I=FuDA{VkMT5M9oppmSw!|nQJkgd?2vza5?VgC6~Vs?C75>ycT zF>;n1g4pG8#f?M$2YQqqR7L>#zs-U12M=tXA9;)W8TezXx8hl2MTNCE7}tKzj(PUc znXKBa0`vaZ>J0)1>|kcz(4XS7!9Nkd3H?ouO%S=Cp8q>MZ0xgB<5s7sgQnm-a3enJ z-I^7_dq4d(C&+fMmk?QlAa+(^o_)5h&kfi0=Yu!i)lr3i=P3K!S(mg1^Az_OI{z^tRM_x{)K^tlGHy{ib;k2+t0)Jd1 z>rP4i-C|1^C2WzvAK=K^j!30JRafAi6Calc^!uWSV!}3II|=+Lk8H$w&cH4A*$}M+ zVU)H2=o1VWi+?1dnDBzIMZ$hiHu&CD8Z?(i{~m;qAsiF7NH`A4y1$l619uwzEeP&} z3&Iu&{56uS``J_)xYH=tBJPACpsWuKu7j|831;ALIn=-f|J^4yWmZQ`CGxZi7RI}9 zye2V<1l799xdPF9qIIHr_>a^Wgg1p8_xmE zSLt@Z(<)Ga@ji~! zw_^#|rQ+LR%lAy`TZemI`SGK$TaVe5D=`c!s>6*DFSV*u>37sNjPx%2*ahM>5E7Yy zAIAgR(Ew;wmQWDZ4M8=E<3-dx5um-`Kgh|4#orNaOjtCU5f>2nyEoZM+W~{UV%tgB zFKm1fa7Ec`fEtO=IRr35*%rVAWhVn>D?1;cwYd@926xthBqXTTCB>Fh%W?2(*UkM< zpHOE4TZKDL(b}lh9g^6i|3hkz39ks-N%%{Z5-zFK#%B$R+eK8Q;W1@T1JuwpZyiL8 zT8Aprsl8HDvU*Y}ZikJ5)T+$dTJ2d|YY2aS=+-eZID}2Y776@8qU=Ong{>O6v7HB_ z2gIj42B_9$K@p;E(Jol%v~C?NgXo*0b?Lnl#nmF}dIX^1+*}NSr~$;OB2EDX+ZP-B zyCRASZwTuK@~9KJ+W1pT|5>bJ0)JU4xS8`7MAty9jd&e|6e8fC)kREvQM`)#0S8kt z@sNsbn43`iq=-5u0luJYJK*P)eE{&FvWoz}qU;L5x0PK7_`b4F0DhqC4nRrSX90hr zEE}M`aeX}j5q~l&7%vvjizp_vjg{^b_?uGMsXv?AaxiEdiE)uI1Vl0M6C!q%GR|xf z#e~J6Y*-pRAO;Dc0K$3E`Vtvh2d9ZNZ5L62V6pt|IvUIngARfj_}gpKA)N_gV~Jg$ zU^u9rm#T@Xc4tU)oXDlr5{OoTkQIc-LD}xnV2v0ggtZ{)9vNB(-J|I;5fum)%Xe=n zq^yOlx7vc%uYhVJ2#*Ua=Qg1jkCWyR_=8~C=F#A!_#^}~@Q3pgsR8SxMNXo1)B);2 z6cZ<^coKjyf>u2Nr>E!$OX5qQ>S#8;7*1|~sv*7(;w}5Ay1hjHSgIq=lb}UH0aT3( zu4N^1tgVhW0LE<1)zn5qn%$mE&66NZ4PmdaMZ&*TDdD_IZM-(1_yZAjya-T3m&?l` zdQ`Qq0{&WA^L+-zXGPSx2cY$J9s~Q9N>2f_KDT#Xfar>7W5Ro&?EGA%#$6kQix=A?%(P7cXgx5gX`201y|D*N#6EPJE=h!h zUq}ek0Sk$pECgkWo^($J8f~Q)4F721%p2+Lq`|_(w36j ztO^2uIx^b@dgjF-A(&@UBDYl)1oQM=SPswgAYAfX09;n~eL#)46`BBRm0btesO%O1 zg9VrTE8w$V+=~YQuPXZ{fQ`VKYX&@|>~es*yUusP@O2RLjz~v1SqCV#QKaX-iB!h~ z{(@$9IA}0V3=+bm)F3I5dr=hx^Yp{<3_M4PL18*zkFqbMwn1-q!LUKJE=hMl)Cv)s zN@Qj5usXvYu;SN59TVOLX%EPqRRw`R3YzU2Jc2i;MisSg96h68; z!gKn3>IN4?H?Q!~-NI%Ne+dhqx(Om)snelP4zE*d@2v!S!)3~Hdlfa)g?p2$Z zHan>M;PV2Axj;M%!Wf+c;1$?pt$J$T2GwC7!Cj~|dMlBJc=cN-#a$@#VQYR}!o-9p zK&(E_7(65f31Nk>odmP>iQ$74!eD-GY4E5-=pfJtUltz_8v8o|4?r7>ad9bt)dl0m zUxxk*5ygbpLD`1W;Gh^Jgja+u5X{y${8>o%h(X880FCQ1h(A7k*QZ%~OlSdVRpiE~ zg1{fA&bEP`Cnat|Fauw)4_ydD7fL>KAq?$@lAf0&bO*sg`{6qaskS=t!Q6_b{V!|N z2@koZllz7$2&7`Q#uhjB;StdBRi*>3mZ84QtWKjEyZuY)*RM7{_h zI4ud)IjCwxT_CiB21fUN3(vI3&H~lo%OEV1U*pv_m|?JLnv2ULaB3=%uLb2LA|)&h z2MrR*!%I|pDRH-RvugeO3{D9CMA1!0R*SQF?;4ZCX=!NizZAgZ+5ZZ&A!`sDplKuLB%m}e%7cyyLdC9DkQDdRWX$F zGs`}Q=$~t-SCG`NrAbbFQd$Q zFdM|5V5*IpaX$rxIif4XfTGi#X)3YMr8*mHHfb6}98x0VVcpFI%vZJ>z&DcwtC3FE zL3ASG7pFxHz*~w%Ok@w>MZn9DvFaSiOMDD}o02ikON_}S;uR1NL~yLBT(dRygXg0=#|Vyk9Lx1mX-wD%(v5)J zW>paQ7MSb~LCH=vAnbAmD62;feO+1>?xXm{8}sL#|O3 z1imNdqnghT(c09t;y_eX{C})Y>rREE&_LYQ{tPxIH(c%{;U+Xaj)@g2yE(D7DeKio zT|LynJX^p(lugu%3iIrvDSi6)Y4AZS=reFdgNlki`pnlMxa+D-!BTa_JlZ=2B84E#-pW01ZB;^+_~iRLa^slFuYX(i&_qK;eA%7Ru|p8`>~ zDQ3W486Oz;A_}gjdk@wBU##i1%Aj@*%+F}w2&;wdB=DVG+1kBr;%&P^TR*%r;XMn) zP7}WlLbVS87&vIb2kC!5&f5Fx_&=p;-F=blcn7z?vkKpKcRfP`Kl)~HGb#5^pb@?I zvj3gv-M`Od3C`;o!C$f4FqZxPk~^H4zK<4GP(O)vupPu5i1@Uuiw?p|!X^a1A}=_1 zeh0;OL3AR%uWCZ=XK9%b_`bZriLcF@BBGeUx94StY9=J}K#WR!00e)+VquE}zFjYf zYP}EEayDv31z(@{qy&oz+d%3`Zo4W7e7#;aBt5B-F-t9iYCd?A%#(qQznQ?^r_UuB zR^aZN4vfCYTYDD9@PjrdXnJ-4|7j}t9>WtLw2rWL8rdR&Z!paEfVOXnZ9-7n&d}EH zg9l)62*hC|9swbP39o{9H{lWdObPj;s(KyphO&%)O4x*O8dQUCBzy}FYGj4g1_+vsvu6&)_tN|GvQ?^C6v; z7gbnUyU?oL(z1lG2gHo=SwP8iVh|Jf(#dQ}^;yL~3xhtVAz1qZqSb4H!FE?Tkgx7d z{sG4;JMr!e!-g}fcGKI3I_M{-a=*?j;bk|ou5s@#~Fy3cXW z`nv%#|E${W9o5)WT3kNkaoWRu9%G$vFkY2dHz>U~!>O~a>s9O{`1`&=wY*DgCWc{D zfAiP0Jtd*NS%qH;>j;OlWuf{@z;z9l!Xd<(_5L9B;(O4RXbfLgj)XYqfjmQXXD zaf*b6!p4LpDkbnWyxB$MBP13<*9wD-7SDuWp8jAk9MWGB12+#B(S{KavEjrfQFjm~ zfCfb0sw$D`1`XknWlZ&s9DlG2zd&yT$u|W583;o|I3lcDd(^%lqJ%(gc4_m)!k5G# zCe(bMkva(t!p4MADkV%;DPg8c3G-A+ctE9ug(@X1Rw-eHN(rk}N_bSIgbgYsY*8uU zNtF_Qs8YgHDkc2BN(s-Xl<-F?B|N86!XK-Y@PLc9#@r_hkzDfyCtCa8uDkbbzDdCS)N_bAC1imtxU5MQh z^6gEmz1l}MdA@ii1h!QbzSW7fS`}>gO7$SH#i}5;UKIp3PZhqQ2^+f4DQwOt`F9{J zaKgdVATE*nzf?hZ(<#XPtttqoor2uotAfCnhO-fVBz#>-SXUx-9TU%lV09%WawkfT?)hWpRt||z8b$oW*eSea$KS{~=CkgwL zlze}Zus=!3_a_PalazdalCVEX$@eD-`;(M>f0D32Ny+yo3H#%-fj#_F?J(hxutmbZ zS1I9$N(q0VQo`#hwVC#j5+AA4M@oF8P9G`pk=)*S41s^D^$>D1nTwr-+k}k?ttusa zLZyU>Dkc1!N(pmSN?4#$!b+7A9#$z~jYty031DkYp!DdDV23I9!{go`RATn1(H zhkbiX`j!yXwiw#_xuJoML*hH1u*c)1iiDt@FH8ihJx+W#la-LJQd@#{v*7!dutPi5 zfUsBC0^wy4zAs))d_}|w;qOv0`+QEt1ns^%sbjzYmuO?c_%F(CLYN?|I~nzci8t)@ zhVJ<94GZ3|%Nu5@u0bU{pCsmm#|s{T^}C+&5T5K|unm%br3Qp&dKm16 zQc*^TR|G6S}h6ZWOnxmatQ`gny?} z!eNyX-c%{!vPua9e}+}Ygj!);%Nc*Y7$yV;=GZ1Ba=TPPU=&r5yRHfXS;JLlZC9N&Uv<_4)miL1)HY9g5EEiyi-fPKl(0gjgvV4$*rd`h z(j*Zj1cuOV_+W_zQw8I#Qx5{;Wjo#g30s8@;9pnBY1B0+Sya{ez|>ij81V-n%oO2( zux_TjHZg6sdT&rLgW%U)y|%+^-Os036Hv9W4DL_u*qHyQZ6h4dw#{o3(`MU7`~R%& zgwvpGd~cA127X6B1DF4)5eU(&%p#)ps%R5}8Wzkj+ZNhh7TW@Wk!TP+K7(Yi#E%K} zsevC1y5z+mA*gL3wDs+#ZH?F#2o@};EgkS+=!4Ton~mT`Q`uv`peh%sU~butvGO~m zEis`5l$`|{j1Yr_ptgn3*4IYc;bL1LSTNr)O70hfm@rdVm(J}dcBq!HIIFex2ZNGr zsW))PIU4xpEkVE)8lSKVgqy^VmB>7*a*ILJheVVRRtsAsJfc#<29**vg7DKT{zn>@ zLO@R*^N1@!I25uf+6MQUcBA;mB8mxzK-qqsg5-=E5YG27nE11}ivq&P5Q?B|1WLNq zfUvlS!4gOwRs+K39tM>Bjv5e7_AsF2@6~|NFq_TkB#Z!Mn?uPxVh|IG!WIeLpsXz= zzbpnZVY{%Mgq@(QEhT>_1~K81utmZZP}Y``Yhn-+nt!g`fRcMa7*xWP9tMGgyAE|R6)4r6y$!Y3PNs>7N;OLMiqo{PC;(GDhP|5!WREANRETJc8S+O!T!4x#nmEm2gY4r!c$ie zI!ZVR%BC9)4vRrTFaw_p&q8_vgjdJ;Um1E1g6BbO2=QeQc8&vpzgE_o%z*zzL^0tk zDBFN{Aek|j@z?nHQy`cMLbC~<1M$5I_Bn_0|4=ny;+JI@2yLKjeA-?S+k{{SzJu*> znGG6%U&k!X0W%+jimkFmC@wMmQZUQ2`o?*fH4t0~MNqZ{G#DWU387tC0&TH5SGlt) znhqCzOc*6>2cZST7eL_4=w!#Kh84~gHYPA$aKvSCiE0T;LE18M-&6%*gHu?ayCI&kOjgW6vQ^IagcDQKp+hULqXb|K$ zi_eQFCR`QPof_^*wUU=k?T+JzAvz%*9fS+Q7A^xcj(aXu9_PGRcF{pC-FF!GLG%iU z57)zP=6@c$2Phm76dzU*;efF2ZpbPWH-gH?i)M$!G$y0OJsf>grI~cOipIA7HUdlej5ZM!VZ&@*{OwmiOlbVU_{tsaxzCnUL?G( z@?43`e-e32IBs$>Z)%~sMCNZm2ugU{;l3H5N(qZxs|FQaIREA z?gdp4UU3R?hgCt~@Tmv625HX%!sxU;Nr_ymDhLyuf}HkXLD&O-2w)EeNnHhk+IEGu z{z{<%22ElcGwvi1M$9(O_YtWGEf*7!-NRxc>`lc)_5or-9Rkpr$bb+NILs7V;At>r zK&T1bAZ!Bz+`vjs4sxH}B!l=5$9AjlLBGiK*ALxcp3RW#=@gWd?!*)4< z(+Jk45G*I{ph^z`SQDf+V_-Q)qole8EdWjuq&7idt*TkjTm-S##4BPM*FAuZ6htv` z_%AX*aWmiqh+^U?5y#VFOdp71VpBqEw`Uzh%p)526yQv@3e4j(2=knVIt+L%8#S2d z7=a5CJSKF5va7-yB%y&%I=U>;2!zc&B2cnT4G4RMEfV&Dvb9}+b(PpWLupFedlY2xJgqMZw zBpd{(4Y|XrAe?jxi+%=@|0)JC;ld3JlF-2S%||GN27Y}r=8v=r!sQ-Sc!MM~@KrQ+ zAmc&ILE?B2&dLZAMEtEsiIY`Kn5N!wAhi3YUailZ;1&?dMz4@|nvWVV>Ndkict z6;VuB4&q5PafONrD?vK?UjFLK>rr!$we`U30Fbc2$bZDY+p=R0_tf{BL)efOW00=+4|ZjSuO@K z;hVxHgf*aSTuL4ngP5?Zhe2Z}mJA3jBPncBm z;#mK2inVGm=M&blc0uf zP1Ep_7{&xO?DB@LYxJv^N@Bu%VLJ&iC_BV;kkE)jOq>Nm<7NZY&y5a!mx?wfd;^5j zh4m#et3Vo;+-eYVobZTKkXxe)!dj=Wq-1=?!oCuQ#MAZEJh-*I4x)`>8xt-H>&_-N zq4?XXJrB5`tktst#Zw^u{wa|r=rMtD!Z)MtL;0AfyWaxPx+-!%^!}pLc6zN_8GD?z z_y*V$;#;KQNoC&#Xhb)}(vNN#yedGAuth?hN(n70B}`PQ^~}2`-o4YiC*Hl&+&ODL ze;M!>G$>(-uw8^@DkW@EDPfCB2~Vq(uv?{s=TvGfWc+y|N(jq^EfVObZ6WuJDhP~F zsgVUXa;4ZVAXtz>$p={w2Jwe_wq%xgb`Z7;+ez2~!VRy-N@TSCZc?ohb_wgd(n5Pj#d5*aoKa_{A`buTo3F4~w7J!ngbTn>b#M5uNOay6q^49kN~N9u*OcHG4D#didWKh1-sUGINT3H;|OboEfO|?bT6=4y=~%cJ40Ka zf!?;@ZM#BSKZo;CQ&B4JAS?mlHB`~y=z3F$g{7(^tO|A3F1GY{#3m-ZB&@r0;Jnq}=*aG4FloCZd?|HYhuI-XIALe6D(f z0u7E!unxjoAlwXptVD*9gXqHb2 zhAV5`9)aRG6%i(aGy%v>QU#&SDMHUCF-QnB2oj6M=^}~=MPc2sgW4~MC?TlUb*>$v zd7_O81!0Q>HFOig;!@GZgmuEYN~m2dqJ*GYH!ReCU9<&)YF!gp+$7qVa9!ALLiJZ| zglr&a`88mB^e?`FViGaIK-&ZV)$FqFNTcrR$Zq zyiY8(t}ens5R!?Y{$1YRjoI_izN&`QUQqTT;F7Y|X%53E5F!#*3)@Xt1Iq3pv~3cD zgrK$yLR&vTw7o}c3xwq$UBcwl^Fi|*z;pAkK@H;AL10C>=;2$543vw$b1TtW=YJ}0 z5~R~WxNs)S1PzGRR+Y$Tg>H0c+6Ll05LN94zjw8pMOOWN5QdDH;Q)w{I!feDsDkhoXduo9r;II;)qt)uG(HHznmz(}TUo*> z5blGmBc27}&8s*xkN#}4O~qCSw~0|(iTDBtJDZPKVBljS?I66b283#i3bw?m5*clh z+oD<7c@T%6cm;%G3PCMhGOz_&t2?jZQ0x(hB4ICxC&NE8qxIer3;R`PePF;*GD9(8 zJV*}JWG1ORG#vpEn?+Q&j&Wey#L~5aLDll}Vo4K>FJS^mzKcXg-CS>2TmeGj5LSY8 zfsxyw3c@C*u%^Y36vQAQJS1$9ZjUQVpj+li`*a9qioVbeSfVUpDM)6A%yN}88bbuf zt}Ya{t`2Hfi?)OC2xu_QM&ukW-_~5BV6Ey2>&o>MtXI8tio?Oi$gg6MNvk5zH1lg2 zY}qp)j2&UGu$_b#K{|}&4yeNFpxaX-N(icTYhyD+A0X&HxUsHW^tsZ0;LF0dm55q{ zJF>C(1_;e2L|-FYB;Q zov0IGm#{^`Zcw&W-Zr7F8TieXl0O5X1%x%r$|HD#ga#v)(zc7x1Y&JTiQGI@5bDz) z_y;9$<3aEsSoGeiFEIM+AcicI$X%3>3m9YsNEPH}gMx>K4X|Sq(1%%o*~%^jtXFpQ zFJr3#@$!zyi125VCI}l(1WZyk1}qkKflb*sgqf(J2%iRFk6c$GGZ{1(Uyqp5%J%|X z8c?EO3J9$sw3q8CU^C(Lm~*n4UP8BzgWyciUUm9jb+YLV+E7BHu$_eADkbQUyM#Z1 zNbhLStAHV>9Pv4jP3qGO7^Q3rV6?KWfN{!N^J?MB8D?e{fjW>fw>o5Q-ED_-P0*kZTJ=nbch#M6RoDfDYbqs-zyxD*nIrQhlAFeQ zXy%BvK!`zuqepRCfEUSr7jOPv3Bi_f;atREN?_OT1}p?+oUColaGU|cnGRtE2q(N7 zBZ2=am815etmt3 zP8>unnP&tW_8yaCeFn=Bn*##NPR~=8t$09L>pu)E(ksX()`mTsIjHmHwq~X;a~Cb- zqFkx0`L2P(Ga8w22Be!8xeKZwTz3j`HD8w&5?Vm&L2k4v2(3;*Zk#Fz)SZ-fc zCAO5YFl9cZTS1#(eGMdM9%OU?V!|kRiJXiMLCjH}WpXk)2rhlr!S5&t#|Jh|0Uv_)2NTG)i3K5phV zLiB=YyQ#gbtVLXm;#LuL5_St46Brf4$_8ohPQn?H#)Naib`s93l<NszF_$ajR1Ll>*$8YXBw z4EaMM#N{9?os|IkgC#r%q6KlEiaA`br()u1727b-+U6uU4P}Ph4MJSP6k!(-rmK|j zrb?}e-Y4-s-QFkhKJMvi3qok{xBzygM2ueo>{s>`z)@wb9)_7AqE5nWVT*)$Aa;nz z7}${y02qT};u|WqSPdvPi>Q;$n5ryc8Yma7ts`ozPR3Gyw=`Env=M}HdIGRj*pBA_ z>eF!yton3VohKl=EQT>*+H$%tAWRoFCd>fo&PQ&hDhRQ#ZqsJ4vl1*JOcZSwLF0B3 z7y_wJP& zMx!q+h_h7u06^R3jvv?I+n`zk9kIjGezr;pb5u&0t5U)|l@jKwl%Vn5+_AVtwS*^y zEfRiTrG)lxu+}1Bmas8l4oGK*++0-<<~s$swW4sx7FPJEgiZ+8gzY9UJmV!La&*OX z5mpP^O<*v!vB7=@o(CmJOn61u2MI@1N_b7Bgp(>Iv`O3tS;Ygw#)N`O2}P9>x>ZV8 zs8YgWl@gYyl(0;tgojj0&~b2Q`dw(iG|_gkyQ+05c?sI%J4w~N( zZA^GoSU1|#J}#n!pjx+Xo`7hZXyYA#7nR))IH)W^ecW+ktJAt1qQyydAZ%VC*~_;G3_F>suy9J zN*T7GY!T3Q%iXU(5W5QgO5@OJRDF4+GnRP0E6u`FQm`6AxY)m){8XSEWkFrP0x?yWqyur8)aqCsqpyEg=3@Fmb(#2~U6qM2}XL$Y}iwEvS$16(8aA5)8QEf2Dyiy#b3rOKvDs3Kt&2>*an zRU)G~=H~c38p9q{ML|%v4wxsSKsYKB&IsE@I16HG1^-zNiP|RKwllQ#*)}4zWxr`W z0fZhBCWEB0WM-drxQSsY@*)>Vx7AYmIQJ2|xdvDhXAwe1dV{p94~JwbwX5@raykg!yx z%K@vDC9Dy4AwlE1#l}cOK%6Y1`WNZ1maZ?}@;uXKU0bb+tQ4Nnv7$B0i% zpV`XNXN9u#S*xr~14pT(BEq^~WtFZ)yCK@I+5>=#$~M7hlo-ZcfaS_s#3L{|BZeL4 z0eR7O(B~dyX9MOc`w(EIvgUpaKG(&)Ko|-w53t_9K>zuv*u3_@^hJ;yL&=;1VeANO zg~-WVQ#m206%&RFyMUEUSC+tv#F5M#m9ydVlw}(hE4vh+L+2)=M~l?-L2v3d7<%rN zKrx|ub!HBzeNMCq;YC`=iLdqjg;a`_bO7utAgr?^fXm8W1zcB_pz+;`eGQ@?i?-km z-O0&DXezgjp{7c6W5T$HS#>92ys$B00!SAkxv8S)B(yt)btOiSr4sK!!g66_!s9C4 z1K6+91AteQJq9?b>;=GOWeGnKw&Q(3wNxCl4U>g^kf6=8KQNkN%J9MyZE$( zs4ZFG%6 zmQaf?_~C?VCGj@lAC8DEshrp<{P$K`9x%k;Nr;3nO4SiDbz2^|E$``8pc|vI;RSc& z=^aSlmAG!k&!AWbLoAsFK%=t50V9-c0yHaY_0*$C)GUOZNERXm~>Y+cb3HseMtj3E`Bm-Gu9FYzWEa#I2ja2s*Yl z_`Zq)tI!~w76cb3K7z}7`s|b1ItbbT13fHM`Y9Z@MCp z-vnIAMqvCYpn=ia=yPLBWVH@=>VFYIFN641+s}=C1TTMONsZieJ`YhaVLEPZS7PyQ znW~tuZ*69>scjZ*LYOUVH{n?r>YS2$PTaZ)jF6pdUq!)J;c}7M5fZn9pbc=-+5(Z* z8vAQJ2ezzCKmy=WwrD@1xjaB8YUE~eI7Gp0=uo+tWbx0X z-k9*7ux{B>dr(9Pf!gdW9fssJG3X$i6Sng_;9X^{t5;DR@Eut|geQe95_W(X)L*St zL((D!@if3ZW!C|oP}YLBq4+q+HZ7CSNaYw;6S0;*0AT?W4hZY|`3#EBsrGrmOUe?| z&>fao{0r3*TE3e}d}@z~HX+PdM{U>8kG{(sg&@zN(q})O4y=O!tblpkBj>*(iMyVM-FF7Uh5Y7d1!Y*%L_T; zZn2E_0QM?NQ2#ChN1et*9oR08zK*7w0fxN}!s$Dq7RK_+?~K4!KyCwX7@T(mnHNa2 zX&%^Q6+WID0-UKWGBGwQW1~=xQxB7e;#~AS=W6<(O_f-;HiDygukLP%1^wyO&_(P; z+lwd&*XQtr5BxG0VZFw64e3YU-BBYL2Cn)^vi4%aeIU*WaXDx}^n?A6ky$B)@9lrg zT0zH&Aj}kjHt409vX}mRaWa&%#2}snSg7n0z*1!i8o~9)>IwQ)mMpW)#4t!2+_5#O zVelo=p#N;BKY6dH@Iit>3|M!B3Yv?XMBdG@CgQ3!KDcbEAT~=rF*QDDY>+efg1P_I z!9X5Z`vC6H86;?M>)9IyU3IK!vrTqOs5+{RazSIAqIW+x@x3`DOW}K)+!|RAi|rs(`V`=RvV>E@776E6 zdI4}rS;Bk5b`bD|IYcND>V%CO0IV<=sz+e>8i?H{o&=#ypK=eId=ymLZ`ErMro0^fJYr)Yekos!f(&|-vqYh@4hdT%dQeR2XA-8C} z*4@i8QTbV%$%E0wh+f=a^0J}!3JkR`n02txA<6|81;)PMjf1uaY#&P^XlaJ+!_C*~ z20mzQU#r(Gxu8m}s_BxeF=4&1ZVtRQ@mhD{LpTJ$8x|5 zWvzqejF!^3OSGK?^(heO$D<-Z-3tWmf*T);81%W%lLSorKDQjh#L&Pq~0*t9X>4EYfyKj%IsKy^k&#z&OhCVavh%0Wl1;- z(iKncnkop_oq}BSYjQ{@JDFMIDDR>jr63$xjEX7^sL zF=}FsF-8-OHEOCFOH5)c(Imzg6H5{`7O;UG6%nwZ0(M1I#7?mwMG#Q2VME1^ih^MI z?t23!?|Faioa;M(ec#3P%)Oqv)}FG~v(^kVRq?pLDbM z1Y(8Lt3=>$r=InpeGh~~Lzo7_Q>l|Go~9sIQFYI%s{dC0d%JtQ3HyqwUR?tGkue|~ zyI4ScMUk)vgnyfvypn~K3VA9ZtD;EA26;_b$g7Z7Rm;GUUQrJMwf{Ok&>+HV@CBjD z;P3g=7D)Gb4SF5{u#SHW1hg;qin!zx6CWuS_BSgJS4+dM*Z zP=$h}zbX`jNv{+vEvQfsf?p|EdQ_nx$O5ZERW)J+>dN*S^ditm?jv3IhEL6blhM`q zu@$|x0OCL#{D?;?#HoPm6~$YCc>!MIs>rgbSiu6}L?Y-wYM(I<@8vWKw-zX<>(#%y z7^__W$B@$aGjVZh_&0b$1wYIuu12(>{KJBFo(Ud5})1KnI+Q4d0uE#3tSuEO@! z&Lcad!j>=s#JG637)p+rth)!HDX2nG^+Q^~AOVE=7@+{9#%-R~)uo{>?s5tWD}<9@ z34Ia9<&F9($?ODn4Cr5+DHvNJ{M)&z=JT^~5zJ=Iy$RDm_&=}-uMYB1DVi>;AQ#XB zYFkQy?i6R27%y$V!R>#)FbThd^1C)KrOM1?mjy3L0BU ze!!|YEYGQ=P#|eXC1n9gcPa^Ep^8)oROK&0fkfGzO0o!8;Rf}qq#z(Ew~~rQ3?`tl zY96-=f0m0h6?9(vx4cSUh1B8yLcA2O|J#n`Lxu_(ZpQHe6;_hPg7$zCDygOIZ$Fk3 z?0@rB_5628AC;qmngdCngZ?|e?y&g+)C<%H)E5+3Nt=KqUnj0FP`^q_09Ng9mh&p< z57&QQ-&p8!E2+5~?E}SE``e!7yhZ&dAvs_TRMGNFH`($&PXETFtfnpO+P z2Q;vD1>FM{fdXHvpyR+SP(q!5T%RbPucYR$`y;fTX&OuwV{qUP+yST|vDnDFj&6p8pQ3j#DUnnm4YX8NfhLDkvRP zzexp+0R9Gw1?>Pm0ae8>MOoGKZ=Pk(Klm!Us`{wwRY_xkq}I(Us2`9NSxKe9D!-~Y zluda1@A|6bl+F4N6rDb7KRJ)r-!-v6op|82W{Xxo_P|Ni^`vwCS8R7sou;m2}H zB^3ZkKJWbJajEnC7yAFK{{N}`|8#$|O|2{G)Bg+YW6Zvl6bdAzR8q?p|GvK{8}lE? z|9AHTW6Y_fgnz_iIlYqdfTY4oa=iO@dswbhNv(mT;h_Ju9h8l&q-pQM|A8NE{969|@2qM!b<6$>J#~?lwCTUFtBUvkHnPq=|3$r3`)MC+ z{908|7O<)vReH*@{{wk0WQCP9Aj?n2@;k8+Abt*( z-+<+pUP=7KE5Ga72h$;zu}1{9b0&eqcGPlK5TBs&?=*l|CIRh~HYQvS+zw zCGnez?LZY@Pprsqs{98+?pH~3fTV~@;&%s0{MsOipBXF!Svpn_KQc(-w**Q2h#)^3 z$S(tu_yItYqf-U-1(HH5i67h}c|NQlem;-H@8-1w^{b@eK+=Rt;^*0_+Rt*+E)~Qt zs*(8RGZH^}RsibS^>2HY$5zsLAgQ2|_=PeOKSM_1=f+6CfvW0bc}FGX{zJ}kaV2R! z{@b2q9moJO{X=d+nO_Mb@%vr;_7=aeMdF99NOeA`pq4<=&`OF2lK5FDen5v`tReBk zCsplancq37;#m%?B!0w%#7~!y_~j51zxq*S&oaN*QFT7C%zd}BL_ZzCu1b>n932At)HM0kjFUqmoj9q_ZHth?Xy&Ed(k0V;_MWmDChS;>$}(eDx?vHlTvW07;gC z71R_+;_K{4e61XbZ)GF#J!mAp&5Xo%50Uu(7ZP8m!Z(b_eypHuAYXjI|HmisAJE5w z`0v`Jz+n}{f6pcP{8B;u2TA_d9skRW#Q$X?@&ATM{HGHV|KEee|6L&QVR{muz9#YU zU=p7T<^#Tb$d<(CU`Z8^$Nua5ub{@l{9}A3><%tB^9@e6QkJuDCbZHonT57|&m1{R4h)fzTF)GLDsb4BF$(>2ziX9OzcK?=*0#xO6tOjRWin+;EDp=0ui2FWYgnk` zkk>f)9ZRn}m3`K-P}ijlU&lf{x3cyI7V3MHx!%GX)s)pXQSxSWWpE4&4QePmY-6Ee zO=YVcEHtX6jE!TVv0VB4E*6^9RzBa&Letlj@%vbKtB%sMpM_>BWvaLE_Up>d$&@s& ztDNC2yi-ppIYvo~`pS;!EWG=Ma%(0FE#Fiw;189yYM@L%&BA*PmG{rE@O~rZYiCiA zf7Q1M_L4G+jPfkE(%K5;o99?~t+6tK1?AQY*vh0en#ij!>5bbywVKM5=6uNHOC>Gu z$$a?dBlpSgDZzH#S5j<0yUMNWpf9%D?o&JODYs^=3|lpRjNE4|*0<$8rk`l@;12EQ z87TR%Bg?su8Ld+UEkAC{^4T0(*4@CeR!ht95=M*aOSyRhEq6TOwtOTb*l`0w?$i1S z%eA&retQljEn2aBXCS?XB~#mbIm_McEXQJR%6)uNY1t;865TOszq7H%$gwQ@pW^mq zA8PSGU)Ux!rTw?>vAj>i7D}7Zrh&H&o!+A5!CKrtRdTDCLd!NgX!+SumiNqKgcv2g zMtXmj(wCBj^;vJnb1Vlnrf=XymN)HT`T9co?uez=ZXG4l3aM>BjgdWREDt@(ZG1T6 z*bJ1n-Og=ik&?8H^vxZ?^2yOG|CB;)?Pl~ERzgYMFh<@S#<~hpS$^#gmU}d3S@%B6 zN(a5}c#rj@K+5ml+(y@;{G_-2b(1K$affl5;I10%^^7pJE4RnJ zM_*jcx>gLOw{Nv(t76`vO~~)uzV!jU zivFTpmPq@{H@SW8-T%9+jO_S?zVoNhX3<#MAN6W4j-{mTr;Ib@LvHW?M9H2=Zhypm zE4j~~54`f4j4)z_H-0P1Zy%@4qcxO=-DX*kQ0|_=_>KWAzw}VsuNAc|UT0b9J<1cB zF^-S7#!0xdg)?>@ZGwK`HpLq!?h?zr(YecgJ~+v8iTA2EeoyJmCFZYt+=vxWM)|4x!g1bf(znnUuHwkd~kH=2jBQZFvy4Z=I)hvx#Lv%Qo*e z(d$wmO^jTR)_v5AGr2 zod1UL&!M}N`?RXf8jn?D`PYwG)}5zU$azY9y!}Rs_l#ZEgTB4IS4ZQ1w2ZmH?a*G_ z{^~t@x3p$m-M3M)ayISTdwea_KnQ)NDh^$RAkl{3~OQ`khY~s`qJ1a`40PEA~?!rslTmU~d1qPwhnSnLl|Q%eyh$%6-1^ z_GveKY4hw4ZkOC+OGdP&O)c-0KFoW@ww%d!8swDk@$U0ccw|fN(`*zae}%JLb0B>S zB($tCoZCOfal2qVwFlFvoiKrtb>4Gto%dQ>w}kaR@m{Uhyb&g>rKRCLZXY2ZlKT`E zF+!sEDlUG55yHItB*fcC)%=xvAbSqwKh)y3k9Ys?^4zbzh(n&Cr+fcOA_UC16fWQO|Q~vEPK?9W7$p10+EttvlvI~9aAoOTQ$5tC3B*w z%`(&e6Yq%GAJ;jTyg}hT8Jml#iXr@|_wi_iInB&3j#6*~n-iFBz>#0Jn!W z)28V>ZtG@nYg|g3K4ZB3B#y0Z;vL<-n#`E<-(s|+PpNJGJ|()Hl%!6g<@etG^XxN9 zntJ=jdOtFzvIQk`qP#Uer0+*A%4fBp*I<8Y6DxWK2g}X&AZKOP(jq$ncEdN}p%wXW zJ=q`qacT>q{JC=g%3}kW4UBsasg``adMj*ZNg8wq%$9iAAI_27_z}i)CD96S^CUGs zt_)jqvjHwGOP|BGmB`)np1n7k(ngIN`|@r9qpr)Pr$d`r`)zLVM^9vNG4j}F{UM=b$WsM zi2TEX8>r>;=!q!z4s8bcx5Hn;W@!B@*p7}4#CC$4m+DkWtnMIFvE1Dfb=z@1skWkMTXPk#;adVg@oHX8B}<+oHeXy3lco!sie zAFydt6aabKzqrFY9T<=8NBPN>yVl;A2cX&^?*G?TareJ540~VxPJ^EirR_>QnIiwl z#;d&NjU<#8Ng_C)2TQIFgKV*+Mk2V4lEzyBn5^N&q0S6R|K|vHT2jJ%K2H+Kiq1$rWG;V0 zQqE4MQ1YY;V&0Ve5(CSpl34a{Ws;d3Xv-xJrvhgBZs-n&S-wde!)E*5(*weMoy}Q?>H)Ufnlk-McBdPW#^y!kY zdayn&iStLznUda^_R4d78%>9Gu5a6RfO6mX*P(mn`;t?Y7rqyVgL~;aa4;V2MCO~>j50gOYlH-8c(p%3VoFm=Dp>CeE75m)z(#Jf<7D)TE zV_GQ9E7kw)=FD{gU}nKZ}vm5jZy<%&?ny_9kdg=tiP=YereBBusk4b zV+5BVedi8-W}1geGhO;+Rg&uZK<;fOzuis%}2}b zNk_&4ilk>A03JxcABa{zlHOecu2`z#+5bd3F%_Xpq`xi#_f)#@9-vG*gs1&8>DUE; z=TgaAi1|`F;Sj)2_T6eYOp!h4fcmD&MtlO3>9SbP4ra(g(!mAF?k|FFrmQ1R#W}Jo zylUpkMlz+EFB_8zVUVl?r=SaEdLE-ivSDEmhR7!Dh2Ij{5GG<_vRjiO43~A_WMi4E zRS<;BWoJ6WAwt%RGq+W;hQ%;hBdfU*5Gk|sWRI5ZW-h!|_MF}DdfARXJZ)qXnI>9jgQI5)LaQHnYvrh-4$}AlJ(q&il&}GPWZ2)A- z%neaPmaO+dxM#~|J%CA$ET5s<+AVR!t#Y|9mj>2va=W9?k~T{lYNT( zosr4jJ-ZOiPZ-C7l3S^22js zazZ|j{c(=mF%?{{{K#xro|a$ZydqEDDh_Z?z9j-N&&xLt1$R+?pBd;S`5-C$uF4lC z!mmKyc_p~(@~1poZ^(To0dC3lL1PXy--+z6XKRMlq2)d5dC~0EexLqqPuan<5qYo;+4j`ZFAMD0ck@Zl_{4 zYuKfb4Feai7}+1(9>tVz!0lB?)4}am9OG$oK+!ZBT!NyE{Y#>vVHZHM;tA_Jq?j}x z+z~~I0F$GNQARkVDvD--OH&+C0FEo_jz(KD6~BE2$X1MB1BV<%huYAcQnX$KhtrC` zmLS+!h2sT;=M>|aCFU!p*uh;?e9fh{D+&u|cUKi-m{eU;oK1q?b;W=ufI`K=9njrW zTz>;_TM_U&9PTJi^+HAW6g$Vk#Tsb-skg0s!5O6}7 z!X71CsW=WUSJ^Kd+(~5_ubk7$VO&$lQ>Jf*`#I&Qcc443%-Rd#MP)X--%HA=1K@W> zxr8(MtIC7-V0lfsi5b^*OC|l6bODQ!V)jO`4(lo>yvs>e4I-XR2CoU(Zs-@O+u0n#fdgu4)t){(@AoUE#h! zRm|jSk!nl_=z>)PIe5pa{5eb8u6lzB!A@1TzhDxlvhys6SM6dyzgx917{Yz3o_7&t zzpAYY+(A_-$G8O5$l5STQaPCmCadmqI6I;ml@Ir$szvJosj9(6fHYM@4(u7KuH#{H zTorl&T$ak8v!)ZO5}u~Hs>N4fc~aGv3lyjEd0`0iRKq!hoK^i8hz6ch6<>$R1=W~= z5az3DZ3lNr71R%KS)~|+&{tLO_DARf)qO7CTvv782;mJ?OHSl(s?ymn-BKl7gz%1P z2v^SUs^0kp4);|BJT;0`wV5T%5(>E^_-Dbgc6%Jd3Jn{9u2#Z7Ew@awS;VfR**$gIogq`&Odxg6z;J050<8ePA1SKJKf{@%BkSIj4 z_f8h_*rY?k$4;0W5p+C1j|w;H!sM7RCk&7(+~zrvF0}RlG6YpJ>dO)mQs8hx_}zmV za)g;S=yHX_Ttq!3oLU8w)4~ru;C@DE$|U2gP`v>4JrLT8&^;9V^Wjh|1oGHE7LG>2 zq(m6R)238Nm<+B==-vvta-shm2%igMIQqU2BEE*sPrSy}V1Kb5$LXo!%6xFs#6K{r zl+O?!p8y1iSDBH`6hH3)Zk8DQA-FkW{uRJn@vok6m@ig41TILt&%AY^IEqJek!WRS z93r0O3f2;FMF_YsG4VEZ;o=A`C@vQ>q5vzzL!ZJgLVSh#YYw=YF|j#zzpI6!&tZJT0ydfiO>W z@^G9HYj^->#dUW9=fqWAVR>Gh#3o%3kL?DRFP`G5cv-y7^Ye;0e*~gj7ejbr-Vk4` zgGrb+6Q`ng;uPb%i;IM-RbBo-z%{CMD_|X|I>`JcN)^x&x@gsgqlmOt^))-Xb*d}= z(5+X6ZA7Gvsw12UZ&H0`gmAN}#u~sDRU*g7t*VcBU}ID}nU!tFS482yL)GCJV3#U| zGoN_XwWrYSRvl*dwMVsQB!cZ%6`N7*0aXpoZx5VRlE)+w^Xx^!sNDUWF5dA z)n#@BcU5mPEiO`h-wxaZ)!0+u9;zmHg2N+~>`k~At1do6wU1RFa4q49%0SB!m5F0~ zscJSCCdyRJxqHf0ZwJHjnJSK}z|U3I^$@;L_5K?0Qswgz9Q=ey2ch#9Ci52L6k#aW zZ>9>_%zdT_Pnl9q7ruG~m?0eIyg5LaBSIG_Y~n~dQy4oR!dXJyk@%Ujh4wz+<_G~y zi{}cTa$nCAc5_NTU#Q0$BSAuk#?UPgGS@)2P?*S0Vv+EBHT^EEJKAU z?DoP0-D7a!!c!(v%Y_Nu;IKkauz@Ru=K;_~2tT(#uvLP{UmGd3#^r$lpjJ6xi;y`5 z!mYxKSUAK8)w%$-2~(Ii#0nPzV6t7XahkY8sLp|Er!a;iVw~^|JC|L8n(1x4(CQm- zy9ILuxIKdMcSPAMxR=6jpJ3Vo-F{*5G3X8myEzR$D0G|)NDwBpK@Ew*axR=D2~Bwk zCkykLhaD0c{E8@tg(aNl9TE5p0LBk_OK>SdZSIg`!pRZ{Q-y)qa8DE7)IgUm4CLO; z5SDxcI4(5d(aaRW4nvnEbia>AU~HKQVYU$D3&;`9v+vCnwlZ-$DIEA5mZyZyafo?Z zFntbPp3uDs;Eb@rA0}sov{o=VC)~1uyCBqgjwt!UU|yRSh2FKHyCihvDSTNt-y7T& zLFD;)RY;fxu0VKe1h{L0CkEUNq2Zf=LZKxacvD!%!*NSE#nJh;aE86#9iccEZMiE* z`~dfa@0s4-7o4YHStNX&1MYzk&i(RGn9QN+kr2Y)S1goIhVZeFR~PU^sJ44uf@v~@f)5VTl5T7B=UJYS@m{A0Wm7?n`gb`vNUzn^C8;65iE#|XF zStBmtu8S0p#=vr|xRSlpI`P6}2-l0B+Q4lPXAMNKjpFPj;5LcvU!eBQV$lP@7O`V% z2)BxdI2nr(kMOeCCQi1)Ayyn30pWIW{54qa5T`T+>=es(LKr80wiPw(5?gXw8ZXLU z0CtOJuGH-jCu+d$71t^dY@hhodBA@0=m&5=Af|KLohV-H07w%3dEX#e+&u)kL*j)C zsP?cJIs;LTh(-grqv97&VUi*q<4VUd@wYAzriwitL6{~MaVC;34&bnyA@*Jg-EpyG z7a&volBsBxIG9P@2~ivcE?cb41T07VrU9CiE6xi+BTkBKcq8MK7+o8dr^OY#BcCUB zI|zq!Vw0`l&WqK@Am#4ToJ##iyE$qQ-=cz z#3R4K@|t*44U_BQyt**CAr4_TS}3MG0o)YpZ9&XiVxvQV+hP!t$UEYe9e}&ynzs<; zp7;aD>igoGj{rsDxfu985V!A!?x8qn0ECakAw0Il;`;0Gdn^|CfO{gAFtslcrR?=f z#hSd0^i=GX31OKy?o9~G#V(Psd?sdX1@~OsZ-U7SQO}{=U;QT+i>Ih-{08Av^>_io zY3jVLaG$Pze;52_sL!Q?3s8S`943M4zb->KQyuGsaF#ljsoHFH5Z7SlsKYfdnXB%= z#m{-_2@4T=zWOHff*^JCO@IaJ-P-^Q)n}q%xkz0gB3Q5*Z;Zz>sd^93tPu6%Ch%LL zZn6@(Q1t=!Qeo;(vY-oB2j&Bos>|MoaGARA*Qj>6x@SjlE7a>Q!EdG7z zPKIBG`itoh9#_X@!y!}sb5qoirS|CqlN0KVy`jri-!6qPM_sxWkgG2E3Br@=eipzf z^)z-?r`3+_fIRhC9+fld{6JWqR~Pao;RW@NEdcrIm{jO4s(bKG>?QT<%z`efkL`x= zin|uS3)>b({L<=voy(d z;4oXWlDavXWH+jvtND{hY@X(u`Vh|7Jg5tkAWih!fCZWdU7=g38OeRNNb@>7vS7`C zh2R!zI&DVi5Y4eR;Ff4waBU-0Bl81hw`PG^-O=3X;$t7EZ5BC6~02V zcsXFDCgFWRgyw|;ma8;LJgBQRUAQ>7M)RN!bdeetm&cS8rV!%)$7jj=6Y zhvp(L^qrdNT*-;kTzEC(Fcyv`q%qPeyRT$<)mB;dFvs~ap&X!g8~ znAsXPC+{aU8UBD%ngl6?r!`V8o#$!B*93P)b8;=U>bLe%zB~5uCYPhT^;3WKt#=^ems%8bpu>wu_WN_Csx$N$*YZ5*~=$o3t z6M$QqG|pFUYl=^SyQBGrtH^gX(Pv?KPm{~R=DwyKr$R-VzuXA+K=X)eJ`Xh#F1|d{ zyc`86)}+^iU9Jjl)P9i$zfIa*Tq54Ay~tB|i#DJcxESrIzhJUW`@0$y#cKO- z?BA}nK7??mb}1KF;p?+EMdivQHbu!@plU{3^HuTJ35;qBgxf zOp>%SBf%wWpD^?xZKG*u*-`C&4zwv+jdw1p6}T*vs#UP_NYi#;51pGm82g}FW_jxft z(YEFo_f-3WQOdM$G=Q#L+xSm7Jkz$~tmmcn@%Iq==}z=sgt`PoTGbG4eoPwE98KAy6(T@H!RRC;5oQZH+Kx8EYgkV z{fS`R6{bc@bde`v8LB(+1x&(pEjfb@*J)*dH@qIBg>1dG=VC9MqifCqELYdCKdL>go5`h!JYA#NFgc_9kaq#j>R$U3!7k{2WQLos zYq|}>i@G)> zIRGY4b)n3?%XDumAS~Afb9VhqS279QbKQ5mWM1eN8)5lUH2Dd=pl$pmu{a>79FVdg=1Af7JGv{%O^}S~RLiBIH0rw^P zF3J%Or=mj!yf$(4zzpq^-iGG`}FDD^ZWIOnYbL#ce(`OLH)GH2$rDV$mwySKDif6 zlJvu7K$onK;U#)VuVKe>SnuG1+Yx>LG6;|A`*FQFMc;E6xMTVVuE(b8J6RAVL+`g4 zy5o90?tznCFP(~DS^DqzNYn{^+D>rU`r|hdGe>V(4ZmFd*EEg5YrrM_?@abC z>qkBZT+u)0ZS$-8-Aln0=-pQl^O`<`UBY#J{%ybweZl+iE7ZTm{^h1VzZ537^l@BR zx~=c*58WMovOl={`U`IXiu7;A10LvSeF67}`rwbymPh&>4d7m^e}_H$WBq%-!{mwn zGxkI!`V#iurTQI%0Z;YeyoFe%KfM=FuJ_^5e5M~!0Nr!_VP?HQf-%x#$eTId=X5h9I63fric!gq~^e=dPP& z$h5(7wqfxPFqvb>F90{!kjwLWp5e255H2uu;xo<*4Vj<7VUeL4JAq)s;CB&hu|e`4 zgdqk+Klm*%)OrpGHDvR+hZ#;SgGspI4ermShISmzmKi2h2P`*KO)dmaq#2UlI-vE(@&tHdSlp*RmAlk5==fqk=M?MF;&d`4!xb=n- zj@laxp10tz(Qx7nVs0{QSOka7hP_-R-eP#!1H!F_R-7Nj7*e=)xZQvU9dR8QqPV26 z(;#vW#u+rtV6w~5hbvL>h9^9;b{kGi1h>baWA44z(1;oQKEvx7u-tFB%s%;mA%k~n z4jQ`gXeJmwJOYPAL%0TzWawKH4NNwuro;V^;cYH|A2!s^gyj)KSR{l;4Z$3;QVd-< zBRXbi$h%ajhCH4jX@(#k>2$+8oGfP;(z#G~+z|E>ewl{zp8&E9rR=s(82nNI*#?or z;7P;UBXBrn_?i>O(}r-?muIjnLG5P@hnImnYZ%Ob<2YxyKMLG=LsAWdzF^qIMYVjx zX&&2)h8|bJT{4_y_jK8i$Uf_e!Ixd$RYP~C*#(B6#o(?PZcl^mx*>ix;D(_tukb>{ zk3MM9O~Y3leQz0ziGbUNci5ym27e}BcMa9Q0e8qAnF-7_?r;O<8NW3_H{ZC14nfA`A%F$On|#c4 zp|L&h{46pK?gC-3vBeCyFE$>(4KBpEej?KpRIpf=6+`xQkt5H1*6~!3!Oro|K zM{;Q()+ihSY&W)uK(HOgu1#UN)A;9QK%8+RmlSpxKl~ms{2f72so^&{9Z1om|3C4^z;1Z43p8%4KU%KI!Y?QGdJY=jXg~?&#%hnJcF?Q?* zIBGmF3{g^y$4=q*9W%bc<+oI0j0+BF#_t;0wyjFdkeDuF!aQ2jHf04_8NS85=i4%-hB_!SK6de8$u5uF<>#?)Qv+c{1EL zX55EmkuftC!Ux93gqs=?HDmTB9UfZ3*??_oK|)Puv|T+`MLFqvm+ z{4S!*H$}Gx7i1cA93~4)&WV79rmLI~FEZIYfMC;pEgG@d^n!D)5Yv}85Oay?{iWbS zP0yGfgqdpao@uzr$ZKq=sWZFLWv0-F@LO*BEDs#)!&;M;Gw*dKcMeR}n@*J>*ap+p1%QpFqs-Dbnfe|DY&IR? zMY6^88;8NIrb4FYF{Uqh<7k^{%36euHGNY8*ltQ@pS8o(Dh}LE(-98Iai&vTx7lTS z77i}nRGo8#-KO|bxbHD-T?^q}(^)>Bw$IeU2+RGZuIxq+n4;M89W;H&waNt3Yn)Ff zn_OI*Ib@pR&Ff6dr^4ijY1UM@A2p5Tj5ft|jZ>jxrdD5|hE&stFW{bLGUtIyH>Gg> zCBxLH7J?l&&E=A1rYVb)pDfcD4udC5?{U(bZTh$zkYlOkLlp9o1{!6ZkT-5!KBbMlk2-TO&!?WTc$J>D!OetTLUI{ zOdG4i@2+VL(}R1a&SkK?Z~CS-EQ?Hi76KlazU0w-Xd2FchTr>;R{jTQ)*lrkV%aU^&hFA`QX-^PN@@2AX4ePRul~XbNtY`FBo; zXPZ^OKsd+Td@&s6n!E5kn`iF!Eozu==D*^~gUpKgfCXkxHgpTkC4Ay;k-6PGK(M(j z2aUz%JwAXC^E1vSmYA!}fkUYI@&P!6nU9SIgqvqGD_LrO`aWu3W)A8OSZ=;>9S$qZ zc;664C-aj)a1rJJ9_dx)$=wliwYkk5=+>AuOtT}+SzK6(GPmHIB-$L!iOPEOrW4R@ zFrWM#+(xtG8?*C)DUN$*#?%o%rWC(5^wG}8QgC3+c#md$2_hL9QK-r-+<*lvq=Nt ze)IVasP=%lK0Anm<`M2D&Tere%Pu=9g?|fjOGj$~AL36Rhjz zF^A!I!~7Fd{zCI>%(icuXNJP$mN~U1xVz@3o#B4Zyfz8keRBfG#v=1CXCZuG-or%q zp}Egg=pLCHH3wI0{;v!W=XbmM_gQ9)O=^DOV5tEjB*?GsV)39mG`2(oYa&n&sk;&`r1a za2h|uGHeQj0hShA>I}48e-D;3ErtFtnPsWPVPdwWT@RSdvDA=*n{V01XGel8kH!KP zSOV5SxX|)GXJ?Bntquc%Ez{V}#g?&aP(z4ib{0&QSVBLBL#Smxe_xoTS3_{&mfaly zOD%VPfZsC9cfY}5x#jdexUaB89|gD462;vbVX2h`lU0^oGXbkDfJ2fcj5&Lf2jHG=ndw3349i{i z<;N|b&VgU1#lIeOS(ea;5aw9E<_bZs<@KMyowWFy;eN{UF+1bamOdRI%(L8KCve8% zCxAO^xzG_N=PXx-KzQB~aUQ`gSU%;-LcS%#0F#Rrkw^2A_b3d<{&Tn>X* zEi;t}U0@NTVRFs#*5`ohmW+lF-mr|`0rx`7SLeaqwDdg=hg+6RE+O8w)Mp2H$MPH3 z&+b|t7 z&%yGkWzb;=%Pgv5xR+ZpmVtX_S=$uw+|u(CSiZ0v<*|Kf`JHK#pS1y(s{O4emxG&P z9bXqFQ>{aE5Kgn+XLd2&+U-xc&#-pVBW8g0@IhDxT0abiaHh3?TbRtUhIIkVw$^_K zx;fU-T)CWU9h8e;^Q;s7Ae?Xgfoo4e)?b;UEU?z(mAcS+F9LpxtlNAMI@lV(<%T8J zY1ILt)(h+v!>k*Pa0s^+A3(6B))t)7EVBlVf!}iLsaCLDVeQX!a;5cy1XL7Z9XAND z%Ie3Jh1J$uC4e>7!!zL*Y4uxxC{b2@Ah>9&Y#DTGtr^^r>#U>4z;C_vlnC7h>zLaR zZnQSw6~4(jYcF84_2C+rY_Zl_0^L??b&icO)~&ohz0G>;HbTc*f9?m%?bg{5Fxg?< zVnwi>)>)HLQJl4m6P4Z8sIIWwW1Y=jaj&%()5(3-OI={H-+He$ga@pKelR&`-NvbN zf_3R3m?TDM2wq+uC%zBLn zC)N762qtOPF&hBs)&<`}m|+dD!}7TGBhGU&t!=f?Wmy~CN6Zse3CG54>%p%f%&~sJ z$xp6zLIU8VHPC>HPFYKtR-Cr>;hZGTx^e|{=d6vM!u`DUPoA_FtTBZU=3A=|g~LVb z`l%3JvL0Or?y_}HCvaD+-MG+m)tb5zeg#(hhk$F=ofo0IZq5D~aKl>0i=@zcg2UiV z>+%VRdCNLk4dHETt9z*Zj`bSvvfZ`z=-NqZ0)!V z6KFf&UA?o_^o~ci#_aND+e+Du&aqAI25zpc-&cq^&vyF;g3Y(ZabOR!x!JQXu+?NH zu+X;sBDh7ia}MZ&ZJ%WT7TfCWgL{bWBd%O7v3=VdwTIgB6TyYq{CJZm-1g^GxG%N6 zQ--!Ivwg(wVx>*w@EKvd#iO~(7RV*N)i&Jf!F6OSvO*YXTfG&QQMNdqMA0^RJ%nCs z%VFxi&US4*EZ5sE@J`_dTM(!J8*P1gP&e6(OlmgUYIlU?7TYi`C2h3@@ZiMQrVobY zHe0X{Al9~)x9GRqHZ$f9o1Qc1owi>C(6Tt&AG`sy%a+Hb$9P*g@9plk^=1aK$2N=C z*j`%*r+WKrdrHCWx4rcpVji$H@dcM?JO2kD$yR$2YEQQHO@-wln|&Oj9JYym5FWAR zevV*AZMn>JQfx~&b311Hl6`WjO^#h5PqXcB1!20a-lu>J+iTwO$aaszV5V&)yU{FL z4IYjYwk5m`k!|zOhF^|tI&;%p+Z(MRJZYO(4mf3V@r5_1ZMhHOkZ0?djhJU_BX~}n zwQXz$hjX^UKOp9LTT3353$~Bgjpo~AoXTFbHI4;$$yUmVN}2Pn%Q(Eu zwO2F4WS)I2=k`JNulQKs0(%B~{e||2b6~Q_?l}nvv6pdAEU`C}07C7fAECZ5yLCPq z5pHks5n!49&>~d3+@6TMSH9Bzd4sM*?*1%MB4{)y0gW8 zuO5PJwcoJ=V(b%Z!7|qFd=D|V+j}q}-(jD~iTh6b4_v_9WnZ-n5N}`0PHwk-64yBQ z+S6VG?6ZG<2r>8DUwWtG_FyjJ9kja`GtnNo3%VrxXXD_PY_|-8@Q}Sfd#NM#WwTJx zQTt8irYZI&T-ZHk?|d1MW*>F{QPS;AxpAO{h~g!)(9!$@xG!?})CL#qsCfmt#g0#1@C$J~>H}_xV`K;T zg*t}$!ZOV9b~A9{jy}AFz0`3n6MoAa+t_2SbX?_W6XE#j54f*#OuGq_NJlj;OGY`I zg%CzNzT#TKTE}#*F0XSuV0W?6ahSQrCP(C1xNmlJ<+CGO9Cdm^xYe~>6T0KYvBPk*#!uVZ)=xP6YsH39n_Z4%*r*m2Si z!HzhZvx7M5nE45GDGuBj#vyhzs{>)GW5aB4X^tP+Ii@@I^0}Z4hvEXbjz5E7QtEKc zgzl;1$r%JIbJSo4|J+f`(f)LoQq2#T;xq=WP|g?Ls)Kf9+(J|O-|EMaGRZZYr$=C=68U@ zR%gyagpP66FMx2HvllzZ9nK%v>+f{-;VMp?Gx>GEE~h&e4)IQp4Z7XVb$ldekF(`q zz+R`T1w!w09vFpc_d7Q-e>vcM$W-&7a{$l51ZM-*m*{-Sb3VzronvFN^9)nzL(YDj z=Nxt(CUTi2s50Y)j_q#o$bQlkm+p4G&{=~ z$LsHev)^Nc&USvX6qY&8h3x!uoj+AWl#|X2v!OfXY+D`fr=63tpv!YcabA4JIe@wF zS!WL|gy)=3+9TL`=ZP-?7o6Xu!|%FN#f#W0W=ALuILWI8WT;v86IU_lQJaE=N0h5Q$IPQ=~&iA^(ve+5B1-i#h z)mm^*oImgvl{ggxVOi?zs{uT94(Ckqne!c_p%p$>5MK0=jU3$`JcaQ zwjEKXxbWUfTmi0^7Xi~;v$(USyE^ZKB4k*iZKq6E9jIY=#b%}szV#8r#O zZ;300|DqJ?3YrgHnCnY+7vZkuT;N;k8gder%Usz^HJ7_4FV+RXb8D_u*MB6Nfc z@29}^;Ch=q`)b$JkKwS!W#C$Rq-*5@K$PoSPKDOF0^Wt?de<8Ua2s4}nRRV+NjR!) za@GF=+-8^GaKIMVugr|Mx+cC3h;a>LX1vX{=^-p*U3HlZZFgz8G_b>!-5W7?x`qva zNt~qyw&-%&!l+zUhxB2`*PAxI|YD z^N=K0HLgP^yFTYq{2^CM-u*c2n!FU2M_gL2@*i~-@)lu=D{CWk$6T|M0I9C;PQoP3 z)#?FE(p~La05V)YeDdhHYwaHVuuNA?PA#)srTi%;T-(`sE#0o_wqJaf}BSFM?Va@RE} zVm@;n=b8N6mCl8w7p_#!gkQRJyL~HoDs~k=W$^oOfb2y9Y3@+v3jZi_lx$FCt+Q_PF0KK z-SxLY_r%@&2%yAWa~z=5eYiGicyQlZtZUnK68J^WtivgfDsVBaF=jd z%iojCzGsRjmN#{$d1`T>o$h&!9sCTBqdF=I^o-!yI@7cNbqHs9in*{m#}mczeXeKq z6X@o7KIQ?R?=d_>4MCoL?1>h5KIaXKg`P4lgD&!X&4D4*mI5({!q_0nuK}g zErQ8XPZ6gI%RC*qmzH~kMKD?Exil0e5gzAs_^tBv>IrU*C+uegi}cj02a_nzmno<{ z+LOeqc&#Uh>A^Zre;$DK9wUc>4W52n1=#3G`w>w#dB%N%C|f;^4ni2?i5~{xHqY7+ zaIv2Ljo`lB^9>)k*y$181sCTj>jsBip3~nz81Kn6g4^v`-x3acJv(C{+~@hQ4l3I3 zxp){32RtJ>rqCwqE5f#o63yauTDux9|5r;d86^Jk`b*6fGy zm?!HvAk}mDC-|j#Ch$jRc?wse+Yv9g%ewd5U`JSmM&jV&pmp$L| z_g(R*^l&Kf7@9(O%@gQ^@VaLx7Y%QC_V}7`Ps!VWQqRfDfTy00 zrO=gmMty}~&pfBt`9Jq)INf>S30V)$zuLlih%%*GuU)X5TCFALPSdI_VE;M2n*K0^ z0oC^CVHsF$=WtXzv)Y=K{|`;y0T$KOwVQnoCi#q}7}Jc?`wYYMAtq`}VvHuySdy4x zNsOl2Ycx@js&qt}6zNq!X#yfDh@v10*aa(~s3;vo(EG03d;jNq=5>{K?LBAC*?X4kg2rdy1qk-heH|!Br%f?P&_mtOU_t62l)D6@-4QHA zu<$mdP{IG`7Yh@-vkS@yK@Sxiy9K>5kRk7pw_6W9IfihO$qXIon zP;wFwFF4T%TY}&;%`8bUcpWauf=o)DQv`i>8+Lw!TOtU*(><943H^UPSed2 zjMf152{s3SuwSr(E@X~ivpZtu3Vh#(lqYDRRz!i|Rm!#x2sE9zW`%+ed;mp)$uUqC z3k3TBC4z5%La;-Epfli=3g%Naaaa)d27(L|Jj(?m{o#2`&_z9g3PFQE zl*a{Q={Kqr%%fHDq+sq#pq~;TL_nC!Ov9VwFvAJ5$ukjm7e0ef&dF_t%6i1 z*zOC01fV|<_)rerCfH2-!$X016kHw&Txi%1K@#m2or1BH=ynOl&|g>f3VP{Xhd#lV zwD?&-fqi8pw zXE#Q07gmM?JcMF12%f?VUjeoYcTn29Ll`pw!MugRR1NwFC#hlc6|VX3y?f#3-rxlY zk5UpGC|r99gdpKfy4E{|i)h$g!fCXIgb2Sl0A;9<^MVv1+`J!Ab_>6yE>)!P1*#jP zgd=D!(ZVZq?6F7KV}TSa{EHgUal*UwOUDZp<$wg?c&bT~giZmg+ejkICz7yfbw${gWTYU1SzWpUu; z3DfC8&lkQv0`vpIblSNJg`d3$C=y=(6)q*h*9C}jNI32pl%>KvN^}nk$J1Pn2&d7D zielrEPGGbp7xCcH{(R)uiwG4PHH@7Dt=h27LrI4S(&D!$Pvp>!jlO6W_fSrUdx8C4}@MT;nF4yr^o4$FoWK^Xcz9MSLr&0!Bk##3gc<< z?-Kre4N{Nr8r}1~!Z#;D>J#3-1zx{!d@guTgjs`74hjqIVT2)JcNdh;g!0L7aS=J!JH*}cjIl867MIT=TAwu*IC1#PL zcWIT1621He=+UAPbg}k`YA9x$sEOLA@uF{NjZ6?#(|1S|+36TCNu-*O&?%y&)sRv} zd+7y%G*KS)+0#V^YO!UA+UFp2rl^+MK3SsvSU|SuI4za?M3bHe2D-vy@CVGh|U@BY=iR!6tEEQ!Wz;;+9q-x@bD3xxT zqoOsGER=~DWq=i;WozMiTx7ik&l94beuJ%2bdmD;lcMi8fpAI`L3v%3Xe#{xH6rJH zz!}jsGd$0Vww!>iR`mHI5b8u;sfbxG%1nmxoT#uEp65lAXdS#DnnL~SOQPHr;9V9~ zErrV!(WPf_xhiTp0+$9+cMj&+C^|*Sh`6%iki3WT4*>J*HCct|*sk!&cD&YIfWcJ)Dau4@9X{uC|Fv-vm4qZKG`T zk;rub^mb8sAP5~I@hy0EipKo}UYAJn5Xx>*SQf_X6Ag@mvS0MwNGKnRdOrqzK-75~ z(i2fKuv#D#bc?v>?S^4j)C08gHJ*C5SP-^ z?)AQ5ckjmaX@_QCqSY26FSi>5?knayI4FJ3OFbpPMy*cv631@ zrQ$)_6c3B{#6vnFE?fwgqhiZu#4HoP7Y1dyxRCx1`k45$Gf-BDzogsyxVX&;wv*y_ zhXYQD58Q>VO6;tFXSKMGD)!T2Hyb=_#EvH*oe_J|g*+>sdj(J{ekTzF)r-H_3^*s= zNEz;V@h{5&7sP?1z`H0OX9rvo=TRkmS*$97=M`~bKZ0EqAE!=RqxkhT81|Yth@RW) z;wmbdZirJU54kCRj{XSomiP`W@VCXQ=qYXzzq=O7X7PkrM7bmWi+Z?s#Vth`p;a7_ zfMEB;tqURD7Yo&h@<2S{2e`C}%QpZXioMrC`AFML>TetXcO2K=? z)$|>D#p_6!r?L~uIPYmNc=A4`cK7w_CWbe>`bq{ zxk#o^QMgTVny!nh#FZ9KH%Uwo26C624Tj_?2@V3!OJe*Mp4%ldH%L1q`=}=ImNYDe z(nr!y6{fGG@-Kj&q;esY{*qG4Ujiiu4?_x)T=v2|cS>H-Kp8CALL0*_Nl_T0gh>7x z3tOmUHvLx~!X!To2ZT#TzXfHaB(@ozQIZ8z#6(Me%7QXRGQJE^_DH_U2gFL^e4&h! z+%1M@yrk$SNC}cj6A>jzq89^_B?st`AVqR|8z5Dpq}4l3;!7QgbcrAJ>oO!C(l)VI za*67rOv&k2;h8Pbj)AmKa_j+Mzr^izc;-lonjz&%vaW%iCsE#jlrQ;{cI^Vm$;(h4 zkc_Uu%!(ulqYj>z{z*k``JcPe>f&;ZiA?)dJp0$(wVbJSFiM0KG~w-GuR~C0|s+rAE>* z8oV=-YxI`FS;_Ao0BR*a{dZs}Sxrw>y<`RbROck_e}H#hGAbW2FG%tZL%JjxM&I_b z#7?Eq6^R>lx2{TpS3}t#2_1=H8zm<cY z2u+gV)KYJj{APu%MdDTu&pVQ?U*K|AGKZFnR>`p*z&*(ZYA?1));a>(CDC639!pky z58HsGk~Y&Pl6~W#9Fm-J#jsB$k8UC6Gs&B@cWjd`&4JBT>emi%lWw9ehljND5qO@` zS{q!vq;|SZcS!S}KTqNLi)u-5Ozy%{fy9&(hU)?MN3~vhb=~$CV*{^wBasn zanb-7lUv@I$jK^QtHwM!b$1uzu{Reed$lwPD_~>P$R9N=kKi4`&SHGD~+HNsygXx zO8w4Bmy6(XUK&p+&IRdPiGWMern|6RminIu;fmCs-YaR4cF{J`D6J0z{hD+OEtNN< z&mD)$P3epn@NP-B#3ERebjcymo2BQSp=^;Z`vabLr4y@RYn9HSyZoN?i!BKDKw2;s zQkyi7#(OB8vj@T2rJO&YLpu5hpi}yA4S3zs@FYNw^!IA;dZm$+c=k($l#V=>@>d}Z zNQG9|2BnLr>o6o`6!WQcWdvMYWUmfKux+x)4*{;ScG|hzWiGG5<{`s>Y#w*3>^(~P zx66cGfE}`BMDUhrs1@%gn?%pFzic6$0td)6_u&#Gt9c#c?Ue0#8xSn}fgZyUnRY%r zLuD%~zzdVTatWRhvRTvs+bwf`0WOg;)f-So%M$JYVq`P4pzo2*qX#`sW;g|xc-e0+ zW1s|?=_*{3Wb>$ro-CWR98!v`x(_aCva>g!OqX^2cbm$>D9O*1`O(gmC0qM8Y}vAH zbcVTK=0s_Ij_g?{q+D4_Ds1_({gkm3$Xfovum@zH(IqdEJ)=9hShhC`%7e1&hGxU?#Kkc!{x3lMhaf5?86}N z?#Yt>h3&rV8C5n9WRs{9)h3&t3Eo4Qiz{3@WcHg-cFKlrh14Z0u7YQ`Y@7>RdSuIf zgR)naMSYDvS%enQFFW}RE{|nrw}UqzGtlq%L^iPv0}aaBp8|$t@g~4iSt0E?&t#U( z@N|){qs-VU z`u`3Z<(G6I_{t+*go~ei2@UHn*HI%RK>m=ni9q>LcR-N5wie1=axK076e2&uBUq^X z<-H(;$yc{S3YUkhf=h&4?Eu1V`M=PPQF+NTfAJo4pM^Lm5PHzdEZBHNs{LrhmN-HKTuLkS zLHY00<1CTyqC@LL@+vBpOXZ?9fWz{sR2&?Ur>({}Ix62m?cp-{u^2$P{MiC1kI4h+ zi&e;z-5?#8&!iOmgxvQV&@1Ih0T}kATtyGwDf#e7K$ZMmN=|Cz-@Cx`j67=!q_gr` zJD^s6mo}R^x#1<)>gB(Wg7TdF+yqD$<$r#HV3*`8Z$P>#52N3`L7qkzxlz7o6L{C; zd@-O!eswkAj(jFH6z|Fd-v*&oUiTj0p8PoVp6<&}djKBDPb>z#O}_YDNDt)+1drsu zHNvx9o;-jk9rDA}b?B52(QVo#cc9I*SDsIgZ=ZbL87TYZqZLp-mf!pW!3N|xbcXUo z?)NpK49c^)n}yLs_Fpd=1K4#bGOy=M+1yA?A6-EJ{bN zDIOakU02+j2e_eFt3b?~3MXnS-%{MBI=o3SuLitk#h8N#)}m-y3h9pGX$0u^6mgVC z-dFU}3yu#I@|_^GDVBZ#+e5_!+Ik)-zNB-?c11E3KOKreTDrOv`0t)zaa63Nx2}2= z)8ZiYD*hRO)UVh@FJeAcgeCw66ya1e4=JWoqU54%Fd*hO<-l){T$PWrL2y&fSp&Me zQbNmxhtg99o~QDCKX~p?8ffJUQdZvp>{Q;RF8D5G@LjlsC|{;5AyoP2E-1s420FkG6>_Wo{}wtCb0~wVqZk*#XZQWoQ;$&L{`I#6b1Rvws85Deute z=ao7oco&r?D2u(Me1}3`Rz7zP(4ag!7Ev0NE+LSvDQD8xyrKNH3DQmF)QOO8DGMkS zYgXF+g=dR$(`3LMWz=5S?kXj7A+;)dC{env)Kk&>K>2e#=xxf9&!K#%EU$p{NICop z2<^(>Oi*?xr_nmtscfe;q)YiL^(T6jsz*@vDNmn7lzwFv{Uz38<;(=opD3fpW1vB0 zMl4*0l=VYUK2?U&{_spGpcT_q^-&ynZmMB433t_&uR!-ueMKq1m#TINr0uGyF(B+v z{Y`~~w`$xpjP9cvq*Dxk)dYGh0#rU!J_f2rO#&}SmEZuvPL+io!(i1XRAh&$rcHq| zO!XSw$>FM>T%g>o+UE#}Qr+5zn9-_lXzz$oeN68!?NKeF#4JvAniiyZ)!)k?C8!KV zh?1!KauSrus*&{iZ;I-J-#|}Q9eDvR87d=H<$G0SbdfVvVIhDl)#2v>*{W+)-0f5O zz6;o|IzXFEj;f1lr(D%%wUF{u_c{?JUnQwT=mOOuY6TupT^E8-sA{C1c#-NpEo;T9 z4SPU1s2XsCRHE{uSN;yE#?Z!Bs`_LSlt)$X(EeAZ`r`(a<*G?L0LN7O-Un2u+{VCm zTs2A$>4d889)_({{Y)=04|s;&c2 zr)s5}uU=K~J%XKAoqY|VFR0$2p7BLhqYly))ihej8daSfq-!caN;R*m$}#~rR7Yt& zyQ!LV8`3S6fx`&5RcTQmG^q-yk=3jkIUlwb)uQd7-%*WGK)S0MrNkv@RSnS5_dQiL zJxBLd>*=KFfokf1MU$%jIy@h$6kdq(NVT5&gYBvbw8M6&!s!X=c-K82y_h+~2 zU^Adc<@OXY`&DMz)gG&=sUjLst=k2{6V=;4Ksl(2d<~(8RFXK@o~p`2VSA=J=?HL9 z7texnn|cb>hOX+P+dyzr58nctySj#cF%NYN{eIikGY`VWN8PN2PtyB? z{_1Iq;TfQwK^bSD`W7X@LF(mnv39EW=RpcqXV7}ROI=DoRfzfmEtR3_qjK27)G^d; z3Rg!uLK&eJzJn;c)e&?a5UKu`owuajM_vmN5rXbuYeShOse{gnFABG{_bkEvx@t@fsuVos}P_P|!7c1nfvjC#gwD9@_5 zPqT1)u|8egsonkF%Hr>^@kydd0zc^Jv=X|Q|Q*XtbVT=a7FD&Pybc*xlciF zP=`@E*{Gg!7W8ZC?&l$0SIbWWZm4H|1?5fk?G1oiY6k&g-c~o1g4d*Wc?y?iwV4X{ z7Ih-EUGJzDQ=93odXRRRR`sPvfP3m70mi$p9#aqLfqFPqC~fKzPryUUk2>QLZ2jsg+RmP+-KnS;R9AHXhSUX4AUsv)tOh(&&yhgs zqPg`1lh~#?O*gcw=6)Y+ZkiZM;oLQ{-LQFRzMu-sQxioAh?gdlR)*~w-4@VyXkMV- z!CPa~0(>;jWdnRQMN~rhX?7VQ`D@A+f)Jn)jKBzinz1ur3(}mui3#r1RMRaLtkGS8 zXQ<|352P^7x3qAEYdk5NiO{4{TCrP`a|jTr$$AO&D9vB=Y({IEM}QZjX`woGkLG*I zsADxB{tYQkvw&)ncuja4f+c9?n?Oj^bj*QEk|u^0om7qf8X!$`CI&9)nx84L-m95g z3dq#Vqt!G^^C=zQ@6$~D3^UuWsha~SPotyFJ73dEyG4Pf-w(C}nt8imE7U0d!U#p0 z63TmuH6^qN9Mrt3f>feup(!2GbW)ymMDyu(z)_8=54JMR#~*`Ut})a>I<9eaN6ZtN zoWGzvrTH}kP_OBzfbyK?IsD(Si<%p*fJ>UC9hl2y&3m*(UeV0i1kbCQl5$A5H7CZx z)}&eX9iUlbq%LENMnl`+9nB_cGu_o}ypKt=YNV7M-qSqy3E;lQL=WWyO)~u|ZJIy+ z2JfNf9Q7a`Y2KfP(CwPflK>r>rPNF4)GU4#(4|q5vRhMh5YVG>H~~VhM)Wy?^=Xz+ zYTK`w@n17QvurY41~l<)P(IO!1K~NSk&Z`{AgkERat_^%1utRI4AHZ9?r4gZhw3APO=c}!I4&bL< zIto+r*ZMq#OMteG3co;YJ}oRk+B^!jQ@fp}8>~%V3fnI2vVO!2(aJ|c8LAy^gECBe zni|64+8-$oiO|;l0^V+I6+HowS_i7?VzlorhO|c;WCbBsJGuabIPJi{u*GZleGO%T zc4!b%qIM(gRY}^5?*Nju-jpn)Xj^G%PSu{EA16&ap#Z_swb{GjlA&Gc0GGYm5;`2p z)Lu)3XO^~*>i%r)SGVA~PdlFeENH)WYB4->w5`tra<$!u;F721=&UDSJN_MnF3|RG z0{wvY`5Z{a+R_<-gW8v=Ctjl6bq9n)+B73vO0~|l;2qXJkwSSyTSiy+sP-e8XPGu@ zG0vUC_Qf2>L~>n(m29+GZW3%i7njV}vW(C3N>()o%H3Y1Uq)OWvqmP5bRN z?MPasu4{W})3~9XPwU`Ktz`t@mbUj7@NR4O@E|m46DUP(*50LizD2uk7Nk4cZaP)C zt35)weyeuV2EaY-zICwO*ZxjDs|VUMK?7VuuWGehl{JOVjc)?I&M9pxa(Y7p!Cqqq-*A>3vL2<>Anwv za=WgDI#D}xy=wv9x@}a|`RH<~g7(#Yx)9)}dx@$+f1NwcIzV@xnofbbiBq5q((PS~ zC_8lxNrHi60FGN>IE&NcO`Y}R>>Gm8$uyCEJ7|ICUB^qJ3&ih{ki_~RM z0T89LzJL*;b>IBgG1INh0B?^jlq$?vT^040;&gx0<{hv5;SG$JpnHA+q(t48sjwyK zexNpCvW~rjC@DI_61b%6f~G*3shjXSl>2mJ$0O!`o!u8wo-X4fNcp<+l=&CvMp7^6 zfNqffO1V%MIUe*P-Bmj6EY`hUh$shjLb_%py5FWkc}VviO}eC)4x^lWoCvVEhH(kb0q zN*SwkZdORux@rEfoz^W}4ML4>doJj;IvqXJb-F>i1ogUZN;S{vBI)&+^E&P&jDA74 zVK`ha>Q+vKbV;{?UOKp}i=fWX72TPgfUCOMR310zy2rt@QFoU*JlAwCA0yax-E``4 z-q2RzJW{XJdQ8Yu7UlKjAXpj-bXY;C%a zT_HWxO{R0$N4hS$U)pt}sc7oZInwD|r*0K>ZM$@eH><0;ym34b|F@ zb%T_S4CwmlmU^OVqBi}YZu)=wgD%Ga!c*OMw5LAPji7@E7sosX*yi}kk5IZgzD-Ms zo8w2T0q&0GS1<_=N9$_vJRN_h@8{*X@epEecMLrYo{wYE$8hm=lrMqg=jieT;O{t9 z1bTp@2ld$l9e<1kJ=n1%8lJlxqZS}ah+{Kl>7kCFuLL2?aXRfz;f@unVT*L!|0f{E zasNcv;vCOp!j|YbObTU+V*=%M>5f79P-Z&HZXn7&$K?G`<~qhNgR;Oeo$Bc#N7v1O z62~vhupM^%i8in@$G-QVtZ@AN4uVxWX3^qNV(eHS+Xv^oZu`H+>7KK)=WZE(i1})VeCvPoVs>NS{pW zOR@eiWw8hK|56rIqCY?z^dbFrdLN}!pWzDLVf|ZFWgXEc-GuF^zM~#crXNe4g>wDb zjfidLUWBbh?`i?zj(+nQz+HVgt$eNe^B+UHr|-3bcVGXMet-x1$8_tr>0{{rY}fxt zzh9TWwiwbAy=yRdgL-uzq#^y^R7pM4zp@12;q^0J&yHggO)O$G1 zdkr>Er)qk}yqxl=iJ(l)@h$0>U&qyPVa34+;+O?16z~R4*F4> zo%+rLTAaq4z`NsAp+=OuPX5$iYIS-#0fc)_jZ_Lfa{78QZ0%0w_dw`y`sRO#(&==w z3oczwi)qj4c6$3axb!$3oeY;=r`dD~`kYoj2l}8>dOoBfrzqM*pE~_WPtG%^`{|&& z7`iTju+6Y;B%-()rtU`+H^aLnP`VplrJQz$;hS7|dK+#~)#_vT_85Zs8loRS>1TL@ zZWMn*Nf{u(AQQnQ&~TPRupq;S-mp-^9m*=h4Ba0fN~GaMTKS?3 zi`rp}HdN8ojWK+EA5r!gZuCQnHAGS4EY1*3uP4MC)*l8h!LXhdq*TKqN`KM}!4pA9 zH>7C*8HQ(h;O#Z6jl@8ihLUP{W*J5JC#5k0$`i42OP)XSw066xfa#X4WA}g`sE= z%Hsxk3zR1eaa5XA8lHAQdD3wGbGV!`yh4w~X~W*R9HqVEvcYu}rgX)ym-3gZhL_htYB1!ShSX^IfO6qmh5%1U zw+&wp1HH*Gnp#55h7q*Vwiuk#A>A>|jfKly!>=^mRzpASYWEBuQ9}O6P)ECcyFpIh zsKf9zrBt1U8p_$b42c=AbsHS-gV1A`^Bthq@MITkeTL=K78x`YQFn64P(V5DQ-ezy z2+s`vqxVrx+j8+adc1YG~T`lTd=W$p5k3bAF4Y-jA1bdw%b@p`*fu7 zM{4Xw8Ar9j7Hzyq-KH4hMB0}2828e`8Ef1qhD)3=pGwkXqv0n&ig6D;B&o(C9e8QR z`4$k;jc?Lha~a0}y#Q&iG4xBgWEwxs0X@g~B~==^Mhz7)dB#W79LqO8q()DHF)j(p z1I9_ez_ZZ!x)3poj0+iDN{pF*Kssb}z6Pi;&R&2KjvM1o!}Emk2KBlsjULgUpENF5 z4yZEDpi59~TujF^r;XR3I%Di^c-9*q)0Mwq^o@ecMPu{pfJ;U- zbv3UTUke5Os&T6iqy}R=UC2h`^x2?aHx_b`ZWvF|LVeSCZwGj{j5YKe-8Qltur(Pa zQGjOSG!FC@Fo*3&W;~X^hy$;VIAv=7QaQ_gTekm-Mu5NwyJo*t4A(<=JKLQOaCz%$IW zAONF>n>19GMVP|qRf657JYPVhX$CEaQKroSAVixUOoS9;GST_p9+SHP%6OBB8kh;D zxfO_#Xo|N&N;0KTiI{8>(s@UUsqT63QcXXWKuR;6n+y70(}(VuZl5u0jm7A{7OAg0O)2S3XZW=rS!zAFAl)!2|HX7~nx;{M_O{6!2W68fgSr4MrXT)A%sZy< z=>?CwrVP46T1_*n0QXHRUWTpBR70K*P5sN^^2oINZzwxVTj>YrG+C))?=}TdKGdpQ*DAgvX{;mjOejE6LzJHLaKq&u6B?e}cZvTuSdsyPC(p3#FS`PLGAV zxyA~mhdG{lI$q|7)I`{B-cQfU4)gS-03Y)%YIysar%@K zQD$2>l+otj-@vdj=A?&E?lDiM3@O$;lHMdqFxP}3Sh9I+FL-I@t#k?YnzQo(+2$2= zN9LH*<3Z0in=c|*p*ia%z(I4j18gN`uOpxzGB+zAm6|_S!*p(TIBG6C z1!bAJlS-O$^JdCsD$K(Vz~#7kCB2Ms!u*dI(n<5TGcdDLW_u7^s>~ZG0jV~>`5jzN zn_X$)tTB%%hi9$1loEnEvj=s8>&?&Ty@Ye-Gt`thZ!Rl@bio`=!7iEIUI1J+*9qWx z#r)JCysPH?0Z0wzY4m6}ntx3N+%T`01>S9Q71g&*<|wK#o6QfY_-Qd;?Syp4yo^@N zyJpodfDZH66$ssF7Ew;yWgbq2X1Dp>N=QBCv6le7<|ALgv(LPilA3<=h51lEHm`jS zFks$KOTv(Ox(zlLOVUn^u+8!Uts3r@gCn8zu?9#0xT(XpcZIpiia}D(y#=fcUml^;DuP` zK7caR;++C1%rfqKNa2>>8F&$vc&bo#TW+iYA=1)063S>xjz6Rri>e5)$MVZ_;KfG71U`a=vz($!^oLI*kBmRNdj`z%ur0QxN|YBE2z*aUz9i;$WDPb_YIkOnQB z2!tVvlQZC{W#SsZGt1yrfQ$9g-xy(=^|1(ZakUDlQRim;l(rXl>sb|)9@h8*1oO1E zQg-iUwfq6tVSVKel)ly#4)FA|PQHLB{?j#U#?K@6rKty7i_1!IoiFJ_9||`YF9!mSwHY z1TWkA8@&s#&)P<3iu#Vn5glD~V zVjAF_brBVC=dEs+0hg>(XqCEZt*0HY!K(TLQls?*MY(33OmF&Lw=STg?;F+_ih0wT zSqivieQ!2`-L}@h0A7=IqZD7P+3HRQD|fATDAQ@Ro}_H%fpt?4V89yf1ltqqxOhl| z)?Dfy3|UJDFo~zuWt(ApX1yT>xY#D`Moc%GQ3!Ci&0PfWuwBdp!P8b{#OPkOYqTYM z+x}ewx{oc4wlja*=2R$yY~!eZw#)V$wWmUCpPd4P+6JB?N|-Hq3_Qba&uHa~uswMI z+iqKKFnE!+q_5x-WxGQOWVB642TrlJ`o-`}uzf@GOtgL309%r+ZYDgFZECuLDYhTz zrNUI(X%9e}Z7nTi>9$r{X)|nHw6X8C$u@wOZ5z7*${brTt){s)Z(k_$YzNmu%D1)9 z9$sKu#bMY3w$f(^R%kO)p;BZUM~gtQt^6_IpzSwGvrBF7I6|thz0wcQ#kv0a_O>z*D(!!EK{{!V zO~weP>@Qz~XO%sy3$|+euzJ`|+vAP^YV2d^vYxRI-h#_nyZdUm)Y`S{A)T{7F2g_< z?cQskykdW!cA!T54p82(e@bc0P5ZQku-&r%k7}pe_NGa2X|~7SgtEo%PmALn`@LaM z-nG9-&9PSdXtLe2uPB6c-~LK3cn|Cw>1e9WK1+@%J+x;w!sU^@Gzh$Qd(4NBI_%CF zaOt#fx(VpA-_yg^ZEs$M5qj+HqoC}y&*$OUXMa=#=(oR0SMagD%m^5;XTJu*6T6fy z!JvK0e=Q8VfHvr-_CH5qF3;>`rvWa`H2^>78Lz^{-+2WsssYabP@^u;SuqWh z2y&i5E74Bp&*`xUb{@J6`Yz{(^n-^uU!qJr)cM?h>zOk@9rSSLxxJWfgtPZT@OC@9 zeF&FGXMZP1QO^BzfEVq&ik6@l=jQ3~jC0;O3R1lD)DeILXF(=h5}lW4fso{!Mmcn{ zbIv9xQ=BX4X-swYq_%mQbBQOUbm!kMVlElZmS#wMozD-zmg#(ylv&Pi(f*L_{5pO2 z9Ou21I^;S(rSvDy`7sq7`Oa24mMe7bSphic{Olf})OiKX<*4&FSfOz~^xUwe4b*X8 zQ?wQT#>9hD zPvLo!Nok$D#l~zxl-sOc4_iCicm&E07W@UIPR7%c*u_RuHPy{NTMx;F`;zLCZQT2` zGP-j7V}Kj?>e~Q!E{$4+9-Lbxz>^!l5#YrcXvx^lP1*-(2lorD0N&go2gLN@6x6Np z<)$&v{kVK;L-}*K4kwJHF4-1{$sp3lvo#HN6Y zrFH87cbiUF3pop2-6HNjop}^Fpt|l`4@^E_4VpJIpy;hszPp zhc<_!96tpvWt^BsFXyC`t{mgKsL@=(rPI%UoU^QiXC)WA3DQaK18KnDMG*t}`xGZ`?+PL>VhwULZDj3ou?p_I`XWSAW zfD7Nz2<0}usthBz^7AQucjND@!{~v0$@7qcc;62IJNd%z;1bMlql94>-|#M^5dKU0 zwxRra1)_xUE2xkO=Wo+oB6x!fJa_X|S1_eW{`+D`QM~*RJfnHlpO9ksb}3*FKS>Be zEHAMlW+88+e4~iJz6DUs|3Y`&L4GoA=Oug%HEApPL3$bbBtOLn=@dWlEkG4tLyvbg zAGa6MY5sTmMm78~dXMf5U+RiUT;uuoVY|-%Spw+>A5{qHCO9>8vPyG(ccK&DT3v}=$8IZbo<3mW@e6lY*T^-7*5yi)0@n=x(a#-31 zDca#%%IT9G&QZrA+hJ!1pwMB36}KcUC!$yhhBSA#BU~lhb_^3f$$q8c>@=%B0pA)n z_aST-*}nfeq-<~_SeID=rTSOcO{xtV*w|kowKA(C;2taGFq`{q8m(gwnQ#iC46tS@ zozQ~$2?RH8#YT+a&gpUx%!BK4h0T*YTmj{FE{YcKATGcao;$hv_aFsxp45Zg#f_GO z5W?-HL*P(ONVjGfm;DifGEx}1-5AJ4~i1Q{p%0H z9xi~Q#By&_!57aZe}(bVIhR)0GB}RXg1y|n8-Pr1^?A5taaV^RWpf|UPPdQasB^WS zbNB=?bGZX_nGbSZ^jnv6EpI|u#W~Yes^`CA-4;r6SmE zZVt_}iSu=aXEP`M641&mqa^(vXQjq$C-)kaTm#%AN}ir@t{VY^+=~&I#1Qw64+tLo z5Y5Gte{(53z4$lxVFVvOld2D2K8aGrUA&O`tRZ~EQ9vl)bQ4h`_#fzo+s)sn^NM)> z^$D;g@J|yVCGu~HAtmvjzKtlU{2EHq)A-ylKssNc1Z41l(wILiAyfeXJIqeCF z4q@|POL5r#8EmNzAqNpG!(q-VkoG!wDgl`ev5oM|a`-SDQntg-bW7zp=qXCBLlm7J z<~dY{KzYF7y>!4~hw%3QmmP>=Hbb%XD{nAeST8*o+t}qWNPg_XQ<(hO!S^ulAolKg z*mkl$x_?5L_i9Wkl=V>8FpT*whAo`^Nbi$HunKAe>}KCA2R(|dbA~dT)eeHNk2zB> za6hv>hbTF0fVPo5w#f}}goP^*?k##&ahF%h9iE6QR7x7S)4yi;bj&_ckk~UA>v*mqBV_gVfh*W!v?T`j~P% zc>U~4N`2+qxNj^91$HEWrIX~P8pB28%@Lw79 zCOlWI4MnuoL09na&5JEyZMi^42wUSK;N!yhLa4T}Kw2|hSt30&Zfy5Lc(}6zv~+r~ zL+Ow_*=0`6u^#d z#{2?Va0#R!c9i-JJDK8LxCFB~bZ75k$EfEO%Ek*I6|hThgK&Typ)9G8EjkEg88gg* zvYdT)3Cd%vo&Kz-hH2<4o?-WzpghYy{~pR(=6@2!X}eF=vweUvTIY{?@cCZ!Q+&Rk4yR!s zxYFnN>=I01LNzVc6X(o9v=6t^nlfqiYxw+V4rL-AKf8_3Pu#x6=j0``5cAVN==Yhj zgzBWvys6zVwSO3hOls!)`r}Lc|Kdr^*SCtXa&&;Ln+pGv`g3g{8 z{{QYA;Y#{L=GEeVpj|t80X){9-2jaXJKO`6E8AHBt~;Ck5RyNuq)j(~F-klG+4@PC z({AQZFTX@G_f9|*`==K!$?WtYNGU9cS`Mi!g4Tr`7MBSrmoYjS%3~e$N<==3o&?V$ zY!(&TN7vv7`$5cVjDc`Sc*HOdX||B!a4RqAK*N5 zmEtR0VENC1*TVeh_~s5>WCgE(I7y{P~{7EXz; zKZ|}2z5#3p?IeNh73z2ev001ZvXl8v#WaFh!8&;EV#UA0GnC;Rl&;|qlr5&Py0IXn zGTBJDq%$7|mwfi=N8pvRwRBW|m?eA)+fml|3wTw`Z!TP_nQSX;H7vpgxWuYyN|#xx z5O9U9rH8PA%{dL*9k%^%z+HAN9m-bb`yAjtE2Rvgm%aHKppSh|yTxPn&oqD=_t&2Q zcdl{@z=K;lgke3o(DxDC{trN;HZW$fp#c`?B@{i~0UxY1zd*Y0N z61f>}^liD`w_wZRw)|ITa_2^Xm&esr!!w`DUJjQ7T#p%2ITvsd(lKr?y_QhHMP@*G zoLl@1%1Z9v5=iGbmom)kJa;x3E*H2Ox&bb7X>EW@Tm=nunH!>F_bNAO9^fulK-apJ zQ%69$$32Mz+~;2Y7K8_!*G3T9xMie#$RUfPf?%-{wsubDkAXV4B+6epxd8@d}Jy$)BVi^GSZ@DcDZ&RaAdg@dGN@s`-~3 zU^~sPdj-lG-apvl{s}s(Y^S;$@&;=Y3M(a)a-o zZQ&+wm;l}_-f=Q)xA{jhD4Tc}`hLxP_E|(};g=@DV4m*y67w<5O>ed8@XCClOa`@x}tPr&0h!&24*Lb&G z_?%X^2d;nID!}KT3jz`3uRtt>!cBXx#|0#q5tPRef@twqWg98tQpX6 zIjn_#YbhPEZexkj05=x@!v8PbZu~{_YmP`jZQw->`lRX88A8^h0i3ti``Ky|x~^=pF3GpJ4N0 zmJ1++v*gbKajX={!mxO@{x)n0OivYWBJ=QsGKn>uft1YlcOzH|)5JqcW#?#1OJfa1 z2%XLfg8&)q1Nuq#vH}`ClYLE#UKSH10J7PE&tcogx_82JKbyY^${ZF!?cQ9r{!2g} zQ`2*m&pJ$SDPUhwg?)gz(B&^=U#0}Y*fx5Sp0ZUul+T!uenl^CFWqk2xpc~*cW}dpgYM1gsE6;v z{rF$y$Q^qJ1V3&KZD{`7i;rdZu+;d zg>WlsF}ZNg-v(P0cgghMtaj3IUmC|hzy#7c=X-!WF4_@0N znS1qbKnr){cX&SHOk2Qf=U${jy@M-pf;7POQnC7k8%as^Aomqb(1Smx0l|~keu2$bz?HXjoJLK7cN?WtydeF0ek^8v%%SEyrc~`v z_5=f+b9khKblyQtU;2WBno8`84s~>OFF8ya1n-uE`FlvW9jx@X3{4KfAHb#AA(ZN^ z76(Mjdkb$-gtl0RsZ=d6_|_4M&R?8fzxnVyh?)seogxZQ&{ES5AN#C^c<|s zDu8wKoNHih@uz)cYv)pETo|LGz?D7sGAw@V?~73SvyO>~7|7a=L5g6VRG-DOnyYY0 zU@u=r)Fk#9EfLA=_dfvH%s}bbKITJx^8GA@Act+GYn;or(V+Qk@-D92>hbg#camo$t;1|m{8i}C(%J`1Q%v71dAfE39l zAAw60n@e|iH2Ys4Acp0KAnG1=x&|(>td}<9IOd%WTRb~h24w<^D29~CI7+vZ*s9%t zWcK-01WRF~>GUIwy+?OkI$JOr^fI=KfmhDL$@3Vi)Ih3Wp|q+UXHRaykao_~Y=D|bHLOSu=?rtHmFq0)eiNhDvb}T!QO`o@ z_@s@!Uj@&HY}Y-wJYpYEO4iOgM?=}c9#D?Z%Y5l(=wq`T;4;XnW@DfsR!`ZpaeD~+q9>?57~=4~i5 zxR)uP#BM(lp8L7cA3&MIZIi+?7wt(z$>Z)+!zG_PJ_}IH^rk?v=?Hwv#Jf0I8dM;UPxo;Tl8X(#yr+81Vl- zO1*$#vuBQm?+@;W;kvk-+A2$YXb1RJ@)z8HJ5Lv7brUV~Yl`DwUF$Ul`YlK5pxNp~ zCAkap{RvLqY{hz5eAp|r7WuN)D0qjmf&nPQ*gsn!g|i`Aa^u;>b#O^w;Tyn9WP_g~ zRuZ#P6`9P&Qqq#bG8uTOEb2Qb)7Vwokki@MWXoU;l)aR&{4DSeG1Er4l(KoW4p%bK zc1R~#Cp8UEvA_R>@*Hba;ya#aRkVy>VBga=b%Xi+2$!2|gFB>KtjQBIyUjd@;PQYu z(HkpmY|1UTJY>0kpg&@7eUD)6Z0E~>4z_#>pp&`$0O(@T*`TPQUEZ3sLKylowbm$Y$RbGLVz&Z8+ z61g>WV3NcQodP|XI};3g3b*w>JX5()>I$TBcGT20Y}hybjL*Uh_Pn1oPjtLK(t8p%b}c-m4PIgM8i=C`+^sF%;x$^FQYaK3C^pu?hJj&l zo59`P-QC^Y-Q67q*TH#zztiTxl+K;|JokC-ci;Ep{E@YjthHh*E6GZ7a$C*kl=OF% zn6sBB%Fi2t1C74FA#jw@ylcD|(M{M5;RRZ7##?ZC0Js%e9RY%pZ#Z=dU(p$iOC@;< zoYFc*FSR{`=%I&+i&ywBmBEoz=`f2m*OF=;943j z3q1?Pcj4q*V-#u5PEvH44Q{bx--BalKCV)VcW1XQk$D!rzw)H;SH8!cq$<95@%>$f z7Kr`+YifX~R)^u%tHSa1N1x{ium6<7`_t(L2x+;f2x43P#1vbX=48ySXUwD!P8TGi=oMxThHZz%*jGdYKy;{3^%MpEzp)YuosBs#|#8jh0dArU9lOZ z>#Gk}z`yc-&JL>_OAgG)Yh~b88~X}4)x#zt{D))vk#4Oq-2AOQz6z-7jDLymU$&-3 zc;jyl0H?{KAW$_eOTF5x_dt9%zr7tCS{$Q#YU$3d)~e7Ch;2RMC(w2k>v?Xdn@CKv zOLsA#G!S}-3Y?Dg6qCFW*GsJL3(O&6Tr2p7isk!(GE4-ufN!{Pg|_`WpaR*PjED%J?!pe6_#H|-QX^7Y$ERH)HMU9@o z+$6rX17)*#wgqup#5YtjTg4E{%r?=s5>U2_30x`d5Sh4byi@$bN&GJHBqw<879BZx z-Xrd|0Ks0di`m^L+JA+({i0o4#2pYBlEZgUtfDpKkPuD5^RQUD8G%Pc>jDToD)Ki3 z-7%3pJK|o8qj!hW zK1_bcW$JKwYXay-$kN>69VxR+0~jS6xg)*NGG9u>jggO{5I9!ON(kRLnZ7Q3<7JT~ zU^YSK2?Vo=a$-jWPLdh(15B13DgsQAgE+EGl_Po~p=oje4a3vr5cZfEa{hIY&y~h)FRNrnt2*e?h5f$xCK!BOm>4EYh5hvdsg0EcBt4!uWYl}*4rDmOj><}tY< z1u&1x7TkO~Ap>cAJ1P564V{whu7TjREK&~yXXNw!0B7ZWcKdVk7`KhiOOGtzazQ5H zy7!{2@g4-1Z z=H-kh;uz8LUn&1ayu7(grX?omc^snJNoSc(c@+ML?OY1~&qjqo&M670g%VjsbI}@@@y; zDphkcz-ratI0)9LtQ;iPs(G|Au2UH(b?eooYXBS69~%(2QLUztV3Ycl7RSwM@VCI+ zqHH{Rx>eoddU?B==naDX>NWIe^hhzB?NearG2j-=&>i1}syIiA95#H12BrsknX`+) zw`?HuU*0b#LMlYG&?C+AWAOJ7@oylqr+ClNp_gcO1_Xn}wut~^#YXmtX`&)eUQZXR z{s86-afgfgnPP2E5G)eISb)Xi6;;L((fk9_UMq69LEsMYdOQMmily~Iw@VDq2j6b7 z?G#YZ@wJV5~(ke^Jx8DA|rUAYndz>4{{J7Ro8;&&09)kvD$Tpmo?vmX(@7g0sWenW_f@S|KzXE&)(81x z!pqpqc;_7Fz@s^XlsRkx)=qtu>nhItbf6)3m+qfh^KG!(=Jp$(&88})l zG@^Xr+i29{rPs|yKAth$YRs;QWVah-F9BtjaW@N4jv5yA`7vW}Dg>T425kYe3q~dC zii^g{i$J+$*f><&HkNRYQunBgaYInvBXlffu6a0oC*o5kNCZj2c~qv%-k9II}exuz;G z$73-D-vskO5rB#2VltbA|NaE#Wb^PwkWVo`+<|YZS)NmwX{HA=INhw%8on9kntGs{ zXjgL36?!^cdizS&Wv_Q)VkK_)eRZeUZW$^N0n4v*!3a0O!m$ z-NEIm8Ns>n@21ZZ1l~10C&PEo+`b;Z`{pPL*aLGW_dg!uy=MeIHv8WKcw**!37*f* zif;hko5MRGy$|LaKOTaTKe3V%qy8e)LV`G( zu?Hxl#ORvvjTZH&yQYef93ZEO%ijQVy71=maE6HA6@iPzH@5+nhzV2%OGQ{x#H|0WOG22>~vOQ*S|cNj%*Ja9MQVV&{%1KL!as5%JhLpNg5G z$jdVkk^%(JMY(Sf*jZNk0fAj)rg&i8Rrcg8q?;_N5H~=kos77FGAFz7AoYu}r{RFMs9{@eQ)x zBltGTv9&;VLe@9~a8gd-2G%K=nX9ZTvN;!dSLJbz4A$;Ch*A2eD>Pju}?59FF z995__eDjob2kC8B!)E~Hpt?Q+frnI% zv)B`pU0{0JEPQe;stArQZU?jgdPz#~&-lwgAC6c`XURc$tq2n+ftn zFyiLQ1WDnWC&x^LZ@xTH2)+d}QE~8GC^OUrSS#1ILEt)h)E${$FALWNmz}a1HN-9% zzcvDQ%Q{T~_Q>NDyS>un5@DZA8y|uDWtJ%T4#;0~BJQ9ZRUG7pq~yf#h}>KWap&cX zcVKowuA#N&qTGH2zS}a06Q?_JCl`^w%e+;#-*jd~aYuvTF`!PP)tuHrYSB}Kt{uev!7c{!#^bAo?dc~e82Q0}ya zom8D`0Ogdr$Su6nYAkKSXVisp;Br=-ZI8fnDkBHp^J*z)?H5$e6F|Y)<7|k#q~3N0 zxU4d926IK-=4f+OT{{bKO-1YmxUQhqAfKuh7Ol~vRsZ{-DR7ztM!_T_5m{(5RdnGQ zSCMLw2rtAI%{2?O#eA9~HZ+XwQv529Vw7-yh1hC|UL(AE`oRdVQTAJe)NJdI@2}Hx zE$~fLKVW|Q`&fj0SA@Ft`{h(_QPnvH|4@rls9L>v;H7pp&MfLY;5b+}I2&l|t-TJM zAD?&tr(^r>a67d=4E~+P_?jT-B9e2XyqgGW3gp3JAQ!kp#B3%$OhoL_CG0)`92beu z@xaIK?T>6VW9(d{0Gd z4(rcE#0(HT$Jskzz7Q|6Aj!9)7YF|SGOPh|IzTq!RCA!LnFE-k<6znkU7JfPbm-?1gP%Aom(J0#n15`0*;n-7#Da_Mn^bMnV_AU`k9c_QwD z?D!pgw`8tfh`TLCB_wo59v%qrRMuVzlxK1@XR6O-sypy~kSP=hI;(e`k-;vi_8J8C zQ^RJ%*I%Xe0T`fqQh|?BS$czRwCdXxC}Y%>&G1cA0Y?C)E0eaH8S1O5NOqBGyc%G! z3MdWCC91|K#H~|u4VbN0Wj#Q!LHTm^xlt|RaI{H%eHdV$DmV;azsgGA0o8}gk5lT| zRd6}2F5Lq-qYj-!cCV@5c;e=|+DPqrL;V^C%!jHVtLKrb%2Pv+m4o|(Z&Z8Ee&4DY zdlC0eDQ>2|SKrer(Z{GA2$X)tUaFM=hGzyOJJ6_65WX?Sls3rcSi@r^2*w#nihy9e zQ8hnMCKy#En9VW19t+I5MtY23I2>Ge90KPXV@=R4F!Jm|+(Ki~8u%6&2b#mT*l2eY zm`jXa4*`}LE$4veHp5;Iaode195Qwor)Y!OX|%45z+FZmnizK*lXwt&kMWRtZ?7?r zYvz4M_6z{~jkPy{a=;kh5vd_D9??|qegY6e#{s+1_>QE z7N$es38NsvNu$^?5S%iiI)mp^V^U?HJTvNEL)>%Y*k;7NFn*(e{b5YD;CpF!QbJxC zIU6Cp*TxHq_ZuTV*OYIKok`$(XLRCV_TDIW6$BrQ$5Rm3+01edzAonYuMpSO>{bkc z-OQ1l;OlO7;(V@$*_T7cB=aaOGLy~Rw4qHg?^D4}HS<3K$}}@*6TozHFU==2%%nWl zFw@+(3t+LiZ3VKl#4OkxV5!-+6#|!;Nx4v2Za!zSo6Ys_;M-!hr?qCQIjlKQwwakS zBE9YAi9JBsVK#}6z@6rR$q3wKdZhrf-R9DRh&ycdO9XJ<9MT8if;plcm|Zl7t_8Sd z7QFz>+opeAu)bpky+z`vRc9f;dy zo6SDG+jg33m}9mV-y!h0EgRROCu|KDAQP8t4;CTrvTeBn<`tW700OVt9wr9nHQSs_ zV0PVBiYvYwwvD|2ZrXBkEB==4TmpbUY?qw2McW~F_+Hr(aqs7~?fD6SH?{?|fb_Du za!BcI4Jd;Y`dE2*m|>_@dk9z$v%-Ey+;D5tZUm07?oyYJveK1A+-U1XQN)e0uCtQI zS~Xbc@zz)eP$pRIIcb_?E$k1JC05q1086bAixIcXI@S_kxz+nV0#{gz{6W6bk{qX2 zS*MyIq1Bd+2MO0$)43#BYYpN^xz1|Z1qAD@v+RZ&thIdrj#|Hbhrn~z{^Y>CZf!pg zaKnmV-k3Ev`Mwpx^+npz`% z=HYQf#8TJOI>nQyt@L-W1=K?h%ShTuYht<9Ho-HB=S>k?uZ0W zklyd&dmbOSD~`Sa=5sM{F9KhPPTvCM4{_=ieAs6_0^ch!o-3Qz!e=}%--xADi*JQD z*Ie&JDfaF6q5`|)2eD%eKxcV`db@{w!1ZQd**_7$Kv}sDcn+6ODuQmJyi^^&NwQ8T zFel3jQvl}5J_`{yPv&EN&X)&X0j!i!4H39XzGAOjE&Ka`**3X10KV-qXg%n5$e%fe z9FiB^;X5oRGA~Euah}sWD$~(|a!lHP0JG!r)=FSvqoF0ZoRpPmK{+M!a3OVCHs-;+ zGqS=m5L}WSsg1A5n-rg`axPWMH8~Dzm}n9Cnv?f}IS(PW&`^#@g~Piby&{2m5MI=e z_sGJ!O#;Ib0fo&NnqC~TsuiQAtp1L(r5Ys?;k$Moj!bpD-Xo-L$W&0)+Z}=LrVkdt zZLYZa*0Qz*oYqsq;I>`65oxp=b{uXOaf2HT-Ncm5@bwV+C=7$dFO@+#STxBD(jnqd z;+R|?3m(Mb`9yG8s-siEX%hgP37$C%Xy<~@q($~F1V5vN{!*~-9&`ovhR|nR)Xfsj zFN1Wp=tDd995MO>d~?NX+F0g^!<=j{74^Ikw^B4?_rE4Wi@|qYq&p1XeNiw8ilbe@g6u4X@^Ly^I7>mW zEK-{@m7;t65L@gC>evk1k_+J_^K!Q&yyP$VE;VF)Oes8T?gvKxMNL3c;5hqV!5-TY zQrON^i_GTgq}bsm_zvCG3u%|FaToOEwk1M%`92(FDm+Yr?~3O*Ij{6}ZhU{0i49bF ze{IB8dB^cA(n(d-NmXq{Z^Tv~F#&Fkbetj7O!EvlwTp9VTxVcAeAn%85V7?Z{*3P* z)BFyc`bD}S_NSX%fHc^d2svq3h_n8mw~Pf&qf?Z_Uv6=XXncwawaHJN!J+B=7YO;a zD9!Z0r z;sKS2LgIX(@KdYuo@#gls&%bSb=&}9@4aJ!dR=^5|}BjU;?1hCA2C7 z&9GWJ-8Xbq{6tn!4}K(2R-yQe9ZT1YKOamCHC80Henm|hYMe-7rruhNf#XF|YX}3a zW6r3aGMVXfDKj44d7N6bxDcf$&qL_V2PTD_+6BXcU#Yg280 zWl3#So5hc;X{@oc`H?NHm2oaVe9~FRogcpGt@QI5lHJo9zL+05ysYA|WE(1{x0Qc6 zKXPTTmO{-NDtAV!)hd4E$z;8QDlt^v%+~7l{P4?SrNfwNDF3Y1Ugt+ZHYf^%4l4)G%-r!|BHRrzyS`%m$sKyK^d8GaPZV`Vyv53^>C zJg6mW20hkUx+-H{D?6t3D7~LGnIG1o3v{z#&_=Ak>D783+Bjo?={c$@8{1)6NiPzk z7BPSlXmz@ZstpLT#sMO;`K(pWkC0&NjPs*Fh?V;~qYCCX(~p427^+YKGkqh7lc5S1 zw2C?-ixe``Pg}{LqJ_=$&F1n8Z%3z}Hx0>VDrzPRrPQSl+eUC7?D8 zEAvgJ5L(MhNUC-5|OACvt*y8aKpzlXQi7n zJR&g)ZI=0oZrQA)EiahY3U8Q7MSq4@+K=ipzv{?*SN35}s_Z4V$f?Xv)$qk|tNAkZ z>OLsHS<_DZuOBdn-%MqS-+GYqckNce{XPrvqcX37Tl?D;aO<>YiuG!+6hB(zT;GdS zzb$7DJJjcQ$AzGE)@%CC?}oDquEv=)#$h*oNH%xFke{@GaaAGppK5a&Yb&x z%W`E;$+F~p$r(uQl)#S0xXidb-C3u8MTrq`jA;icmMWqw%Tu-r^Hjbf+oobg=H#pK zOs7g&)>PH6S@IwDlD2kEe%HOny!?2FCI4wYLz*umZOf|6P3t!-c{j0vpocij_Ua|} zkZm6^kag2f1TzZ*#A=pzkjTu;4G}lUX_yFRW=4o6V}A@uanfWlfaGS*f0{C~Q+z8i43p&OqwCg_xRuBGLw409$9A zIg7b<-@~+%RA5{>Hx?sHW43d4Z{{NRD`vvKHM!(-BbS1Mm~^ojPI(@|I{a}n`PA>g zx^MeCIdm*b+PjSVn?7A~(Tvk(zb;LX;rgAL1TRoCVFDnk}AZJ4s5s zVe^&T#B7d|7g?6k@&^`dj6BAM8Y{Q6_~YcS%+h$7f|Zr<;hGyisE8F-B$ z`9?7||9yrOUcm|~dIz09T1ZS5Cz}_!=ibh$3>-|31!uAGL%(7EN_V5Gs2IwS$nThe zni-hWI*QyIjb-^7pCDD!?OhL$VIN* zaR?rur`S#Iy@iJ^Ud}}bu;uxY$>q(D?AZdH-$9v}rIHg-BwOjpWEjyHEodw6{H`!2 zx_B}lCLFFAkhfdRKpUk`K%TmxM~*FcB)O!PpP*fr24aSe1y^+1NfxmRjsPxIN_E3KY;rHgCsmHuz$UY@SGm)FO+ zmv^kWmo3)ZD}$bUWz=&o!!`HHq~~6lU30H2dhV4~&%LtgxtEWgd->|QS9U%3%Ax08 zIrZEtm!5l>dhV55&%N^KxmR92_p6Ld-?0RSAd>-1?st1ke++x({rz2J@*RH zbFchy%)L1AkQuQH_))k#VuVX-;fk+?D}ffSgj%=~xr7Un6f=uTqJ_)u5-x~T%q%LI z7A`{zmvRYL^0}dH=0&S^rkya{DKQ%TEiJzZR|lEnIlbDSBcbq=hS=7Or3|T#kQUxW=dc zM7X@%O|Sl&F)7YqMbCj%Mh`u&kFV$T3G~`6p>ATEZsJ7Bo>(`rYweaqH*wOqnmCzm z;=fqCC3iJ(ijPg4GFB6(iq*uawf;z>^+!Ti6Q|YsBb`fsq}TexQ|k{etv|fA{>Y&9 zM@FqbGHLyhS?iB1T7P8KO`J{Z4oZ5fF#|h+eyWx(-vBu=b9%EI0MCJONf|hF<0ce!k3u7LI&?@mzTanO^O-Ku|Jz zV72WG!gAm1tF5lZQr^AzHvJUcfJBffGv9g;n8D}ihBRVG{%4FWP?I5rJ}{(6Q-&1H zj&J9zA+M9h&q?F&qzQ1+1UhMg#yR=!j>eX&y*?4;^k1wGU#t%hB5i%}v}uX;*AnXm zB}a)>ncT4K_YO2vhP;LuvSu5Fa`bizVo81%Yp?@u@zo3odq=lqAl>jih;@$86SI6O zc^;bn}sfT*brnUvDPro z3V%tr9bM&~)O3II2zc@1!^oA)O8JVR36q=2&fi98suZRz@C`mJY4v-JC_|-AX`SGg zmF*2IE|WwuD;yt&)yL`1p{qPnnZEN6fh<=t!^-=P(S_r|&XqWsRUXh#$x@rfzN0jR zY!+!~7%@!S!X!4><@BV$>gq)Fvgwh)HQ1+B_H;2LL44N~(TsZ(|EH#i8DgpUj9SHK z(kkBOQt_F!iqGOw@maNs&!$zpk5=)%TE$yh#s4!?#D8WKcW4#=`4rKvReXR}@qt>! z2Wb_bPpkM~t>Q!CQ1Lk2w(B0G@wCYE65x#Z?FhhG@!~bWIdSSPz5&+5k41M#J`U;h zzXb49MA7$597>9~7vjzh_+E;N@!)$S=Cefa#my%GAH*PX=_~_x0(6n^GW zrKyesbeC7jtgp=L0C_*TkLBwx=aAU|X}m?;K$$ine1qg;1u$5aU`-5>Raodza?>$@ z(Xz}wfHATXIwn@^VN7A13}V%dmm#|WCdiR2`$U-$og3@-J4|7+v<|>GMgEcuzUguV zGq_BifFi(g)NHJ!HPT>xu9Y586F9s#<0-&;xswIkAS=BG*eFjX0Qn}lx#%Fk zX?dG%dqyTE!C4t~0={#y2NOCktFd-3$WqMwMd@I&m*iw-_p;o@I=dn}u(VgD7c+lL zrg#8wTV|r1-I3QAcvrS#Gv1SnSlautEi3YYtj}UTl0#X8kLB0Q*;DzPP5ex@U<%Kr z*I9s5A}guda7R&!PiUe zwgC)KQ{NzPsH({f4pYNur!vTDXko}zZMVAIrFHr#Y| zk!?Feogv*!wUpvEOPykunXR(340F^|O3z$XgPmlaYDCrxRA;0YZADJLct7G(%_Li& zH>o+ckFNry=T1_tO%XH0uQ{a8NS})J$A!v<3uO!yNwqYn!>{R zM1+RG#QjpN{{y@_%ek$9*+Y6`>j2$DaFcbooWVWnv9iwZ2%Ia6U4w6}%z|_2&di(> z?NDUf718w?GI>Kh=BW8h6k|=l6g95`d=R}^sXb&hl-@~{G8daH=O}irTzA>GazA6) z{kyQ#0SDN>0`Ic}2fe14=WD@w3%_{5~ z&JOXE<8-#|CXtK+uvx5T+iwvgh`CiHrncH9;uCBa7pT{E2pa`yr#M63F5zH>?G`hr z;r9qZo_j?;=JTjnhAOf>5bd40mU}45{iP2z!~ppVvouf+*$dww`Ibs+uuQ;$4UsF@ ze}~E?1jA%WrZ-$(XU82Ox84UBDG##7N68KJjg}3_Y>YgE#<0zlz0rEMbFvr|g>8sx z&lVb~sx3v_c-4x&DQYpBXQs;dCPp5d`+a7@hLa&%8M22%(DJn6K5NO_Hz1`^zb(Rd z$6P#`HCk-r;n9U+vNwF2MFU(@w4D@ZaHiYYdh#0kq5B}Vv&R(5V*GZLlmye54l2GnY`H?#x9u4Sd@!qLiUtPW(p35m(4Wnr&r83EZ(*c*??kl*9^P~fKEeo{l?r(iRfoLM2$Ysc8{_;+}0cQ z>1?JvZ#e4YP0OzB_XpDrY{dQ+e3l(0B#;WGz^|;uf;AXk=o0f?_$ot+1+s-hl@z@1t}~=T0aj}?iIdM9D&k}bN4M^5k>_hj0W(r~B4HC21+CxQ2R?!HNowYbpM6jJkiL)&D7$GVD zW5qc(!g#Tkk}y$}VXhX5+^oLEq5w<3L}X(TmWmC`>oW10*;yf)v%D+C7)sYFahWI& z#S3Qptyo00^G@7iLEnpbY|0O!CzW1jnTWaWB8#!nyUNj&pdK<2v)fm`XI`$!2x8uo zk|K9sR$|pXlO?e#!3-fGL1&epou`YsOkZ!+l$q$G=CbUA)c}@nr23I4b5&0^>^_y4 z1>3LMFrUX%IGgFX3Sn)YQ1hA3^XfbYq6=y)b9PHLp&ovxDzQ&|P(QF2bTK9}^IeVc zRHWUF+N{sPMp26RL_@HbPBSJ@fy_46P{`&Pqd1dUWQ4K-mKfXEsgE1Y*h5Ym7g$;6 zjVx@V%f`=?vFk=TX8yKOgi?0T7{E$?WV~P%bTOM!OuCvmS=!;I4=Zc5DJcu%%o-da zCYbMz!Z*cSwhv&MIglu`%=xU(xn@C@Z@zhmyo;@()nxGp?2}=MCiyn*LI1e&7E$_ZvV{O>Com*_qfcR z9)Dx*jPEjcCirOXOc=}DnJCs;II&&}C(&!+kJ}|l^;$TYYb~5yuZ2_SwQx$k7EYzt z!m0IIIE^-Urq$-oblTjRUYk2TwYk$vn>)R=xif<{cba-FoY4x8KB|&Qn>#b>wQv@_ z7S5{I!rAm%*hjC0ef3&6dmL+F%NNHd4Kwf7)@WP5rp@366dwsUs8JKR!6HB0{6!1E zEtu>VxP>2~Y0aWZhrxy zHh|tDQ$~P3Vi9@u6~|Kn^b?yh1N0X=O9D(4D^deY6T`j+m@ek{1I!TN*#TyXAr$~{ zMzJ#h&L{=~%n|8>0OpFRzXHq?VHp7Ci!_A+7KltE02Ye;{QwpTyC=Y6u__0^5|O(i zz*6y^n9D@_lmN@chh6|H#98KZrTC>1z$!7DMO-ar{s6E>^b7%5D<*XWSSL=Be7$%S z4zNMQXN7GPmFfa)6GaLFY!~%w0PGRxG65VE<--7OisX#DC31fQa9aekTJMPJodA9p zWmso-#fCZn_rwo*0Pc&lKLR`uExrPHD4MW#ABp;`*2kh%8-OR`1{>|En8Yc-GqJcR zz;p4eCBO^Oq!_>-;tb31Qj8+`JJB!z;Jp}J9^iurA?wcaNHu^i@)0Ytr_A0Bpr5=) z%(3zp*2FmZq#VF>+38z=1+oq6bETZmW?UtIVijzbby=TV$zXRkr3c z>A_4qmlkE|g}lQu^iuOE#J$x+KY%{!2$>C2?o55Sy3rJ1gc`;YO;S6?0!&uFHv*WV zDh>shr{;78n6J8x0a&0Kjs#e!^7{fT!hh`pELN%70W4AT**BJ|d-VX8sVV~jwyC_M z0k*5m=>T@9?2J34iuDCJtc-C0M^rCL!8s-R0GwALy2Di5o?vr#fVKo?_V7l3}o28wWhV-@QKw^S(_ql^ixp3%nW z5&&b2e5{FS#uyfQx{)pmzzm~zCV-hZa|bZXxYi$FnUTU9V7YOM*}xPXK2P|K9-48P(Wj&KnC;0NgUJ zw*|OuB%l=BF+92hJT+Pm19)cir0_jA?lDUrj6)#+oy~XzT};V#=x6q0o%J`f76BMw zPG(0RXbxtT4>F%nTF0A%S(FK8X{w8fW*KUfNoF@T&Rnx4`^qx&hgJZ~&4p~@73Od3 zlpD=StmI8*BTCO^^E3r>i`l<5z*ci9MdP3upWu*rbTj}i!i)kqYr5A3IA?ZB4RGEJ zrm){M>t_VGWfrEC-!?BY?uqHcvOhKLjRBsSSy>@3%ns!MKA5{GyPa*NngMjN-HZb0 zYFojE>teo+ltNrGi_&b11z?sW4$b~jb$d5+S*di*4gYNSZ_NN z46wl##jE++Qrs4tieszHK#;c7c#@Q z#oE;oV6Rn+GO^F<)Cpj}HJ+_)8B98ay*4FI~jEl&&3&8;>| z)ZJ}lC4d2Lm5DjfZ7WkBk8%5U1i)Cg!=(Vmxs7A*81H5l2bka%N-*1PDBEF< zTTM#jT(>qE0G7LrVg^^Z4QGX{bc-bUW;ekm-r{zV#oX#<)d1M=sNtc*JcNQ#k5&?^l3hZh2VR<8Dp60-SIw&!U`kyWj~@Rw`NYkgxqj*vPLyYE6R0PiyXB;o zdf^tz7W>03jLrDct!HU~UiOgc0E6uidkD1MyAHrmd+mMz!|cDe0T^x{!t#x<2i6Ce zVsA#3Fx9?+9cY^UN)CYO_QdQRGwh0OJJWua`J831z?{vtXQVEeV~+{}m}{Su2VkCk zEj#Rd`#t7tfjuMhve4d_xn5*XdWoP*r+S)Eh)mQ z?3+2DthV3n4zSmLt~bCwdrs=5{q`qphXeMV^#Bgq4^WXEvPV)c9kx#=<`Mhku>eQy z1K9w_>}gp`$L)P81DvqSZval(TQ&kXWuNK?aN6FR8tjZc2es5$`~1-W=j^K~v={7+ zvjbeTA7_O;v>&A|e`HVLY$JPN@_b?+#e6=sH>Y?%vrE?XdwW63(g*vGsQ@}V2C_eN zaZF_cbajlY2GGs1V2;1>$pO|oHnVqZaFhuL*yIT3c(ljyp*Fx?$19@jbKGHF z?{`F00XX2O&U!iM*v@)6KV_5cq?g5mgLGJ4~ z*o<($#X1}7o`t?~?#TiG#=D;(&k627vmz(Dx2+2>$vrt6ZnC?e`kmt5j=gxQd+t#H z)7TkCz5)`gFqQmj(>I68my#;6L=zfT>TDxP5+_#Oek+ zZp_*GszawkD86 zwRj@eT0HT`wRnK9V z@pO7Eo?frTJ@s1LORvSf^;$fGUW;ecYw=8aEuLAg#k1(Ocvig@&!*SnK6)+gtJmV$ z^;$fKUW@0{Yw=uqEuK5BwRp_65!ZPl^HT{>p6KX_W-4@a8!lbY?BW^uX`8$547nQr z^X~6z{4d@AKeYSD-S{zghO`JoH~-GBKWYAKHZxzV#TW)c5?CIoa402QS{Vt4h=eic zUlVHmEGb*S*PzDI^(h-*w>1>mm@a2L#O2DRFPas}hG%J;aliiN4Eb(ur! zGB0KK*18NwZKAKhWzf1TV_do{lh$QM+-GbuyL4HWkGd>tEM1l@mM-(ry3AMWGSj8Y zvTI$I!==k|YF(B~>$2Qhm*vsAEU(sOep;9LYh4zgby=X+WtP@uL0XsP)4D8J>oRw( z%R;m+%dd4=0j;%L;2P<^$hqyv7O9eA6ax<@1DLtn>6(?)WeCLWW~GO>L(gX9rZ-QfM452n=DP&|>t!=0hr2bTJ0_2i*=_lTagSx+wE(?U zcP2YhHDpm|s2TJvRSU>-pQ^VG;JT`XKxZKu{>036+`=^aibPC*q^s+YK^X>XzMEXtxdYEpzxVy;Y8VEXo>38Rli3qZ4zs(cxfyZgc!b zp1U38NpQf?lz|rX97_qVIpQ-*Hynpp_WO>xWcI+Zl{NU#QJ3J6V=0q;?D(A( z^29No2|aa8XW%o(U1s9BBY>=5IMxy6r6aS`=boFj`^r5#i}~8UA@lOaeJ@eox;JO) zT|Fifv%AMZ%1957g2e3U@e5Jda;hsXtgkcTRF zqBwV$a9!}r?!uSdg)h4cUv?M1>@Gk*e%W34vb*qQcL5#Y%kILL-Gwi^3+M>{jopQK z(KoNm|G885vSaN}3{{b)>BWcqR94sVFxPF^FUP~8t&%4G#K==yaJ;ky$6H%)To>sx zXbVn8ZNbT;EjXFA1t*KP;J|hj{U=7*v<1gUTX1~iu;5q^@%MuKw5S&OGf)i^)@W%tDNwgt2sml~P+<}p(+^p?z%lD;QAz}~QN?rED{c6xY zxK*Ydgd2IDZndyoaI42->^CzG!2R|CIehngC)`?{8C(15UbuAz?uJ|MDmnZZMz>)F zQvIBVRE_rXyQv$)o8>3x=KiE=b%#`~OVj-|72WnnnV(L5$iJ(o!Nhuq^6*8UVg2$r zAa6E&c^vS|g{ z>GWWqUauKE^_sy;uNl1cuJ8Zqi0>Q35x=U>5j}QBTu;l3LW?Tn=4aV6e9xd}#2piLZUdYX$vpthi?#hwq6^|- zQn0=$l664fEis=@rrZ|ymw@LZah^BlAB$xfP{~h3kFYDFlv_^I7HNWz{JF6XXm0NiqI{BIQx=oGBximsv96TkxDMKQu((9GPbv zd~@YjC&6W@JdD3V}yiftYjq>&&fUR;#X<*_}`RIpuWZ|}-sIBn=dW#R` zeX(`umCAqbDxAyU@%dFaXvBQ~x~*eo0qU*!;`wRJ{TGYlX8@LnK_>y0idhU?DUO{0 zSS3my2Usm$5M`b4KLxN}^d;CNQjlP?_=R!XL|TIFqAbaG3on8_;y0q~74BqqK&&9j zK{1_yM?`h{j*89408WS(Oz5Ntq3?`nL4va)J25Yba!l`<7|pm_A`vm~3O|xR5El^_ zy>R*RJmZ(=8P~}#&olnlpJ$Zeoj%dydGNPqd_nFLHSYTJ{Vyi#Xp{9nbEDa&=b)df z@y~BGTlnAo|EkG)e^ILOBJ0mX5f-m|4sKXAewV0q7H;W842jsx?=m)oM{CLdcb^56 zUAliF#oyxp{P@(-CsO?7UjA|%CsVopo%ix>cs=4DZ@hFaPAP7*<1*y5S(z(vTb;Q8 zx9!nOT4ukzR`unzs=s)xN*?O>NvCh;IxFwG`DIgf>$5EqH@!t-k86v>@i$u}?yfBo zkB?g<@nda~B#5;|l2C7vB+^?XAJ57s)>|a_e~y^vVv_1Dl4N>|B)Q%qNujq$QtB;| zRCmqIvqw-<;}4yFGI z)g2G`ME`rLssBCI7SozB|DI~;^Y#k=o9g!Y|E5~Ml)}b*BD>WM&`?Pn`T#!u%QS)A z8d92}3ElMpd=LFEs_|X_qMG2(C-LoZoWxI>P@lxN$DG7h$?&(X@*0Y%QY5xKVR|vF zK)TTbbbROkSejgWz3Ck>|2Uixf3zO`T#|?W zTXp=udi34K1o}RZYd(`u*%N)H;}UBfmn1G7m-KIRTr!uAOa4*ErHG~DQpVD8skDwu zt#w=i*L|QgTF0ez>9}-S$EDXg&Qt3+FRkOewT{c6bzDZR<1%T>aAs{8&Y~^DS+!+2 zo3;%5Xv?s#o|R|Ur%7_?( z$34Zr`dfAUYxBpyRS)>|8f5fMIR3XX{yjbV(J8M${YTY!Z_N4E>P~ezee9=lgv*oB ziQ!UY^wVmtYm%S;J-_RDk1v1EAHBN!5Bq!mPlV%7cVzX`Y7mHMT@j>p1-jjzo>udO zI*I-#a|^QMg*O{w9x%h7;78x4$0cOvZEKYQdWQFIqc2^qoARkt+4Z9jP*c$(oQL2X{VH0JK<5j z=m-1ayR=hkt)1{7M9d5lUu5Z{d2lZsEpv z-NH5WE!+h97H&eK0d!IZsPY>zQn20k2qB; zkwf)_{H{5b-``wf>~}#-H)z;{`{_VW}Ch%jg^%1uC$q}E7+NNdf$iZw+XJZZoXgeY2Dak z*NsCosuBUas*X8QTqKE%tR z=pMHovX*?TnCB){4!4*J;SBQIMV}V$U+sAK1Ip;)Apq4BagM z%$sP^)hwvKm}YS)3@C?~d_#C+L>5&TEwcZt!az+&KmPe=h2hM1uBD&mTgHf!(tkH# zXmK*NIN7u~foqI7A!{-Dw(I(Kxbh7x7?W=(zvz6&*If<&wjA?lX~MV^9c1z^^WA;f zr}=h|-3=_A@6X?$L)Jd)2CjVLS-P0|M&dE~hU`S=JC0|<633Nq)JJr_ll-|GWJs#7 z($@wTV&8PxJ45%q?mdIH?$GMiw}7MH*6+p!=p%B*+I<6@pP*eeG0c)PvTb}_Xpe> zP3YF_M$E5|@%x)Gd{b}NJxDBJ2?$44Tjp8$JzpNt9#=&%( zcr&)?D{^agoUzU4lS2zna&GyCJlj~zVW+&r=`40JsJoa$x*lRANd|~qOlh!K1w^W} z?0C@lqiQf>i>j$b)uy|crMnmeDMnO3ss@*+{;zkj-`0Mb@6TT1b9J%!I^PL&zCU)c zkE+2n(tLc0?_(Fkm=oQ_l4#YC)TJ7d#nr`<>n@feRu^;GOkI!jq*V4)ddBYhf05LB z#-1jw8GBkiWB-f)7fI)uv8VqyWA}_TWA}}2-HkHG)s3?JO*hKw>PFcQbn@GYPhz6JHdw~$`=7S;>j zB6{IlR4;ss>4k5oUicQ*-6%{id`tZ0!dGQ<{pnodPgk=l3-)NDts$_1#8}N@-mi}_ zj%0;HB#cgz@kf%Jl05=LU(bOZRq~%b}s7yW(_eN5nVE-Bce-2cf>@xBPMor#3XTbMEpHpbVp1Ut0N}Y9np0# zErqhD{A{dErN`RTagDWU{${LA>l$m*eH?4k#~N!rV~w?#4qU~7Yn9PkkG08N9WjF* zYw>D%%#<~g9&0n}u{MhyYqRRHHk%%6ee_uC`+q@4bPCs#bDs*=|2?LX78gFrcT%Or z6<2Iyj4#%w;;QgI>XL?7%$wQUJJ7pw_{4{syN)~De7lpw4fRL_x5Lz=a69(0;BJ?t zk^tM<`CKkz+zS~<@;~Hk4}h2QH3MJC38v7I-Y)7V#&uQe7}re&GlSh#Rg(8mHlp-YVGQi0 zp3v7@&0-3DR4_q5HH75-RZ3RK0JV~V1JzpQY>-MpE`!x5f*~pg1Ba@vte0V`Hq#rf zsxU7j)j^VvQk7YT(dsG_8l!$8!B{nkapTk-#*J4G>6@UY&^J-FVa_J0a59^$erD=Z z)DePMD#y-Gv6 z4eBXTHmYEfZ&HKFbF?^%xy|AgWJ_466{b78Msq5CeK}}1GBVSWo171 zs7y?KuX;$|K9z-Z`&A8s11c%OK~;tXhtwEW)?rnL$sSRc=sT+NlIJnChzT86-xBkL zN z*f@upP)l=|R>_}T_B7`+#O8m}qfzlpuZ=9OcY2iFmN7YNHQNN1C(k{G=dHuy`z>R$ z225q)gC^7c=@K!2o<_{ZfsAcdj&;(q3-B?5%S!iMs!A#0vbTOzRpy^mRRUqAOO*m+ zzf(Uq##J9Z3pYh*D%50U*H(-z_CM?Dtp%5<`ycG-VM-9Q-hd_H-#SbGi`}Bg6lRfD zDN%6sbxLhhPNi+iskKd6+qPm(kfqf&<#aBaa{9Pz%AVS$oC-E&FKtuy);8q~+NPY* zWmC@dXPdHDTsGy*+NO+U<)`m&duf|;mX9{&toRStOX4jGhzFbVpds;Kvz_3oI74tv z1f>PIE)Jsc@%U<5V%`$x=({bNr3Sbo9x(8(m`;NGVm9L*2w&1Y5}VQhJQl|Zo``mg zdn$U6;JJ9jz(2%F(!CTm61)|s$n%{@%((X=l5rn|4+(n8?@2yTwnm&&4lBR-D2J+u zq4)Ka-W4=si=v*VT6)*zKU5S`#IbQ1^S{o;{$CZtAEr|PYL%l~=M3F8UDLsBTMyqx zyvh|yMTJ$4iYV#r#kW75zRjm?QITDHZM^%ZeSSiXc2G1{_la0R&xfdplzyBBR19hn6qt>)pOR z;)wA{RK;rHaYvM_TsiKDYE^1h2#Y(aTttPEzP|B7ON2$0Y}>M4^TxFrMK*6xKdedP z)=`l`QDI>Lv5FCo@u-TCrK0LJjaNA`EGn|rFHP$;uL8GGgU0p4o7Za=h2XGq zWur+TEU;K5d0YuD;P*sYE}sgFBMg?Mq~t=f-qlHrQ$K@F^!QI zRqPwDPRmA7b!)Y(^|3+x{6P|4Ja$RQId5JF2@7AQVtD01y5UtyM(U>1e8a=Tk%dZV zvU)8eBCE8l)v{F!Z~7zrt9%+3uS$uCipUc|ROGKs>y@bYqjz>+Z>PU_XqA$_@tE_d zlI1H$m9Oj<^jT^1h9F12@ggJ2qvnAD*S|`vLIybN6hJFfzigGAb#eMC=s&P?T^KnWFDRiYO6x-w8xkBTGk>C?8s-a#V!~or#Yf z%qh-JVP@-BDIF0W85LThgkKe(DkaM~CE5ugx6b&V8vNKYv~FF$Dp8f|wW!xJMi~DB zr3w(D`$_rGsIbzZ6)Kc0=Mw{+tX<#i7R33fR^!I?8b#%e;rmzdet#41|2Odge-j`0 zH}OG#6QA#I;)78w6prF0A}dFgh%6OLH1bkTOH_yUs9GT`GNNLIsPdtaVWmr!aEgY1 zpi7wrL{$h4D;t~I529BB-7Frfv`Skj*09oOp{UqhmqO~4)9`YkRZ2%ytP}~<#?>oV ziu$boMx*-1s~i>Z!i8mLyHT)ozi*FbN2QG1ojRf#HBvRa_CbxWd>!YY>6ts6aH`J-{d znly(>soko6REg4I=$Bzpr9;a_Mwikrx^#bm;w+?dyz}#ODnq|G8X$Twb7BQKk^XuN zbB>AuF_jfsskoo6K-OLkG)Ev>K3Y~GOvPI@Z_%<`y~g!h{`7w+d)MYRt}RQ@KZSiO zx`Li5-ylGWl%}gI0#6cG00ARGQMz9cv2QdY`LcAzt380?|t%h5>itk5$pOt5x*$BpAV7MvT~D;~Z) zzTa(uKxBX!T0cD!C7<<2+r`7}4!mU4*b(8_`3k&bxp{{T-Yx-K#|QQaUh1vM9Clv4 z#nxa;u+cpA~ZL1d;=EfZ&>V_Xe38yw?i13rEX1j`&b z>73z$3xAnW#8w+M0i4Yzqx=$ymG7~WvoVP#Hskqmck}7U5knt|pb#Y>o;XI#aF#&u z#CmJOq-J(%NZKhOke&kV`jg8wxb6slGYge?MKPt=-QSKO5+#`>KKKCw4Me?PKYkeR zp58xx{QUgz_`?>!GCDokE@q^?y~$6gcFv2y7DL^y3}G&h1M{j=S~^dm*=aV;edfUD#h}~|l#)Iu_2uXf>aNGwm0@N>x*Vx=EXK%K> zM}I%M0$bzyDA@i3@*!DnY+8v=rMx8(a)rY&Tt380dnM7R@(#k0js19U)F{NGePcpI z+BYV|q>LS))ECd8(FW6oT(+BfFqcZ_-U9b z-TR)s?tRZ@_g+B26n|L-%!FcWQV7*0N{4{!&1g1lAWaV%9Z`&0vx#Zz$zZ%)PtK3~ zhmX4t0MP&IaJz+$6l@sU5kHsUHd{p`M{n#)^-BNVe zg66L$+tK1=djaMD5+dj5;D>Y2RI0X{x6{dDwRtyxGpAH%Z?FM|!H^Kw8HtTkEKL+W zo=FI=iQJxG4Lq{q>lAE$y2%plqhp)_Ob)%g2;5I1@OXH>7+pbkT!0GGBGTSt(oJle zbEtKJ%>#ME-^@_#4ir5aX;zQmKsR?_1^pMNKGI?C)O69sU^M7o;3S5l?R@z0`Kk9} z+t_Sc6!>G0AI+p}KuKSZ--U3MD5?#0UUe*+O`GcSW?)wr)N{Cy%i(pmOp(OMp5&Bb zlOf}{9jCXYfoBB!k8ubbGnDPcVnjh~)G5*itWeqKkq)o0t5AS0&*xBqexNmiY<~Li z`03_(+dKWm_c6f&A02qo+b$qgW~Yb~Oj}NvnPiZo6p*GStTbo=cMd;Q>AL6AbsY{M z1?wjW&(#W|?TWuc#Y#t}aU&C=AhN^6u~`*q1-?omoY{1WpFs?YpHG|0W{HyI-i1`D z;>~CiK7_ZuYRG*=^9r$*Iwmmjqh}>zRJBC-yzG|UI8Tl zCP0hHXg*j^#s{K#^BHO0XgZlqThx;POh}P&ZnPMKFpp zj=#cJ-aOnr-+b7uZ|*bM-Z*>U*ZO<(FgIQ!LHW^3x)!@<>fJ=jbjxJ?T1 z7MyB&Wn3ga7d_KgZob^EZ=QD*Q7H6??--jH#CMEMg#J6m_7WfYj={$PM3uDy4D+iy z{XdyX(M?WnbW}F+HGV;h&&#_cjCNO0gVcjmTHU}?U`VL(v+1Fe!9T??W z+&saAQQU#<%aT_knu&%d>%_6ycABDV0(--dIRN4Q{a@RLEPQkXX;_qEpTDhtbke@P z9$+3Dx=rVk0Yp34S`X<(1*9KsAR=U}ZN}%e z016PF4equ&{QBboNXEbX%UAs0Zr$iOTb@9zy;#o2CmAiVM*8ry;&PZ7g(=ZONQ3a? z%>+*?=7TpAu>8?v_68P1Z1M7P3?Cctun5miV}Flc`m-c0jO892!xu7oEjNi7Lla0& zNzo`)z)g#34d1N>MTq(_2((x*BW6y`nu_%ykp%8(_^tgFfW<~U{dNETx5tP3hc8dp zHy@v2{C=Vc;lT5)(S|wzB(u+&S{5i!rR~x&WvK*Vz-GMV+2CAGdnYgS<;_>~({5KV zenP78jdIL|tRUtbhV{+1+adC1cvWEYFR5q2L_QeKDPATw95*+eX6%}=9`JN%!NReG zU-p8y<(I&4X3$T4gL0QA`!2(qw(s)1*!%7I{$~%DYiO9AQ-i*<_wxR47t_tYZ&TLh zb%j8*w}p`%doIu6sM&KFyJlvApjoTMWN{3EZ*ejE=W$C+bB*!G9~P@C^SNJ4FBdZa zL(PrRzM6a`9<=}uOT)-eDx+qkxg59UWQs$e-fj6-GP=cTyo8Y3_G3)=MPnERZ2P+< zVl+%GfsegOJ3{UGU}o&6LkgAqjCunk~4vsk&)IiI9F)qfMLzH4r6&bZY~Wp(~^W|CZHugHZ~^&x31nCaC@7q>xU_* z&f?8G3h#Ozuw^l};EC~78&2^|+BA$rOfCa=>vPAfOqhW!hd>M( z(?Cf9f~G@$vQ-R5_F-;Ha06oIe9vG4ac6ElyrK89Bfg=hhf}yK05!#8=pOmT6d`c> zG9cxxGEc@<$mDPSm5U_|z~}Sja4@HvWoz+kjK6>#gRry)`pfm3@q-I35RLWt$L{vz zXe5ya=RTZ;0XmVg@PiEQZXQ2D-T8f6?A|}z-#+DbkApKLGNkKtQ%RrjIUtNA7j^@m zgUhnDh$cn=yrB?$)PVi2Q3>#;Zm!9|w9(9_x#lEGlXQ-CfAm?)vL#0o_qVnYX5j1f zI@A%^fOy~8?{afS0>hrB}H2)Z)Q}=_! zyt!ImwznljjM}!imIfXgPMUxbiP2jHHXXY{+tN?@g z`I0PsyBSXkfOrI1r`@-e0eZqbyPj+|;6R9Ut45DyE{hazaQ+P3JOXqqw!i%K3{LXp z{@FrQ&unW82n(}$;cG8-c2crxm`s~LR(G+~=>!>_c4po+>j&B60>PFETx~|Z?LR>(RybY_fngrsq}`Xe$g>?6r@; zm9~f%DZ_e`B*P691p;htiWJ{aSufArh3yk50d2701R*wo{L6($@$b-V3NT^W3()*@ z4H3FmO{+(FagB>yEPRcaMGBL9^jBT@D3KQc{Y?^G9X0C-Suww`}pRs0&&cI8x}rB|NF#qL;YKro5U>(eZBO^ z^x5Nhpikxxf36<>+&wlpgrKZ$p17EYx3mHgv={gTmc?o^p_*bZ){wqhq*_D+UjQdI zBlOh5GJ2`)$4iFR`$b#^rl!nfK`5c{%eaxwC0ben4JgE4D;D& znZaL!=R`lL8Wx)o#2Fm_LH~tmITQpCG&CN(nYVEwc%HV3WDwDulLa$dTKt-rA-l8a z#gR1HehYzOhd3zwCi}^0H+UA+4 z+el&jU^AV~CtC&`!mv;9!R|4kg+YV?-2ERu-|qe(WKpc&r#&F9Vz2f<=@*t~S)%Y4 zex;;jCb~>7&;_8T*c2d15cF_`9u6kLoIx!RHyplR^^O~RSZW`@Q*1A>HF-SoT0lWE zw_KAV4rladjAwwR?ZL@$zm^nWQBhlZH?CHO;jey}thUTVfXJ{MVXFkKhU!zJ$U-<;qmzZNv7iQ;LDKD>q#ERE!ny^u_TZ4wUK~O3X^s=?$FLCtSBUKFq%$5 z_(#(%qWb>4dAuFm-Q~7|#KROxLP|hOD3S5^YCac7E0YToGYsgHR0}{Svd>_wnjrUq zsbrJ|?3D>9YDKtoX%SO`r;Voc|7Du2;= zJ->$NS-&a;sS(E6KWTA_BZhwGX7|6+bQ2X^$3^FjiPF9-v|e?mE)uEwm=0~j-_bjma9cjlS(0cq6QwBYM2%Nzp_ zzrLD!_D7G=eJw~%?2N~P@h~|pLx+qROMi!8!$2rYEUa_i7odcAEyH$SOp%^8o?I@Wh`3Jm_miVu|JBQrQ!uBKm%X#z?x&L%Qv&FVEj-svkc_Z%F;@_1 z#2~e{(-0WX8y4&J9FPg+3url3fHs_)x=t znS|9E$I^Vjxl^w;}42#9STgK$Y+ z84!`r5BE>IZ6Q9|9tzVis9H78-|fP24gu}b?ZS%GqZJ8CxFz~Vj&I|t$g25Zqy8gv1 z0@A}{lbgfL7X+X@R`=iP{)(MP8mOIuZ>&kzHO3kygLD^DCj{HP(Q-5!LwHtHPecOM zVuE~7VkOM%w;iVz~vac@V=W@-&6@ssXZj&6umj*=Fn=ZudC!zmjs& zYv3sllE#Z$0Q>^JubaPoC0&CyUv^L59(BFDz5kwZo8OQ`{q5ULA3uJ3P=Nv#kKZxu zi&mNA9WOd~2dI7}7AGr zwP|%qqC7-(R!e~^6)}0+mYIv7EX}kgikN@)tfk<MbRd>PBk$hgt@330@0B3vv*ZuR2}3*DbTYA;i9)l z5d;Z^N&U=oP@0h%>*eklECa5zp4l8FC**ZJq-|0VW-kC^8X?1_+>#DL9haGS!(D{a z2D;b)m2C1r4MH9kEch~E$7=Af(o|RBm#VS>`$jXav}1^YrSv)waU?6XWqG&h26=&z zUg*7cXK)ijv2jN9rIf7c8DyyryvWj9sI)w;$sfD>XE%inQU4-L3hB%!sTukpsPu%s zD0pr18%GMQ13}R)7G~==S)kKEa`f}JZ)J|0U7`%oa+`Osm$IfA(qVNQk5tU; zjNz-J7`!9v#fnZ6g|lT>3p0YvtY;SV#)%T@h~Y8H2IZhbYKFl%pmH?oU9S9rX~$^) zv${&dcbkdacZ~Y4&kOhIACHi;Z-+WlRk7Ry#Tf&!JjX8Bg+J0}9`;F#RUn$pvat%1 zzha?YZKD&Gep&DS6Qc05$OgUl)Ai)%Z46IKrlE*}#y`d^#70MOeN%`r;uLbLdj3jj ziuWRUb`iXL(eo?e@An^rhUsP? zarGgnN_X?+jwRMel`j;R0ItzqjrcfLDgZ1Ty8(HTv2G;R(2{oB+2o`S4OpG zsI)0@AvXd-6EAdQgo%Xqc>2TGFryF~;`*B3#h#K9W;a ztBPo}KYicK9UcnHSkhz)OBh#GpwAJRLbTMe9^0XY0v*?Mo?#!bN<6(h6({-Pp^&`Rh6f!%p+ZeVV8wpkkas8`41sThiiJh{1x1o~5vwBCN|EU!H#1{_^zs@9)7R z#`P>S=%FZWG&+3$=ApSz?Sr3#`AaC(8{T4ekuB!Es zPYhAF<@FXi?_dpF=ML0U2RiMTzc>$P)z#M?;3DdtJag{U_SlY4>) zLM=zR4}^m=&S(O+*gdb))f&eY86*sZx1G8~=wUO>Wr-Z|`^IiuZfsF40!f9)A6p&EQbm2k*q?3{RhM<9OsjJD zZ)8~|Z!mxcA<>2bg6cIANbWyOZa=ijJ8(X04#>`~rj*2WsR`D=CH@#tA z7wUP|Vycc|cLU)+z-sd%$8=KM~voC3LxUnwpglLMCB*6q!VLm8opJZQ2pf`cM}ucj;%A=aa5bs_y)T zFr*2e z9&GH>t?Ph^48tP@wqYA3DQ+4M=4l*>ZNQJb+N?GO$RHv$8XIut;&y`*Sv){MiX$e2 z=N(GSc;0PZ5U(n(S*laN+t|VBfoyXp!J-H6-|wEDEM~jC5JSii<4;Z;<*7)aGUR~~ z0KL2X;nUOZy&#!J8cUyO%DJw8%b@@*lOg*V^c8gkZLaB$z0)wy2+w;~388e=uNEmF z!U=%`05;ATu-Sc?VV8|2<#12~M>@gS; z;}n7KT=<2Bz4U_-gHcR}o%l-dRoyW7q5>d;WJ}|d(5bQomk;ejnyuJZ=se7wpPN&Z9URF}qB}8{R#<|GoJ5U%M@fbVO)RNptaxA$O$Yy3$5)GKtUB*~|u-pNVxv6uJEM zE7Dp}&HEtcy1E!_`xV(N?v0%RZpB(x$o^!Z9YC72CKrRqDQQ2;WqccZ!|b;RWpUKFnnSRplEp9@j~=MS8fdU&Ez~%;o87VoPWi@jP?;hNJcn}X5Ae0O6Schw37fpn=a!RY1N@j>SbOXDjs|Gs@^fJ2$ z?pFm#0D21|F~mvy5LV(fpk|xNgRuFzp5{J+w%&(Pl(jqrl2&K%?^#5mms1nnF-{;1 z##&JltG!&KP8AZ`C^k+ti$^9aV*Bf4|0YJ1c`}g!(;jVemj=SB^(LBQ_F0}mWH&_q zmbBoq(XuE|ZMzdfg%=oNVU=F%CL0cu29=PhiI``xJCDj{YyD2TE81w34{9nJgaOc0 ziVZ5}Rxpq}%Js6hjuB_5hg{8wse8Lef&2(wpxxu(4v9*;+ZG5?Mc{K;n&Yflngbz+ z0{0)TNd^JI8sg|oH*aGO7YZ6QMEPw|O9f4@&=!My zn>{5?yF*Cj^L>zRrr#rr$8ykOj_IEpfxD$nW53s)WO|E{c%qpxh{$S%G5? zc6Ewe0J+Oz-xC_zaZwM41!Mc`%~K-?MliY30b23L)=pxR0N#={vn`8s1xgSqWQCd2 z-6!eFXa-B48;V8?7;q6<0m&_^sHYehOV{s~&=ni-hS^v*ztB)ugv}||Zl)l>T~pa< zl+9`H?3ln2`W@Vf@@kHENL7tC7QLeo-Aa(dH{g_nabt#d0WV&>VvYaR9BIZ!KU~0O zjKF1b5ahhhVR-`-h{haeo^m;@q{y63QYyZ7>X|7DYoFT$~z*#u;uKz_c6)?t|u zc8@&+>=t_lxQyPtNV~(HSz1Elz8f&%vHLo~hQy~{G^_-sk%#Dk92u+h_<)SH$jlQm z;Y6R4la_u1GGV%q%mv=ptEIV`JS{%4UF<$RJpQE|I?A)H8cOVUA>xxX66J2Hv|xi1 z%T`4?B@GZXwJ4UIbp^0o@&>NDVvpmeZjA%!y1W9JT2JT)+#Y_q`L|CWS?jR!F+V)O zr{VR$*I}7$=inu^kB^&rGohB2VWUVinf|Tf_!qFMhkaPZu#o1)k_nlBD}B3hjLi+w zR>DT3(^(;Z%N%kvw?simcd|kP$&=dC9Ibu9UDw(ie!>78tS9NEO{u%ztxn4bWVsX} zjr!ye;Q^bvm3siYDb4KFk7#V?lxVTKetnhH+;U1Xl~BR#y*=M$w}6HV1!NRv?9)`1?EAA zSb^oGiL!^ePG43E%XeYT;{Etlf23Pzp{6Mu#^Eefh7(*^XRWp`8zxOe;g|wLHm7{l`h>7o4vek|Mk^4UK}&)b5s7%d0t(7(UHI)B zBylkc#P>%ahznK>bcs$&cGeEu&&d+Xv%K zeA($bYwa6Y%95Ku3leloEn7^7ODGe|#kApD!(^P=$sL)zpq@b;`LO(p01!Y49G#Xj zU(iWo%lz$kY_kX^^%%i%ca?d5f{=Br4KI zCm{#`>(hD6)+vop-3n4F+?`69i~Q}){*7jl&pXTps2|a0489{3T|*Yj zC2+%d`)Ynw9P*b1?&t-ePUAj3JUss;4{zRw zTdSnW96@u_Y17<ONxIHQI6N$2$O28SnCMxr7 zcAq|@@7~kHqkowbtqwq;eXZQv_Y6l3F3O|k5AaYM#N1)j^+vb2PBKaECKhNlrYjFV z`r5~R_;?tvD!xm%H{P}v$VVHHD|mbnEW&}e$B26kAs^YyzJ_-hNZ#`}xJ^nDPRD(U zduoeU%WEJZ+}!ly)FyfacZ!JF0tN>Ngy+)*8ZWdbXkbCn@1K(;>LtvVXgPwy7S7n1 z@dM7*>KBALV<()UM3T&LKc6GhGyIfom#LM^-U%)pWXQw{988JX zaUwB9an6JXLLy6%9IflCFS!fZJ%qw!`8WKe&7MYmMIS4VI-`Pfy`XHgn<8_5ut7-U zY`l=K>qwIW)j4KlP&Wo}2_RGtcVAS#spW7b+TzDqTeDcyS?!H&3SY?!8@19T;Ym9X1N3LyYLuF|@Xrg?xi1{QEg zB+>`ytdG)%hh73vJR!XXrMHn_p1FF=yEa^w1Nb%7`GHi#5YsO%h2|p zwHuAzbXjK>7$yBhT_03h?ohvlP5ufw8|XRiX&U|+qM~f~ zAu!p)X#I!bnSHUEx|Hn@cN4X0K`zGV@KB$Swon_yU7T8EX&x>-U|~5L-R*ARFEgZW zZ33P~dQ2nn<~LBX-yZI6x6?2AYC~knk|KZm`0Fz$Uk`4FWnKil(Tp{ z4;SlboCt0JmrM#SjR;qEDrRx4GKGQ`i?BX9RzWW%hFaSP1;N4^Y|6?#jJKm< zbAl={e{HW5Gxqxd*&K(=p1aYx z<#vTdhf#lIG`fmfFo!=Kz3r`fFJ8xA6WJs)L32f6&`m~O!u&Bc*6%?RK*-A>VCa(6H0@ubl#roh}BSdQ*mBxS6Q+OIwAy8PoDzCwbXsCjt!|CYUb zSs~`Uckn+CH0sBHJJ1Jx|DYcq93!p?V^RzOBCvI<|M(Ad#x4iVvxENCiVH}bL=D6L z!Dpaw^dG3P`Hb3D2Zw)q`gHencl-6=zaRbE!GG`Je;@yk|90^I9Q^HnKK_kVf{)wI z@ub=Z7e8`H8qwqR^p5lT+fn1&z4AT2jJaq{pg+$)A`pq|(`$d=#lgS-I~REHzinSQ zh5vEz|A9=Y-q?2a8eucwCYJ|&H+fm6MF{m(5R_b45err;iNEZPH(tKU<^A&5!+{Ox zX;-;=b&Bp~F11+5Fz?^drdM`5FKNjqlVOo8RIH8s)UA!6XJkbrYn1`~v}%s@P?ivX z%H@hrx>hJ*W87g%6%(YzvDR&**K*9O5*v$nfa4+^B-mu&k)qctcw|huL6(w1mgpE2 zbwlq?ccKtx;i)jCZ8sMT^pLu8EHqYO3~%ejyj~RiQg5%eqL1T~O8~PTqapTqyZCrN z{PJt@UlD+JW+{3sbkrCiXAMn}5Ddoi(INg*IME-F6a&=67NsLtbjvlWLPCnVJl|ZP zaw`8wsEL=*5PaOj)w*xct6w1V7>~oIz&4Js^fn&}sgNK^USgS* zXztZG2p#$uuq||eyNuntFR16#mWG@Z@ivS8X?a=67=$5w9A*Xk2y9IWG)iD}>l*H* zz|x9)qPW}b!17gLPsrF$5p{fBHQ4rCn{-|S=j*tJ>dZXX?yKfQ<(VW~- zCg>`Td_M1#i@EAG9){$ZRY;6ZOlYQiyO}|*!O3jaosJ1Ij;a=3_Ad*18knOnEUvID zg#i7`8X(p;l*%AVAwag|LUDH>&Z>anY4#=vh##IM=rgeS0_h2AExQ@cJ$_m=B|Kc+ zMtmb?%xUkA#MG_eDoK={DEyah3ojv#>!4?B!1PsE2*m?XDkhKFg+3 zbEd>z65_B+)%&%z2MuaU)`N9cMo&`lOBr3dcZ(nc4hGmb&`U&wdzS;q_=@@%q28l% z0S}VJD(^h=#h7t%gg)kAO7x-GPrF@ds^OQb&x!?`5L! zaa-|Ct0KqpW{3PGN^UPGww_JxpJrK#zlztl8Nt7U_5eT@c%6?jAuf{L=LBs@SVNLathMTguwSeCd{jTQ4iRoY*jL`D#_#JigzK^N z2;r{UnptzR7HlV9he<@tl!1gD3TVt(QaeF@YE{U){e8mU0(g`QEihM=7mMn*Hipt| z<@*VYl89$l+20Sb)tikaZnc;R7BBMPCQX7QOzZ8?E}c597Pjsz_W;|EBYeftw)~!$gn*juRBq2d?8Zj=- z{7*^q|;arCvM%OtI#2;A_TnWkk*hCo6`SzsS6ipxs4JQ~$5ITWQS;)ik z2r5k~aqw`%ZlSEK$Fu3w?6u^O9)}arQ+UR!Jk9Y5!yb;c&?;UrEby&m?o+Z%Md2I5 z(y`m4{n)$^O4Ht#&X&uC-K5)Nz@XJs2;$gHIIe9r9*ymBvn&{`-~nfR$b*(*uD_Nw z4Z`U{wEAC!=;{Y2)H78YQ)A*@gWmL^)pC%r(%~2pE9{vX?uGKWB23Gzp54^}xTCVc zKs*Z~ZJ z(`+7Z?w@}3v?nF>=BCbDyDygkr-3xJ%^~x4{=xK}tVGV8#um`nFf4Os zyNy67Wip1UNN-c`$otJ7AHDp6z{z+vASH{-IV>2OFup~kQx#`tqmya6zoTP`u+=_O zWm62lU5UK(J=H?Ek?Xwh;>xPT3zlNm!59X!!gh4EMwX1^Bzd=RTl%;vbd+z6j@rI? zxtb=4#3_)PCD^vIAX(@p{5G_gyPCQ|JHzg*cO)_b>;9$!ENM*SCx zF+IGggC#%?<0O+JR@2jJNgJBC6c58t(Wo!ae@Q23qQp=_5e*;Xo4@9W-Fz-?{y@|n z+PDmR?YIgtZ6h8sO|7TPMZkn%VFEAM77@R_1dVTpJ!#WKVGN(m7B*V25mbG(mPFjr z5?>@p^c}RtatBR^Gc(pb?cZqpG;GUdm;zNRfr~|&XVf|Faz#eZ#V)$Iq+pSJo&{z*F!YeH-?oe!Ms~{rCzfSy~pt?4NtYXhR_>k~G-`eMo3l zjcj3X{pvW4sX(e}uawH%ZEOv*-f}{#pI%;Fyq3$X)w`nivZj_+?+Ei_etSZoa!JU2 z4Qqs9NVbU;*kvXelXrT(1$Gu`VTFmEKmNeNg$Szs@_GBX`_G8-gjHi0Or<(KsRIg3 z7ltb|JykUF-2))t@up!j4+gRF?QjzVe2lA8gM^iphZ^N4W)_tIVr0=j+-`fPC|pwq z2;P}%!>!GV`6}p!-_ziQDiCQpYK(1WC$Q?Tn)pUJi6gL)N}Y56Q}3v|G{cbuA4q9W zN&J+tvFw(PK7rFINP(FUGclMaDeR-N1-2fk8Xo7C?-MTi3%QM(x)?3{R{HTUDnPPy z#qtWZo@E|PgAg$F9z9az0CRDzdzi(rz^G~dlJ0@@ns}Xo&S#RO;7(+Svt@FyTjcFv zbOC23RE`GmEsxKTm}wm-q8rho357|21%#EtWtnnrh6Wf*e6hN;z?L9Jl`}BrCNjxr z|3<)K8wLr7&Ys-l3YiV2llDkb&Pt6RP_T7Pv@*eJfsi=W0J7^cY#5Kn2Nf$@5Q^&5 zfkNElpDSVF#_>&g4Edq@6@gNPg;+(P#^iApwoGiE7ppsD;zZNVVFjORQnWo#c$TT1H908G#>k9xJQ5ncfMqnuVQobb_axG#_}_-g)fW%r6l`}a2db@E zi@-=h5(PouvhV3gQ|WG2<~(>&8E8;(tJJq9avgBt#7sunTsTU`&iu5n>144AwYj!@ zI`^p?HcDjW zW6r1E(X1+-<|F+)6uKIac_*h`?h(5wu14sd(W*lx)gT_b?xirpEZ_C#hiF+DM z>z?fE1;1>Ld0`;*$C{BSo3CQ0NC}lu9Qf}Kj7%?x zk2^>e?g)JI0u^b@xp5RZDyrawl%ocC>-i@hdg?w z#JsGUuDhGexGMEy;jB(GA?Z>?ear7DLoJ$!VCHr#_Sa%2wA zGS5veA}pL2Wsq#5gSJMSR`HMPZNHfe7*lpTVPncJg86h?3ggr$lZkDt07kHJL+I@v;YZ+=OP6EP>xQ0%rLIjH0;yc#DwB-f1>|f~Hmm9(%`5 zfDU4A&~F0LpJAkxjp%hkWW|VGVv$)eXy?>=uxbh$-M!-z#Ac8K6q%4pzx{f$a_V6Z zpgd&{0CCyl1>yGrPAa^q7S?{35X@3?0iFRH-@FY-M$MrvG;<1Dwb+0Z)r7;CpebqH z;+7Q(`0M`tZ;w_8a`Ney-R*7adz+@p1Cwllx#BNNvbMoq)F+J0!cl5B%~kVO+!{Ij zn3&WUAf=$nzF-6D2FhTYGT5`UXnR_ubRe6^60p+l)~**R8h?Lrv9{kyf@RuB6Pb>! zjVPv#yfPL4VGu9eLA9QY=#$_JsStBx4CH4OodE4~+OnubAgD7@1p`?MtoS%t&sJXR zfnI;Qg-sO$y#Q>XLS=(Waj}Ne+~dKQ=Z8;7J$S#TZ~+OCtsk`UAMMWcCr79aE>y9C zQ5j^x9e^)zI86`?aNIxm+uh$}6u}KiNE@VYkiwb6(TbSxEh@8ZCo3S^d1>bw9(i9Z zFALv#bf^tNN2eL>Q{a}^t^s=G-0ar!wNRIMbD)GL)_~=#yWYAdY2%~@-3Kl}hldfT z71jbb#Cp=2M~Uri!$Hif#;8^@MPKAIMX|G72w|iv`Mrl6N(;YFRDjGcOlQcG8J8+X z8bSkZ-L@p}MN=f;<7Fq($$3;t!=|DU_=Tfm>dEBtYEi7lKs@y8c(*-1T3q~-HrP|X z04{1L8NwP+QqBp$Njrf;XvdS`)j1-p#kSDiffE3n7MWf@BEM!{Q&py7vKp|xwM!;r z6p+Kjj2C#{kqkDPeqL4chNOC`C)AoMci4D~3wTXwGufF)R3O*C%NWQhZ*kkCc0gJ} z_3;c+V0DHR$SrOZZ0x_totM;Am(6MAZ{O1h4@IcrD;Y(i?TIAX^hqnMPTOEJxf@5$@aNZ{P9l>>B;CFHJc>Cwg<8FHQ@FxPYpC2FY7|5MT91=IF6W|`At=n_Q zj)lnpS{Qt_7RKJ90kHzH&WmENNd+5B;cbS9zacdIBk*VyQ_To??J<(^0^87E^37x& z;*dkO$<=TonGb1#_HA=?R@yQ=Z1q#`^y)QX2~b*AsY)?yo(U3`1=e*M1grMbGB6^P zBqJL{z|DH#RqVq{!dUN&zJm=#YJq^+Vy^RJ=Xe~)d>w+!n#~Cj)=0Cxby5p{jQRce!|m>mkMNz`SRsaBmlqi! z0yDrSMYo*M;a8S9tiF{lFL?`|lQQ|*_{cFtpC*4`4uk5$Ts|bbPeM^G84F2h41K8q z88(DGKQOjZfc$vl@kUSe^P*V7^HNaC?6M3>IsECEA_jqsMx^2NVtTolonMN~zI12w z09TNFKDivd+x9!!c>{kVhQulgS*Qv1lcTZ>eYNC%UD2X~BSTzuNU3T?`#iVSfE&cMy(fzaNh;2Xt_NPkZ09i4+AMKmTSbcf}yZN*#y~{ zArUK1jS_K=CmFGW;SMQDpi9MnegQSzKBCG}&?XPcsl-WE#%NQhm8{KF@PhxV=06(#P@<-7_yZgn%ODs@A6TTG2@M+ORV>g$6|o2|7K0)dY$eCAU)3fv zmoUOj;T`MYGC()E(RT_;u` z2m-udLunwOl!uVHEx3^a&B8()k@N`{^AOGuw6!KnR;-@Cf|toIZRfi`c6Zw&^Wk<} zW!qs9{zVVs$8doXLUl<}GYLRVs#}AmI+X$K)4ePMH<&=}oTyS(#&p|=$?nP|{5(|y zGS|d5iIrgOZVe$A`ulbyV&iQ0$C3MgV0Koe*|D zP+H6(ZHfYEdjW{{5s%}6S7!Tj_3&qkJM9{F`SAGZ<_-{^fQh!dqL?&mj1fLexu$b2 zvan-IW0z!`_|qapOo#DOu{3)G-c@$D5_I-)6GZJnEi-B?3`J^>d( z15>H0uAK|Qhynyx#pY@aS*bPDmr`=4XGV2?-rWZO_O#*50BoHJZZb*&zYq)@(+{Y` zNjJDj0r~CFJ>_;PgDyQC{%XEzz>!&YI19!qqXfwPEp)!^YP6UW$Ls7w zJ*cGrhkvWv=$qv80%%Kdt0+>+K^&fIKBW^0JpSr!2Mxy-fC8f;tLMDMM#WZ2dKZ{m zUR`&K2#~Y_u(EwKD`rC&P7(Cz=5Vtvv`HvW09yog04WRO$#koVauGsZKV{Z;N3#AE#wK z3}R`%S}xYBGrYTb{8W7T1-t%mf4gAYwxZ~@<75+~wnL&CQQDmS&VJswGc1rocJ^6; zEL~f*u{l%$jj*!vgdrzt)#Mp~D*i@2xqyV$Qv$(Jg2WL#3_;~mg#b7jq>)&u-&}MQ z!%m!Pd%fh=jSP`s#iC2?a=E>@T%kzU3I#V-0|A*SUTT}QM)3|w)R7$Lf%(+T>H|bW zVj0O;(pWHolRv`YyPUWyKuT`6& zJIN0UYR~5brd=~2ZGa?15tIB7Ce+RP-JyO<67p9Ng!# z)fj|!hT?+8a)4-)dlp`Pb{&RD%L;p5Fg7P&m`tl(u;NwSl~58d{=dCH4Kt#oidmoR z*7g~WhXE0CWhMC~5cx$PwRZjSJcQ#0K$moa#u3~@p@0pP1DiWBK`Cc;*)l1F|0S!-{Iq?8o_D^w!;$KJ+{VMK?fYztoE zB>;wwDi6}Fe~PMGYG+dU6db${?9W~xSqN>hXE{8b(+gu0I~lezQ&m|)wUwj~&8C;Z zUYz%UPlt)A*t}MNk;zIfDYY(&#wA%1O%DaZ2S2c~6wDoLxnQx2rQx;r_ui}i(X0N; zS1(>1oxSY!U+jK5o9Y`JE@fzH1xGRxF;9U=#dydGv!E7GF_vQ*bWMz}RUJ<3+}Gzu zsHrEUHHi#C5VvRNTB{>Y`p4K%_SS2^*OV z;;dpGN4{qmLJ@iXEQ*!d5suN0aH0hCRE90;W+c@Qa}D!uB<9X-gNsTV+y-uP2Mjk= z9t^^Lz`PQfA?qvAl|sMP7oRHXov6Xc2DL*)V1qBNdkpGLxx8}+so#p7pq9uZYP|pNU7z@9u}7`!(VV@)m9)LA-TqT}L8Q-0yySW3bt zY7Nhlgcq>GM`{q&W85!lR3q~5ujk!Ui@SPWPqH?T+7@t;-H@`&BIr#B$5ZWW{mBei z+vGaSXo}cM&~VHBVh2toYHVvwIN z9xW(@7#4tVr&Nn}1alLJrd--Sqp_Y;7Ipjug)P3;IfJb!$~8U*_E;<6R z8jyEt@32myVJ-aHjEy+tFA+a`0m9BP*PvkMyL(`YDwET3OZ0<2BE#<<}txIwxR#sJ|GxB}P4IkUgU;1CI}v&(-V z*?uxcrqAW;L;lhs+KXC^XZuJF&J%1n!gkz&S_zDT|AdiI_#-IcWZ}0u#DCsZe{ci; zc>D7CKTWQXNW8CaE@xWS{BCWaiNO%mR-?QTQCDm}-n(?z+TFt-+%78q`g91_UP4 zi*+JZ{6HP1%8_L;PekHR>WMGSYkIuZ;i3cL%I{eUlcr|ZiCmkKh%d+jRRf}wiP5-bPe{tIM z(vY>iNuEnaF)s1QO@k?#9m328DE0y0)ZPN?;`EUa0y94|Lz)jZJ_TfExj~DeYXLsb zkQx}dF^b5auIo1`6FeMX6sg;`kNIhYi9l&8lKwK&64-zbL*H04Ys01Kw`X`5qDNk= zg(vh@A2+?#_?F9|;8`!aWlyje89)$~Wu!C2T0d|UK&+#g+pz14*F^El*m651`nWSC zTJ+J*((AVDjSwy8GC@ifV^FNsOk+AOcet2`!#7I|xMbT7Q_3jjY#Kp*+E)5Ih5Rpq zW*7%M22>jvw))NBLUAN*1(mHPo9uV7NksdJjQboArU|z!_*2<)*I)gs6^Zs)O-HZL zJ{1ChoFNDQimN{Ezj=l1nEnw}xRwJOrlANGs)Ip@XATA-Fq-<`UHhblY}kO)4yX=y zuvPv<#py77+w*w28jgo35kKLy9L5XFjD`LJJs5g$BA}OS?Ot&^VsuplmEa+1Vz^wF zGqY!&!SY?A49wJ7|CG!76idoZGqG7M8b}Z@7tV6jTO?YU79k18MYq(IxdF?%~ZMhe?$`^Wuk+CJBT z9fn(y)NC=Kb9l0*4dvAf)bWu#kDxw8UXRjgS2whz6sf=%<5qLKMQ?~OHPgAR%&V;4 zTyuk%MmI2GA}^QMXc~DwiQ2HJO^%k(h05uduYqS?d19z6EP(*`DVv7;D@rr9k31$ZK2857~}6sGH_!1yGInd7V)! zTZGi$p@6?xEH$&!0~oXrS=N}0kdHZ7iX~moAZsq)Ot*NE8%Uj*HcBfg3dfO3icT&O z-tdHOauq9PdxV5NkQHPqswNiY4^kO>7lJWMJXQyJUY4;X2!egaJ_0Q|D)^9SW|+X` zV1;DNIjotLY3>`R$zombPT7gOj32OAf{rU_w0eL7DJFrZ4#~F(9I`kNd??TKn(_>e zfWRQ)jo8h>b(oIJNAaw%K9A(UPK?K4TQmwkolumDid&w_j{32_1kc22II7%1hUbeR zVV~fZV9)R-0E7$)nh!(2MKSr98vLVb;j);$t+znQl-a++RM%34q}}+EBG{5$R)*Z6veBrf`YC@6;cf-3}_Cw zS*{P$E7NJ?bD^I`R_f+CWvqM!w6Q)2WZEKqFdsyX1EjB3+pE=hb8xB&uHRyEcs~E+ z<|jI2LCxLXeC?YT43rL$fYPC=6y^wg3wX+YLkz*^=N)pe9{sT{Awhc3GaZVW>Vw37 z?k*vtG;dw|P3lk#GO~Glq}EByrJ$`FjX&zH?vzIv9#aQ0^Ea0kgVOV%kn_`H7z}^~ z3{JMtESjmTv{4GeE`zb>^@n;J9>7^+(`iu-S1M8-miXTtD>+ZXa%`ewZ^ zSp9ebqF{f_+{foi;Ag5T>7w4q5f5^mNk=(($t;v(jovLI@BesOMrJ6vaHA^AtH8an+0dDEOWB0?z?Rt zloA0ijLBwW5acyH#Ae~*yd9w0a45k6@-{K5Ci6BBxy*QAd360-;Ri6 zRbwEyK7*C#5WiX#YyF{a_^NtkJC%mg0<*r(m46`l2_s=e$Zv916E-+h zIuGUQX{vNuU4?9k{!ltTnW#K^e8OTO!u!xGUBP^RMh)UrGGA?nZd7hQe!njZiTUY|J8U zfbbN-8+7+IMH4N81_osOfe%LUQsQPSX=TL@fUE0S2pzSPlAaW46nksC*gnwUXYSG_ zdYTSso_Vio(n|~_5KDmO_A;RG`ueJYdD18-%>ueAp+qGGa%+{xi2;RWCu~ke`$KCJ zP@MH7@xT5clZfjl(JlSxp$JZ6oqfdCqF!LwwrZQn0}-PaJ+~~F+xf?*XMc9N3i2^E zs2(q`ppb!}zmzqlbmAPhu#aof{)vdt2_0msG6@iSu2}{pO@J+KKP167&Ot>Ipsf2* zpvTD}8=XiGuosZ-%Zt_k#Z`dZ^*;07XbdTn9QsYbCOYBA2bnC?AgDHi#t^nXAU(4= zR4SY3f~(MEEY)^uNTR$f7PAd(RM0-yOwlDMh&!9nMasNR`}GpO3m#g)ws4otSesNM z5nVEEh`i8gELJ+*ic=>t$>*LzZul-YGHrtgXLT1}*N(agw?W`bO@}Ozat~u*c;L)L z+-_JIxd|fWAvDcaj1C$N15Ik{q6|bvS*c#yyl>K#JOz1+x?=o_lz;kx8#{`*Yx*7z zkAB6f0@PUHk$D2Kt<7c)9zSQYCTlog`~8MOkK`K(lZE3RZlxVHalP9p?kb;xCAu?s z-3Yf>&);N|!Nt{hINF9v6$qaV*pNSHwb-zf74{(qE@TH)!7R|mZv%%9O~r#Rw;vy- zC>o2jK$xyd1KKf9-4>2eWyEK23sTPV<--E~>xK_sZD*pYO%r`_3m&qGCpr$3JB6Vc z?#c6TA;p`4H4hheGlmF4Bn*U%MKN~5YDNl{u)kJ&3I+_v1v13gff}5Wa1cR*trxEw zre=py48tQyCjl#LC-6Nnc)&0A6GP8YR-W6=?ol8g)smek#!aLv>dy7yi0nw;u!ThB zrvT8}O1O1eEyEqwKaW-o^g+cEhj!Z&Z%W%3MTwq2rkJq>t<;kWHRbz(Q&Zi^;^Z3J zrkm(EiP9@CzE^d)m6I2N33;C8tqo+7%o+_Oxl` zNgAO$Re-m!MpGALVwgkRnolOHG5-6&5(Pt{7+jA>7fs(_x%Mh#byuZ{R0k;EYZxv7 zXYQb~$lYq(Z+cznZ~L-oY>0qgSkK+upffD(F70)jFm1*J7cv7~((aN~*$zhUjlDix zoxAY84C<>K}dM;FGUz>c5nA^N(R)_z#u!UG@=e!jgA^) zPAD@llm1J`66VyLF{T4ls_X{(BU(eKCXeIFBrfC?l9}H*?J*?_?n4TBYdl)0!bc19 z20eI>5it;?G~I?C3W8`U8=hujNJZLQcVsPpR-3Lr4^|KT;CbZz;5LS_BE^EZaV-rYU3@~E`=bHd8 z`3FV88@ChUiTnZ@vDI-5aXXt9P3z><_wV5=e>AOT7DG!k!Q`Fluq#pn_(O=C*_hs1bNeC$MWign>AaEVaF4;E- zja9#6l$xFYZ|uz8S)G2@v{19!A{zGItN-HEHP6Z81Kt*aq7A8D z>2+R-l&SW2B5kUDB>=E})s345jv;Qy&F(|yS~-$-i>}AI421=b)aF4MFaJOHS~>31hd?m z?m8z?J6<3Vl`y$O@2{ii&y6e`diA&rC;naiWG-}&DV~s& zPB@^)yI<*p=BHs$wD2XhbUxH?aRz?{Vk8}qDe_y`N`>*cYeRsZpd#ufbh>&Z*nv8G zDU4KTg@TL;td>9pU8|*&n=!+m1o#V+DUfp^)D|ijPy%RzD!7Ya znjDJzs9Zz7FrC9c71OqHa17G~0xQ`sCSJrhGh>*LsB_*k1__A<3wy@&l(Y!H#x!DI zQ9+%NTa0?MdH}V^SU9*?PegvjRH&fUuJ#4-HA$q`SEzs4YENJ&jXX#ZLrCpY6fQ#2 zMWv;A5)U{wND}4y>zpIL)Rt4_J^@D@PVH{;KBJKlB1p;NDO&R{5~_n9`dM-*zFr6t zqY!}VH-je741%F@)clM(670~(n;#5kXb`<-^@Rh>`xQRk0zI~OyPGE>$@X^lD;z1e zaAs3qK~RJj0<65N6?wWR(Q2Wh5yNCv#FwpU%4W^R3v@;xWl1eN(<=dIFpnJVLPLG&PP{tgmX$#fB7qM-vthK~z6TtS4Eo)6I!z))7uUis1 zw~GoP55GyL%kE_jzK5duIzVIhNu5cmjJ*Iz*#N$UdcAU}%3WQ;&bZ!l9bmtI!0LSP ztpVS>16w!jnZvMnXK)MIL4`N0*I8@4MDMOnAsNvf zM34<5`bhqfWr=O~6A9$n+o!+U|7xXW->!;@u5!QHfy* zB$d`{?c#d`MZqFLc2n$ibCwZBD1-}^c9E@SY8Dr>Gc$7Fn{|0Wl(;Z#V$_hu%P1au zcvyL3P9YOiD`9|_ZQ{&5=gDYS?To`DlaN(QhXF~pD2OBG3W&q04+9f2y+YoNy{#(J zMqZDzN;X9}pO}|f&%lgPG3<;MFq_l6jS$Eo>_GO1tR!AxTl&H46MI&v#Repq^q3y+ zwZd~7qs=oy0?u4q4qLi_c8{E1z;C&DLG){TN5Zcy#*Oe7dWA!3IZDP5*vH@%I%o0v z%fV|fXD*$kv^GU+gCbe9TDua++av0;b7>lwTY;fY znVwMz5@{DhN}&y01csTN(w*t_jc4I3rG$70P8&ch9z!cG)KNjM-IPOnRSlMp#+Ge6B1g8oH<96e5isUFZ0m}k3e^>1$N9s{Fkt(zu@WqIS$FW zTJyr1d0wn8fWux47OOpNce$NRc7wrtbUaOn9eb+z8nLsU$#k=|i^1{Cwf)Iz0FcZX z4diM|G{=pUagd1y7#Ja63YBptFn7XEJ30S12&3Evyo8!|Kp{2ww)w2PZ4YI!<>?B= zn7`cLvW@g7*omJ^=6tEB0f@kW&cS?`_bC=@=r-FS#kO=JZ-AMYLIzpHMk00nl=0r*nkLx3gw>OeM989!Cuv#uy3lMx^M% z1%YaSDF>i;GyuO5OGhss4eo1#xa8K&+ZG9x17$VaSJvYg z-cE_g5>!SCiez@+A%6*->;>^Dl@e9)_Vq`WByiN~8ss{3K%jHa9397o8_#QJSf6!f zSZng46W(p9J3h<;Krc{T1XbfMf%D4+s!t;e@^ZW_uF+JW5B6E}Hl-|-Aj6LBmd7s^ zUX-<-8b^=A#1Hv6Nr#GyBYmk(K<%_S{Wa4HicK^06s65|o6yZDNRhJa3H*=Epj)GJ zN*d(Uz^7C~mgWtpMwJ>6yY*JBvJuH1{@waWFlpT_s?!INN|cctRiX@xyZ>O_=iK3o z*;h>td`QLSV5>5jv=vIh2~!AYMu^33*C$NV2&$E`@~hP#znGn0pu!oC2}OQ)cf0p0 zF|%prUg0UQQ?EvdBi_u@J=i;_Y?58}4gxsa#+;dlsX_jP>9`{$fGeckdA7po3E#sk zg6$g}QaW>^3Nl?lzfhScQ$Nd4n0s9O3C1i+ZH3FurbZ9!5DP^kZsPkAvU*PpakzJILvA;e`6M&8*^%;>Y$bdm7 z5D>}wItU9DEyjXpn|rT8bOBbSAOr>?+s5yfpjY8Pa^qX!FPXJkcqK-F`x%((z&nk6 z3WWqN3^OvlSc*{$7HRZ)KXCW2VS#Vd945pYn+?k|DZ_EQ5R~=9Z1wnkN#WP852eftFQ_ngf$O z0|c;CtnK#NU#D;mAONGwA&k+A%w+pkh`GrYMs|P_$3ThGmaIYsj~@U5qE6Jp`OS#I z&vn70aZM6O2(d2T6Y|4GTO&0j{vP-`y1vQ|adm@4ldx04AH40mvqfPss((9Mtmdfm zPOGU2WG5o6)rjUw?=2)CWCBHW8dx=%xn(+m@=c&Y=5vHNLrJSNF;=>}lq+>9D8o8~ zXe4Z6D;utpCiB&s=JDe+Br9ou(RtovG)Xw8c_GahEOaqYJtdZtx8Q9)u{;g(ebur6 zyodvV7ScE$LutZ?7<~$pPJM`7C!$rJ=3_@hN%^SD}+_!PS~EIT|Ob z0zJlU3Py%kO2U>vFLZ=Vf+K?~sl{v3?UGRKRbo1XYQTUKK`-tkU>8BG&p?aOscL*U zgOqyJVOgP0C_-eOsazfGQZI?Ly3Rw1;Y#ICbKC(aP%8OO(ix-#v4c zS*QE1POrMJ!UGZEe7QSc!DCyP!#{IbA|>df$){C|SWYY!Z>%eC*Jw4>%;GD9?7zy{)f-xOK1Rse1MuyAw z^9|~M9`3$=ek61IDc`~J|bM(-S-IRcK3)B?bi8}6!g|PBE`OSj!4mfog>b|K4dQHuptDaNSIS99MfSd zIuxS}YYL*mYk$zW)@t~ zvJ2PcX;%M4xeRFsM4zoSlecgnNTl9dUV`PvPdl`q`SckQ=yo-0>tdonPSUNYXo%hQ z?E_CCu`WY@QR(BEO-zg3b29|^4097@cNO6I;tSRnemI zshK)VCe`M2bl{SpY(BJZCMIRzD`j)|kK(v$8?=`+S?d( z9*LE@e(>0+@JiMUeJo(&re;*MrG8Mj&M6&45qM7!!(G( zCf;Wjg-xVHRQv=Tafh@N)y1H}2410=b2zkh&j~>>CW%0s@#Y9Z@OehPr8Uz{3c}b3 zb!Y=CAsX828rd}iMpG?L+-Z%De+rCkFli_1_>NA+JYgB^GohaF_77Nm`0FHRvn0Ai0$Y2UD4`Myq6vKS_6icgI$4Bk1Vo0!YUG~z4f<`34K&k)SKJbv>mX{hRVPeP z@(>`Nv}CqX(LY4&ureTk+&-kY`D(ldY?xwV^AkMCo-yh{b>_|_CVBj^%! z+c}G7wDu)bIUMp&a(g(AV>4vxRuHh7C=EzWLquEloZ2KSgM79TL4csR^~z_EaE#}I zZd04LFjg4!fKf??Z^DmQXq=5K?3S_pJvK&?%GrpeGr;L%7t$QqGCZ^uHy7dyF{}ng zs;@|+9INnwILjuN+f~XKP1Xwp=k0YMx9Ik`xlhnK1?SYU%zlMz6@ zzMBp^(V&<${5%%XV{^UyV+vNRj1U1K{VC9@5U50gl~KQ{SM?PgE-+<$h0eY;33MHwx7nkyPLlZ_+7MaZjw8qjJ~oER3?cYo2c8n*%3RA zu&=x{MP4Ht7Y=R)8G8=^r<$2C50nngKpizCiz8N%qKo-BuT%4xIz5wC`<*H}1}V9H z`TBt4i@V+K^LF{WVQ4ifWso%}a2w2Bpk|=!$!4=8SjiOMLtQ_{1pzG(%d}nG{I7>c zqGmvPC3d|%#!v*51e9;c_EL!dse?S84I_z&eerpRHk&w*#l?S>Nh)J-lgwsg7^Yn1 zP8L^w3JI$51hJhe2W^MLU>4?TZAu<(iss>ICMMBC)G}Z4*fKMNr8D}X1S|mhY~L*j z9@_bAaEXe=Ee*YSUHclAG^f8f`G(OzY9kgF%#;Vn@#RCiCi=ge8?5!DUO%WI$vrMw0L0<%>`7CY#pMkv_?9i+zy!&##o z3iHuR#_btZOf(EQ$TI8 z8`n3#@2)#q$AQ(JodVlXWoQK0UKokQ8uq@)Bs4ATkzbny9O*9NW`sSM(d(h z&if9F9txB;YlaNW!WKo0I^r&c2%4M~Wn^%3Fc)`aI2>o`-NA_~z+|T16Vu{G=G;Ds za#3hh)p{niHyOBglT^f3-Dk@fKOCPcVu58)D9VVQ-hN%=SPDyf44&n2SJK%Vgxs`s z?VH;YvKWDb54uHX2B7e)+m}HxkT!PLy~50qATz*db_>U-bu!LAlz;>jg5m-Y-&Y7v z(@%9c`gJg;s9;n8amdA0_DK=Qk*eLxK-(ZYC3x$3Zf5FqMG$eLf=CdZ+^5cK0 zH*3aZYuxqpq9k z0b55Is7Zz06{)U zB1knV>tm{Ar93a0_a6uFu&JXEul{%trSqIiH2UB6B{#SM6yxigPYTPKCV+!2>u2=X z$%!fw0Sy2E$RmVQ&0FKRdAc1zs+O{qy<>7ACR*s`MBwrCNs^8{;lgw!JMv^sJr|4CQq=ebItH0-p|3i0NS>ZOrt& zSbRlBFzH_U=9NP&4MJD@_d9wNf_j(PyNxk(9f!$dmKw}JO(l^HtndYy-2FPMjNEe)2 zunQ5h`1t9|9qI?(|F7M9d)+tyojKds3cTDb*|{0odPaSd5 z67Fnuk%~&fw~}6~z~rmmbb^~}Z&XUs7=?XJ?U}s5q(Du|5^HyM;;ojjLd5R6+XIrL zn=dv|V#Iea@Ifsk;^C-?Hp({@6R&Z30CT|ezo#r3XW}JXL9>?dEgQh3Z);!bqu~08 zV3ThQi+GZ645LrBBE4lo3mBAJ`guy4<)}4)C zK$NqJ@Ys!02wKZk7Ssu)sg~aOg5`^0FJyDVYJ^e85UCa1?Z`ennI`V#@L)8`n}x7T zi1x%IBRc7}iM`Q7r5^=n>MH;N?<2j0^_eW@&N4TFxtUI*M!y0lJ@2J{Kb;yRW>ayf zfKAtvpYsHtfiqzJw<>M2e}c&)$jlyR3?n{w#pc3o`L~eY?ZYxX!Ub8Aj2S9RFSg- zb5ip@wx2))8ssUK$}nGHjr!cpmyS@9PO~0j1Ee4=&AwmvfA*7ghuTC!(IM6T@_!sgNv)gV=%<48(zu1P}_o=qH=K9+@6 z2uw6GkCcKjSfj1r21RPFV2G~U1Ud#8kcC_BLJ=`VMR%Uvzjx&;^K?m2m|)~CoVkr$ zAIYbwn(nMu#-m=!<>cotxS2jYe!6+y4%w`|8oTV6NEV7Itq=@Cg^4+kiVd)42wTS9 z=f4-*rqHS)$NVfL!;<<)sVeDw03Is1djep2S>{aIKY|7E196{pwO9<<9m!c#_WF3D zMunY2(0!gUpPkpCba!6M1<9tlEsE}&V&1Gz+C6bleCFhIKG~e~otL2@bY2^DZ|7h= zUv_>Ebu_wfisa+YFS0#J=S4L;>b#2h9LWBS0Oz#B!_A(hpe0!67Yi1iQ@B`}wgWzt zfppmvSp*i>R13C3TlLI=LIuuN>(I*gdd%+iwm& z_BlL#*R8*6(B#wS=f4b=u(yJ!vR0j=JXXZGE-<+;6Y4Fgm*veB>~b& zXu{+XQ18K&fnhb=kZKtYst}rD1n!g7{tKFmK&jEn?#CgA}81mb^t!fWjR}9$`+}ZDVttxCG_o41|#ZC=Y3OX%*781@PaH^ zdkmhQ8;cEzyu}1R#}(H>Wus^)imrbOfGo5uynB@+F#DJ6s~4H{N3+YfPY!$n8&4WD zVD+8i%P&BPhx=QV;mOEtGEws@!CL@dqh3pyG*aIrScodvtFek)r9maJLWUz3=Ce0z z7(*iI7+__qaW4wFmm4B9cLfoHriFjop~;k#bA)LEW?Al^_Ga)=n1AsO^`uS@&ZMnc zH(;hLx8dFohTn8gOpfabWexZ&hl!?xTwJkFS!XE9y0%(x!Q0ElkqqDrk_ZDqt$wt+ zmC*_?ZkA)2@BTo0%`o@|PANW)`^XUVc5Wph69RY;CpIKEQeA%<b0s|4U#Ay-ANO1}Rwq=@?1`rl+R-4f?5E40fONIx z#8VMiki=%6n9fE+ZSr>FO({cnW1y$eNw|4Xh%F3z0X(>sO^P$-bvqnGHs>qvGQS^aD&C%TUqQh2mPXvaaE5VM`UD z?yOz3#McB^kkUyOuLrLu@J_4b)}ek&kMdWLJ~XHjNQw8L;V9AYN9ro@J%gi{!1s&| z^ZfUW4J%#u*cADB%E(XO)9)CrKvC1GX!ks7u*i}K)hPY3iKs^%A}V%0;^b&|Y9-dh zMZk^>7Sf75>85h6G;~z2u{JxiCVY+cOCUvIr>I^)z#g7eT59|n1NrbdvwKW4Ykvm; zj_c_J8)S6MTxi{$0aC2eXf@lPwNVa`2&d56*&3_>8x7~tWJ6;;E}@x&2NU4hY&8l2 zuR;?T6=QZ&%dCc}Om?`aUkx>q7{iDe0CY3r7;XFX6I7k@i{)zN(Mq&5*=XOOP2m87 zp=Np{1y&^XVtTolr3qBZ7oiGeozL;%CSWJ+x9U~sc{G3hqV_CFc5a$%-dFrO2r*l) z5APn{|E>y~FQdL@bsJ$Vf`WlmIq*P=Nb3!VYBsQqTP7k{B`%B(MEzUE22KD`s54|A zrH~hrZt_>jt*l|#U{=mG-N3x96h_Ya5XiJ~YSPf@T=L}e?b&r^i!l~)w-P5ISt*tS zAf4&bWxIwOo1Bx=lTek#_d3|7Bu3u63>-Rc9tUOskv#4T{+7w3Nk9kZ>}aYHKNj7=C0m%`N?s`cvP9k{Nx z5>-GbnR9X-f;m!oV{yP8(Fq3+CPP;9lL|tr)viiVB>psp9rCcQ5k_s62{eE6qK!U5lw+F zk80Ps=ic9b#~5?Ym6+sFGq*OwEbe62|gu%>Q- zrC|TsEU;0#2&U1>^}D{MNunz%fZ0!utq~LCEOnjoMgl4mGi)@mKRri~cD;#x=zx8= zDwDS}j#KP79j7v%!O!!37I6{K)S@q5<@$?LDXf432o2iEzg=b2S4xWn6tjGFXH#fa z2%|BFa~mf`?(nd>|GK3FfRm^gtWF4KC*HJid@wtSTo13lJU-oT7AT{#?%n+g1r}vn z!pRxCCDyTCk54C6e7-5E5g#09qa9N!8^jOg1+sb!>c}AMRq}_M%a>T*^fckSnZhWx zSiI$o^2%uX7LPmJ$7gTRY%Y30S#46rf6!#tM<`*o)mnHJ2ovgKV~W&hwf;HEeMfI* zE8&~nP0pp@EmlKC)dFM<-QS~=fhU3I$)3CJs1~d-S*vx`4X)L5OI3qA2bYTscMgwo z!^RR`di(g6!82k`VC$zlERzz)p z_$%9>K?|WQz#Bt?5_^mxNT{&w08oMkVzipQ_zik6JKU{a9L`W2%^4$D3IlYyJPr1)1fJ@OK2Un;d<+GC3D7r&bn?bw% zkob9wPK4iux%q6xc+bm8Smk3N5nrgEsKmZ_4n)Qhr2-zCMf!ZGKq&cyp<)Y9xMn0Y1)(*c$7U))&ROLEC1%gGqQC(y2@h(LhuOH!D(D~~;LaVv}% zA+MKqTGFo|BH{;P8fl}ein-Ph1R=R`Cm%zy>u_aCd^cgC5uCQ2r~8M;)6MPY$KQ}C zzbZ$5n{h>4*Q!7qst5$A01FIyVZi2^F%j@MJOC_0C3VR1#av4TcG4gZ84U})e8tRR z$=1mu@q!=TDYbRH7}5jz){c5nt(=>=J^?nRQUz6KLBJy42sS{wk>P;IfmyDO;0gGl z6-PvV0(`qzzLm8RFDZnhR+g>g>$U>NMh4u|7Q0xX1C1_thI*FQ+J+YvEbYPW=Kh$> zrlwozZeAZ81^?Rjfmn~{q1MFC9}w6duWvR^sU+cTsohJ4<`2%O8JEBxv+L0L1iLJ1 ztRvHxzhua<7qsK(`qRVZH5!GZFQ*CB<;w@#FQfBkQ(9!q62wJ;a>Ot74*zm8nJ!F+ z#&#H3*UWA9L9IR@F_Q;d8-uq|Kh1;lr^t~;#UWH70HtW}GQC&s7b7=?@t*;1ZO^?+ zQnfsi_2eH+D`9@&o3fs-?RH~Cl_WE`K_DEGW|)+2uEq8Z`%Ea-ku&VIc^29@ z&Aa9>b;E87qioEa`ZdpO^;{Q53o_{LNhg>An}SldD4_&U(+rcTYxG{$HG0o+u+eQRSL~he z`>f1HkdNW&!K|H)Qdc@dmB_b%X|(dK$EhY=s&-wfl+z^hq*+5rz~3fq;U-gYHhF$E{Tl5%U}5jMc?6-BIeZ^- zf(pD<#hmTUZzS!&qH#W51|m2SrBLrs;2ByiNk?)&28H5&fwmoe^UGsCX+DcU0XJ`tZr)f`gW7QrlTNVjte)SRk2!-)ejt2E zXs7`J-&=vd(E5TMwKu+*yae?DmTNdfN6jJu*gnWY(K!{=K##1~oVDV!f8CLPAn~UZ zH0t4^syd5k(QFi!J01*AX3KY}Rvsks0$Ad#AYO}*I5TULEK)XZAY8a7Q)c4~SpX>) zu{j9m8bVlBFBHbej2Jk*;PJuXvc#QXdI8z(g^Zf>2$e5!9Yu!25z9ACUU0j1#XWQ5eroR zEDFQdKSSDgUDh6L^Ob|2+cwiPRCA*_wz0q3-JCYuC* zqvWU{us)AmLKi~m7=`LidL{_~VZ@f9fJv7!6d;H3M|K>=hq~1p3LwxBPbV_g2t41` ziaS*CfYX2UyIn600mJhb+HG_=mBh0_Di$Rb5rcwqT5(9JuX~yLDnA`u0x0lX_X|`| zfSXWsl9zD0M5A~VvV;$p7K%P1s@UHqJpP&9j!C*TE^%F%0a*YE#I1E;BFlDQ2(lZ37Axkl$#d;qcmzny;HfN)6SrZ-a%9dTSY*9Efnp+V zBKJL%(SoHj0D};33Gc{%OE$x5CjpGr5cCHKf*m6i9WT`lh&C@x59?^@SO-VV009SY zgedW0tZ%}MHdJR-sU+X@c%;^A0$L;8xpg+&LqI|$%grv@c4Q4ASt0C0ibOvYl;xYO z6)iSE4qYLcmj^?)%IN9wPw9$l%q`;CeVT-#cs8baur_PTj`Qo*uF5GgXE}KxXOmmU|K7i6Q!xlO2w2x za6xdV;$Sa!1$?Y0Iavhhn|9&$#!6`ar@f_(O-55g2t~Y9zbdKP7Tl-?`H7hoqEc#| zNIQ)VD3}c?-7QK-pS=6JlYA&m@WibE@03i2sMPlhBc4fjP`K}pP4R}9nMADU>l*V< zhf6(Kz^Q4?hV#;GlBDMTwK8kL0_%Rvw!_)T0v_lJX6pP@h9&NsPv5E+bz9$SvNaXV zT5~VlgFm1&f*>*-s|YgvN4t@p=q(?rb<9xdWxbru+XZQQwCVaVCTpOMHabprK3S+0 z-ker|Z+a-O#@NE?+w;+MvCH~+_$w(by#^{I-ci%oTo&vcHrhzBb9)9Pq|5)Kjo@gq*5FDxI8W}+NrBPpqB}x5K=k!Onjq)Qb;i6O?2KhrM-}V&S4e$ zP>6rFq^uds+6G(Ph|KNN=8tO>x_VGrxrh&;jX}|)`Fg)!N*t5ags-h%1iUpP!pS^a8_yi(b|it8#*f~^u7VQnK$sa@ zL7{p(#S!=cf4(Su%Sn|FnUzf-nsaa+_Dz6&G~}Zoi&D;s9IHGW_)Wv1(pq4!au^?G z^HP;WpCYiri1OT7m;M;z@|S=EA996Ot$(7dP5@BHfbk!j+h>NHf1-sZY_!(O9y35G zCN{JODMD4O(^F6)#Fn+};-@lj@AJm9>GEF=LcfKz+HkbY?_JS|0cz12&;hw}%;1 zmr;%}3e;DFU8jiyOa)7(EGUQ6BRlhp?9nHVs_TGbLFq$ZpnuP@>9@d6v2 z?AS_;;3mhH-8;&Ytd(A^YT4BHUN~A1volU*@{1%Gh9;mAtvUx##rI=RNYCzy)P?Ic zlxagm7yyfR6#^as0lpE3uBj8m8 zNP!BH)Z+U=;%YHMhn<3TRW>pVfQ)SI_COX1c}MRT`saf#nu?PX4Cd`>&f+-|qo-(L z+muLPFw|XsVk-^sy%utZK&H7xd`SK%K1bUt9%xkodhJ2F7qqx~W(L4JBNRX?o3{wX zGiI%7Om-LE0{6j*Q%hm%IOyVQu)D%Ip&F=&pvdZzR7t67_vYjyp*`YYDGz2Ogf9Ca z9|=Uk8qB=_pIWf+N*weJQ&@D1h?{lRqqY?k2SMk!%J;@MSi>So*8 zrxCMbAFUu`S`#=qtg2H8J^=iIhvq~E$ z)dn-Lbc(zCNAt)M5W}qR`xs5D(eoK$YR}d|LRlK`-1zE1@;G=FrSXisg1;P*$7Cu? zKF`F4h)0Yt1#4U7yo%5$q9(yU@<%3#&NPJk0*!gK3Ri;fXOLHAOYw}9pZ4MWUFpe~ zA{LPqJ_Wd+juBBx+SfaBBkotUr7f9Mg(0D=A_rwXyjUP*&XVc8Y=_qm4l}2pqi+u; z00bkPbl?|yUuu(xRjTS!y;X5|N5g!WGjGSdT8-c|{y?XA1dRZ)pO*_R*cwr|Z6372 zH`flIb2cG-bANRs&ksbpVeZ8-Tn%vblCPZ@Ev&MxGu<^nP)8Oj!ubS`TS0t7y{@8( zG`BuToi+5Pst@#m`L>OQ#?M67+0ZzpsRU%dKiRt2TDi6^9qBVR5 z(JpLu4`DI+Zfl!FqL#IOMXiMcwl$Jm2029tu0(kGF}Mu=OH$QeK&Y->!=QeA{fHQu zSYh$&CT_fhvp4PJp{1* z@RQ7sTOB6*l2Qr;w%6;TumcfK0<|^9C>KC*oL$1~6bnhiuan10J5??T3zb1{*de@Qw6X-&SKSSgZP6W=1yW91d zO}OTNH)A9t0i*{lZ?68>q#W8HEIIX)xdfiEU4+A z@o!!$lWK}@Xi_ym;QOhA0Y!~AS>CVvxuZ5({ElCF&*_u7@UyoCT)L|BoASx&*{TNS7K~!BnnY`Y0Bu zgs}C_!*5WKsx$y-LU3t5Q+o}<50aJ8Kb8JZ6~}MUJrme`%?Kvqnn{RGN{i;QCE9Vj zpLI=OP=I#LrjJhpo|~WU13nVdkT)M;TP0qFh+dN;tOYI2^f0!Yn)8YzPIqCO5QqU) zH!8k~KvUd6)Hk=Ox8317JsPV7JIx|O`~6}SAw~~l7G#nT8@Ib}FsKhE8}?^+L4pLk zRDeD41iU$fB*p;Dk(tX5tSHW!)xD`~mDFgc@**}rJ8(jy#pV~1Yhc8KjcCR+C2kgC z>vLcZqchEYcmRV`vj@1A1V0#IBxhkNO5h~`n)j}>NPds9fP4Wto7Zu$-<)s75~&f; z$ML6mJB<^d*by)OqVkjI%d%R|M`pH{9UqQZM0KG;9D=;*SfnNfcM09C=TDDco*s{% ze*JZGpXIVu1d9)Xm6shsX8Zlg!Pl?Y6?t5Ei>#Moxd}1E1y`!vnOs^5A5ueSbHf$} z(rBg74cN_KD9K6yw6?Q%Xe9trx=}@OO?WUou>iP9Wr<2HCNdex_MM3Y6v3;Ks_1Rw zlMNveBf~fzL8oP7iPKmQa|DT1+p_l3sh{GGDL8m%x8&tLKvamqW{L@E-wM*clbAey zupVHY9EHvJ!XPacy~y-e4_=H+*gcEoLAnCF!!d24*O|6J>nF6Ob70;-?byBxRA-hwxYw20FK&h|%x3t7v*Z8VKL4cdLIb?JmJr27!GGQpn9T)X;

dZrj{C;N52;9_3>9Dk5;2HXQ) z!W38@`%3~~bD={RX72g<0yeCm+cC_*3s6Czp*+;Ar`Ui~ArrdH!m#uE7ZRQMu+>`5 zo{IZXrclCRl_4581H{>h5*6GF;Jzi-}IXO1)cd)*`-xwp!ZCl4OVroK`_1_)& z2fih?bFrFVKnplOWuT=@E&2-?Uza6hrU*-gPI|x-N0&!24%2nsWHbxFs{zyAo+M@F zI@C?qrIE(h7`mh_g1C0!8&r8R$*xI&W*4WpV@7lhjj1TvX?ZSgt`6I|V-&&H%B-Ca zd&3s`QHF>d(^iDwLR|W?qg-=-ur{-#F|25PhQhFl1vWNJsW-?Ip_gwAM{FTXnWV#{ zsEavBwu-dEx76Cz;KKsE`Q5)Z_jI0as*IlIgW)WFyLp`6qF%wTS06z#??;=5kEmqu zcz0jRmW>*(y^b2MG*csh%?bgdG#<2c=BtxYG%6-Kqv+%|3Ml}Az-Eok%eDZL7jjMV zLaUYist6Q}50R)BO5N-BW|8hWk;k6%t~J9b-&#(Rh~8Ufe)Yl=;_!*N2=E;4a@LTz z78yI9!1>gkz)x!i_yr3KJX?iJCKwuXw$%~Rq&)k#6B+2Y!bT65$2qq(;B_fjfjIN! zYL*j!q zJStt5ux1Ym6%hIqiFgQ?Gh6uX550bP_UX$|xEqZ=+lfonsIoPsT$uCNN{A{gi6}Ub ziXZ9ho72X14G~x=Go1$6d?xuF$|i4^sPQb5K({wPMYU; zK^a1ZNkBoE3eqD_>nNB%M2{eUFe?V-%ePS97R_DPC`dt|Vhpc5KDLuliVc^<&&b?Vuv(oQ=c24+=5Zv zE)wD_DyzjiIEE$&CH=UeU>VVyzDOgPacq-oR=Sb=BM`OsCJ-g(aPIE?TG4j^D#VCNAYhM=OJJ;Y>TJomsF$yAbqnYK=*+Gu_tk_%2n@;@stnCX|43Eq>6~Z z%k8S%2mpm?<6eD$`3^-qlL4Y0#~%5wo&}9+l2%ze*|2Cuk3kR?l9hjTf{;&!An&GE z1c2HbE8RewJb|I6p=qDnC#qv`u0j9sJ`?x&gx1iY=D~&(anc$Ua+ZSL=NB+Yg~MOw3nKt53O4P?k`-@*$Dp)znNwkNiZ-TK2W1lzaYB;|i(Sck z^GY%?cEG@h{4e&vcfCisPq#8z%!|@)O(ce10v!&@>vuOd-^KnYdoi=V8QyZ9b$_4O zwx?LJ-U1xYC#Ad>S0_08Q>z}Nq}OZ#9zp4cJw&G?0NBC2348`M5_oV1&jeGr(lAGG zd>>zV-@FwIWVxISEuLEFDMadQ3>Kaw0)zx!AafXMz}ef?38)YMIQg-c|BGR`pePFK zjq4HqhaOVBW1j&y9vh}gW=)m)%Z0fFl?CW7;Q`9EBG!TePq51b8{qjpoBCA@$HxV zfd-BZT9{T}-&3g6F5BdxRSBbvTQIUuW<4HWumdjoI7mn+% zOU-z_Uf%s0(JT2Sc8&tNN20f9WS~Cq`@5URtIuc)a{GCckiW9y3FxflH3CdnyQ#QR z?rR#F3VN5Akx<$2lMTR3@qEq^oGcv{QMp4EIgB3>WC^;7?OAL-Zs2XFFDw~oYM49a&dtob=U{SRZ6jU7mi&@kXPvm<}1!Q zjX_LJyY4}|?&YQ*)jD5&*tcf%6C62m77#YWrNd%PyOi0&(&8JRiYCDf4aPD!z04RY zXJDX~W5^b7H6&o^UWGQ(6xEt(0^5@dk~Ac49|SvXv{83Y&jO=mI+o>TF{O#`W+pC} ziL@M*_8FFgpY};4Xg&z5LZ*8)XdPbAtKM zw7IlZkJKo(yFgy!Jb1a03PLw2o#J?g?=osB85M$orDJ>1v)5IxF}2xg)JsfF#_W>R zaicG6V&*Bzfnxz8CTu#&)}!50FoQzg8qI&=yDsUO^nZ-{d<>>(x#hWI(o&QYNFqbO z1xj!fVh_O|&d2i=5Y&oYN^=32BK0^Di1n_JDh8vccQD*(cJcGM$ZfV-uuM zwU9-|rjr&5VTg``+|-5RQA;Csu*A(orISb-&r3UITNZSm9*3}Nv}v2mkW@k#Gr6Q) zd%2t~lCQtItF#_Rim0AcVkBCLC>6S1HOyPiy^y2uuPL1TUz2!&s<8c#83RkD#5CbQ zvBBD;lE8>?MNFQ`PTL%$tqTf8qBNWa0k7Q(r$AX5yDp9enJh4b zq7~a>AY)m2C5lvg2MWYvT*4!{IfqRXb@GuUve+OG$juAS4qjp?K<4=EY5FsrDw&oKdTu|0RN*tt=o6X?&FE`hZ zPbkiRu=gfps`@vMi3+YRAYh!j{;XWs*o?T0JpsnELs;q`SHGX^B_3uALR^Tpz{!*P z0Bozg&oLXs%}|#-Wmcj0Yb10XFX!@HiE0YR@};Yt5|twZPWUknRDpupb0_5sKt>`W zWSmNvu2v%=*%pF(EgmnF)tW%L-@_ymZP|LpX1y?PCu%k=b0u0NJm4__kfE$K!(-ca z)LzfRV+r;Q&)QN((Y7J%*^pZITU&;e;fG@D{9-*r?;uDWkN;Tzju@U~8#c3iVL*)^ zDe=e|Qoa{XN}VF4dV;MyxmQM(HR~n#Td|!~h!RUEQf&@xDk8B&RcsMG^vgO6Nw*bq z$-LE%kZJj=tAG_uaU!mHR6b?}<%3qfWvI@tr|gC{<7`e*(@q$}>h0!*p$1sXK7V=tf zSPRQA2zmh|bF|<9{+yxr1&qeiTLiL;w?k}CaZrm7=kGflu%wT&6M7l1?otnxM;P7c z2XLmRlozEwurkX*CL=O(lRvomC29$yVbVI43kxro zAyfOha4FX~N@|uu^Qq`4IyNO41S1EsRr^HmRGWgt(URt<$_~r3x8!mgg`2T02zdRn zY6{W-C1R2eu+){g=|5I0w+;59El3beP%+Ofv~w zep|YZK|67PyZA4GLVsP9z-!Re@Ex_Ugj=G0B^(m%E8!_>Ux_TZ_LWF~YhQ`QB51FE5QKC62tQVL+1bqw7<@0y@4p0 zW8q$rHn+h}We=t>4n|T$;3R?`O)r%4bDaB;oIe7O95i8-m>#d8^IeR0^_xKIFa8S} zcOr#W^rk!hRLs&%b15p+^a64K7dK_{&qxiaKczX~C~(EKq-Dv4;0P#g7U5@z2yE?{ z^GEPK@iR~qRN?8M^_-lr-U5zWZ9>z%Bv`vus+`Fr(Y7A5GCM{N6zUv2>_ZUGR&A~( zQqZQ@mJ6C(bCQ}S^AY1W7Yca>8Q(!MZyY42Ha+_h9_#VwzvY-feL$4Fyt(>wt)St} zedQmRAG1JmYl|g(bM~|wn4Gm7F71^<%tvqbE>5e$4CDEpM3gnt>JYQf_9D&d>KV(g z__@<$iUrSfBC`Sp-IeztR{s>GaiZ0+IhaBfa5N&CRIE+bv0Tz5$ZAyhS*>h#iLo#O zG__7*{2$sT{pTK9CMB+@+H>u%vWBlIVxtqZWI1@suto89^Dx9`GP zUas}zOQn#QtZRHJw^gm)3_goYHE2z#yJQfY9&5oGM$%g`L*7?=a@Z*NBgDD~3q)Mb zM)=blCTT_jjc!&q7(?fLRW=xhql}R^?x(_WAZ!;VkKFd=q)(f~n%&bVqPp{dz~t+X zNG`s)zTF7&WzgB~p5)oF;Jw9I4ry5*{{G1+j&hFI$LDktzHf^=f*jDhoRBql#EP2` z0x}9wl1xCRWM~~BkAWbDQZ5l?y1xgzj8lp5UM>b_?{@i1GKsxt_$}?D%4=zq)Yhd` z>NcHnJy(nXL<$r?TMwZ?CW+F>Ri~*M-x5=w5dvBz#id9C1;s5NtK0J7Huz!e3Q~mzY z>%+|t`$vBLh_Hra9Y=!GJOs0Mxh)q0UT9E2H?EptY;}GdxPFnD949gXb31V4D)cY(bn#7?R zB#d=KI&v19&rj&Nd3V2d$KZPT@#<#Ny1xad7_@o-WTB`VZtFx*@al!_I+>Rn`96O} zh$hNI7kZ52hBz%?2^^WL^BJh-VE7ZZ=#&Zh$Qfs-XI1|d4YT1LtWs8b;~#p5qmdsG z4mx=%WBY4+!kL3hMBpa#iWg%#J_J+!{Q&lJ*5O{2q89cbZ<{nnbYA>4oM$Vy244~N zGuRE1y6Sn@`88?x^}}ydVVo$rkDK8jm|g$D#;Xya*)+nX%hiqD5Lx0wIznR> zG$Td6&VEO}4wV(tk&6uwsSwaq3+Ma)`9D|(#S+(@@iD^Wil0M;h_YhMFW|zU_>I*7 zEfgT;h?1mS40`bT>EvpFN>n#H(}XR6Cy!@$w{Q!5i5=|O4l2U6IY4`8+k@R2X|-TsuZ24W=Av%PqH9%1WO^=$4opw5E1&vL~No_Y47a|O_4!O#Z( zzH_kO$0nIUsE^oj(D)=}IT`hMLZ(qn&jz_$(ln$jBQIBsw7R60^~`zS>87ojw_s&t z{H{@pSALil0SDH3Pzv3#Z8SlGx#|~#(-0-nd5fN6vz^tlo$BhxRWa#8Mjwe2Rk6^? zQ@MxE-k}!>RVa=Hy7kIck{w0z%91XmSFJ?Exct;oeMk_I5xYPNbMmo(v#elyMlk&_ zuzYWc@bT{U*Xz$I*xmBQv4qzh?HdHA#-ZgE-`-8KVO#SiIgTNTa#GJBVOS}%03`9_ zZ&&x9H=`%_$n|g$&W#pgX(^6aE>;$)GT~cNqsqJGPbcP+s*gj4g5FVM3p-SPO@@+& zfEs;thsj%t>O!SS$vhH9+zB!P#_wTzM^R4d_wM?!ScO)#87>LLD5wQJDqD(DHcT{e z&W^VzBE>z0mj|(PaV?<$9)mRneGD&;vKHD{Wfl_?gzPAqex|l$(>FI$Ww;+a{CPXP z`R7!fgLV#O(sxozI{RgVB8e0;!@z@dWynu4?F1>Da;&ONXeHT8p6bJ?i+JJRJw={j zi5`Y4Q$`;`1@{iF-n*M8d3}3(dHg|=8H|U-9$C;}d&z!o#QiEzJ%+^sq||W%t0*TQ zOKhEtlZcaEe|K)lk^JMl5}&RIcq<;7eU7)`ck+@gt@s2Xj!1V}8v+N%GvkjXDL@nF zmK93ID>P(z{rl6+V+k85n{mm5o2&cZmruW-H2mG|rx^-(LR5m*n9Ge`?jlSS;5(EQp#4b&1Zx21-$^D>&Tt6U# zjwLAkRE#iC@~^}>lo?+Z5Xl60(mTL2Wz>(Vz=L$}PmP=LY{MNtWYh(!>5WduiJ9Q$ z;H}^#<)?_1G&qFEowjR_kX}i&6vTr2qdP2a+*uAy$7i)Jx=q}`G0F3&RSP9Z!6NoY zMwEC7oMUNQ=fy&~>=LHqV$|`~)29WtNqYvR?>2}jRNtC#%z+zMm^$ZAa2Ao$UfKS8YNVn>- zY*v%!ka^-6!BV_xuwRP2m+vm7KBjSiSiw&}fiz&2>zyMb7G_E6wrC5~A|0|9tf4kr z9ur_3sv!y-qQnL26bxFLVeOLSe=;1*qN;D*m?z!Y_@L&=ws&-5iw{9OKooGk4>~7K zCMphnQzboXoPl~NXiSe%U#iGF9HZ}0knw8GAohyt?F#v+>&4sRf?QyFBY`RBCjiB?#w%suciI4J19VHF-a9m zs-xkuz$>Iq%ztA!pvm>^)y+=m7pXC}_>C$Zg{BoCD0(5VM|>ew^6{ccbg*yE9tVBa zj)QycgzmN1ISn%kCWuUC$P9NmUm!dph0tag{(wcWh^p>m%u788LaST-2LATj-OZ=< z$<@uToyr|JoxVXklx(0GB!JRTmT*X@6@M-vmrb<6`7G|BUDMwvrr4S34B2z}OhY14 z0yeQiy`V{YXe?QTkPIDI-wG7V`3j^66X26BZhh-QiP`IjYswMNP2K>a3cqDp23e_< zIQ2MAi{gY1Ac$!NO||-iX}hs@As2}moB9lp$~8k5OZ+w_Zm<5jv&#{ZLDvJ+davFc zqZE9^oeTPn(UVCbY2E|ekBnL_sZJH-C8OLV6F-hXf_`M|R+DW&Uk4Sa6Owd9fpWec zz**>yXXmTMaQ@ECrHp(8RIlHn1^9Z7HfX`;ST)lDK($oSGOdnaT4_kZdE$xK$t)np!?n@L zAm_v~O=?RcJhoqqQPe#ZFh02Xe7D@(crh%LpzOm`9HHdQ-&>n{zJS=e7|+fTOiRqu z+s*HHj~hTmBLW|7$GMSJ8~J`el2ol=B9KJJ)f1v^A ze57WI=OYmU`y^@5%ir(r9)D9qV>*2AJ|P3)Ve>ImYJGjn;NGim7<|OB4g_9)Z@Yit z+!S}piU&IlvGKs@P}CsYl8j-9?iL=z*6csiHfcG$rK1L#4dO}BYms&|pg(o`SOK?rg9>H?Q%4ECGAuSqo7Qio=V@P& zmAlZ=5wA=25nVXz!fn?W$)7@jo>f!sj%QMg>70?ii3&QRL5vfPhGkP4Ib<$YLThRM zNuMN*rt8q{e8{59$s_}5#C=cCh5uB#6p;Q+v z!F|8qu+sE1DaIFr;lU!1e+aM8QH$}WWdlNu=ssHuUc(}e(X7Ry7WzD5IrGmWg0cQN z%RASI&K#NNKmk^Lj>yIOX!8Gv;H-a+k0WF;`e|OQ^&xoOsj!)e0+R?awiSS-aSgRT}1R)M09K4Gb#n#Hv5II zM$2`&tE_}DCtS?fpuAtVRR=Y%6V{69nMJ+36Kr39V$uPL=p%NJ%6yKRWI(;Uvx0=3(=-TY^y|a4aH7YlE<^2uJbW zftl1Q*;#DNSho4^@)aZqxTbkh}fH z)hu~qtQG{n*Dl%b44ErgjaGda^4}IzU-Kh2S!~OEBSWnAg~l1hAMEs;?WXC%L-&HB zUUY7ZE>=IUVUjo<@9MXhlfMFY(vYXeKh>jq4L%nHuxki|AZ(eZ;F8qAvZJUHujosr z#s`xtPHd5)XN@Wx0z72CTWqdAU0z*3N;>2X+wu;!gYFZ;0BW<DneM4GL#;<_F5KgRE1EkU85yL{!;xCXXjbJRHpNbNaa5^ZTlZ3o9j*bVyJMJ@Y zr4)w_&Je1}oE5MJ2!uo4Dd_CJ`k*ro7nXwcPZv{E@HhifAdpf}qjD{;@^USVSS_Xn zA6SxDUMhoU205Ka7QujMcN2!>`q7F~2N5=#iwX3r4~3A81`$~`6vyHTaUS>qAe(sK z^n1td*XBboLNEyu`PW~rKdljaeg7C%T}bipbeH6KAb&C($y_8q;Zb1$nhrUbNU9}u zWUy>Q0-KB*viE5!=TFP-<=uTaFKe@}Je>`cDp7yZE9xG@*#Z79p)4TXaEWq^q@OH= z$O%Yjh-~^m9Tyo8wJpJ!HMN%58nSbgoQY8}tHy~5eU~SxR6z`~CF7J}Po1BxY;zD@ zMt^k)Xc9e8Bz%D$RC{O*n!J9eQs>>y=F3gWO{(LrE zWT1g@0HZ1#^=5iIzrXt&@uID8)wr&mRMl&o4PyDnL%2uamaWNP&y}bW99}7Na!~KfZ~`EQO$|lQLc=0wmGW!JD!MG|8%oNc};Gm2Zh2jh{0m~QyLYIgaAff zfgQ&8>Lude(5DLNVPavhz07LGlO+`RAPdayCRALyX$EI3TD2(`xJ;6TD;rER2qcYV z*{bkdAezJ^xocre9Fqeez+-gb^;F`8NPhLk%|g!vaXS5f@z?s83l8F2zjpB7``VFz zt>LLF=Z1nydr^v(Qz^hNwpPa}wLl7dbek#37(9L>=BWfxeozhZz;=6yz*(faeV*Kt z{%FG(W=0BAz!?<*FyW2~ezM)RhAFN=kvdD{;9Q^&!+beKlO?7)e(lYFIJ!7_m%K@C ze*lKH?&D6JgjRjxG|?*KQFLMRM*uxa8l0Iw@P*M zM8oGZb@Hw%=UG8Km!!|<7QYT0zX=h#G+?p$vUxtX6he%V;jgr6NVOzZzr$|c!CosM?I0IcG-l?s+KH?9#vrr z?`RAQ&mv*ptVSmB@)j@`rl!9`X7=kL31b) zL7h84y-@6H^-?=ie~V4f;99_Z{};!uXU6TlY~0byhQ0a)d*wbtPa9l!C6i-U>OsS5 ziA$_0AelJK<^9zcGuT}}T>a8UwC11`Ye#x)fkh?byVQdbV>`xY7c({MMZM3HpV#|) zvy*?IXUF;#U%;BrvqL2SS~yr0pkGx5F#7=mh`4gNZUO7l$y+Y``mxAU2nuF>qKv** zHaUq!dE*BtIF}bC^+rZYk|-8*932nJlCGGXI}TdnkFi3RXknvJ;fGB2cc}*Rb~#ph3Fcxf^r1!x5IbmsG}E+ zvLxK+?q#_8+$b=W7tHJ6C8J>Rg%TZ>@vb$p9P+=e?#WJ6FBrMN9!z?3cl&uqzpif| zE47KRs}5o<`3~49nVIN<1O~uC6LJ|L#~*|qA!xZ#eJj=7BA795eh4gXew*=%{0S)Y zwEo-_){x40Cv>;T;I)E80vC7?ETHF$pW&Q~ z2(eLD*&1PWJwd!>#)>%7Jh`=@PKk4~keM$)iDLp`pe-dSi^n;uG>=+a(!!Hz5G8Yy zEoudKWNCilDP+uJveR+N1SBtR=A(tLHZ3>w9LdTGW0dK8{{F(ZE(S;#4W@)zzP4*6 zQA2YF0%@>nq=MgdW(%4I9Clgyclx>Qr?J0u;8=@TsQ-oI-Gl$Z?&ig1I^_^dk#^+y z82?etT6$Q#5SmwSfRjEH+A@@U)T%z)e0_A_+&XUfbbpUBBLGj^ysO)P_E27QK|5Lw zNP;<<)f--_J{)1IX>5w0hlSHppj*Oc4aYhhp_65MKVD0$BVg8ofzFEgfr2L3%~NvS zt9@-`RTDSgO3YU!&;GK6Tgc;&VQ}Bs{efA%s1LuQ4-cXUv9>18!E|{4@I-r9`vYEL`b;u9oPjlbPjwqG-$ z6h_IC!f0aF6_g9@{0yysy^ND0CE7`<5yq78jCyk<_M$}wb9;B>XF+dLh~H37L;jAh zXoU(gt3u`iG2Qg~uo-1C!#wt1<($CV?%LZ^la)Ufb zDB9M=(o(2XFl^0KkJCc!1evrLB@I3yoAW939lTE2B1nq(IcRMJ;DN*xsR4IWSW-(m zxOsY*KixcR9$1B7)2YStYdA>sg>7w>6LB-)scaLD#?q!1y|&Xr!>J$zgHZe)rhHTk z;KMe+Fdg9xXcmRz@+sJHVv~d)bNaw60KC2ZZembK|8j+(C7>bdIET|Y3ftt@-A}nZ zUh}~t6uDa(^kwZkUaju0ZXbT#+&}o#0vcHZk@8b`ViC;T^cs5|p}5X)t`BHgA~C3a zZSmo(`yvc->fPZE>jDM7pD#jQW`avb*vfpO0>!r<*@EH(=G84x`SNtby2*orYzke;lClb;}2A z&{hEu9oh}>*&8|8v_yM5!pM&rhE zIY6fLE?k|xzu^~jquu|f>L9$Po%C-z42^l)LnmR4`~H9OgC(@*?;akm9uaf$?|XmS z`S%|F_rKfuzjnU+`~7z;Adato&$m;&)2;uu^POGc-M-(^eK6>M?)<+_cv3r_0whTW zN>Z6pQA=W({OBAeaMG|K$G&=ua1uheGza?mus_SiGU9L;*{xpW1FH3Xdu>kF~ z>EXp1jZX(Z@9MWC8h_!Zsfq7oQpY>RSfC{+7W<-!k~kw+!y> zebeaPH;wLp)9C$g8h!9hqrd4Kd*5`By>B|n-Zvd(@0-rDA7=@1nT-fW^Cev6xAY#A z(1Q_z&VCg@!#tnYIq`Hx4NR(~4x~;ftSV@=lDzDM+0#RUt0)_tAGk*%0o30zDr?rz zAf*#aP;E07;6I}h$l>JYKpfNNaHC2{9Q&~#G)NU0Cc?g_lXec|B_5^flMhZo&WDw9=8v3P0K_0DB zSL0Z=wnod2R?Hz{rx7$$)C5)R&C=Z1h{H9(6`$0dhNIPRJjsiZINF6&3#}F^dHw3mesBNa=v9BecldhqKlb;IP&}QW2T`-c zwCSftdmb#MDQFcAaBq*%uH>B0w=s*(X`^)MB4lO)^2U1r5&MogA+!NtEI!%+Ak?qb{HJT|VvC zOjdNNzed3)oI}H1ihC17^~aSc+;$KnV&T7R10wf3L^k>JY&>XsXTFpODbh|`7g)wugFNLf+f~zHxO_VKPf?xs;`VnI7i1lwR?QeJ zXAc!QYMbSChnhgNuc&$sJt~E_=vu&0O|DZp97H7{6$CN{ zB14#P6t)gbkc<2q~{^!Fi<2+&UL|7F_>=M zc{WuaBqhYjT5dc(4fkjBQ;;?pftsI&53l)YB!f3Ur6;~-dcqMhjMM%I_S5UX=52A| zHUmo3+TzByP@qVk>du5oT!0yd{pm{`>v0nw)aZ5_)-qpWw6ZUc?#Jj*#kUXN|F+== z-!}a4+lE(m!51y{^|#&q=-YA@f`^NF#c(A>1JlWni9&PU%&$joChuizc z)9uxc*VIU|q&>*(Gq{(KyA1m^sdWF1+rMiC$va6N9e2^fJ{VYog|8vGc5}|TBnKVa3-Ft@?EePFWfO@>7G_ux_gkiJ!x@y za*jG_OgYMxq*`vXB7pKI1lN>0IY%Kb7`*wrmsyUfvGWIf1XN$3)g z!iS|wqIDQlGT`Sff0^uIuX4$wlrL!`UGe}}_%@o`C>Gq9H7$vR_V?((yNC5Hv~}fMqiKk;cx87=%LJCq4oOe@$vrpmnWoY(pnf7 zpkFyzhMUrAlpQ9$r~)0Dobb$=?SNB%b+DC{8bF3E6QsA*db&pcNF+T`t;BGIq6RWT zJvznn1(O08_aJHO&cb&)4~?Pc?-za-!(QZ5k&|22p;y>`U2}kYf^unwqV?2_tfXXy zFx)>=KuwCu%8!Q-g@>On$a&|ZImpLoifvi%>>u>{YK^%V0AEl#^#OH0ox!tXrhjZ) zJ+-7}kaeNez0Cx+Qi>jWkOydTrX2sJtuNoCk-vBc(<51ygQ0p$huTb;>t;I70q%ZU3bDwAr zX!t5JIa7Nnfgm19t3kn5ti4R6SAz-wVtk=)u*4aa4VKsqv*-&OWYf>MqEJ@DO2W$F zI#u;>dJ4l7ZW(b`pku2Ks5J9Vs(*j4v8UTRb8AMY%kg6La|5>yAPdn%rS7AEJG`#v z83kFF6k(*pi_4O5WVTibu#tLFfC@4C(I> zoL$~uKW;30=9#wdI?z^e@Z!yxf_s+o5a<<}7?sUV89k+qP znKNpJFA>&0J)5p3_yxYvGk+M{MJ2tn4ow z9_IHK4&R56OtuVq2S0Sq*l1^h>jHw4w>n90=)KT^S|olqWa1lhSe>fx`#atMM+0{Y zB7QSfU^p62j@}HQ&y&!YQZqY#dN{dz2%;UdMp4DaQz`My!71AgBA^K5ai@tW+MQAy za zYLbjcso1!}nBHTnW^f{PvGL|0)9GlDa=%h0Wa%l|HA?=Hd(ZGdQK>p-7qp3PT?KW>vbxt`bD8VkSLE{1dQ|}@#AS2*wY55HcXRqz?PY2<; z2a8n9S50&<`YLt>cEiY68jBB>iF*f9Yu15U$d9zrHZ7iP&!k#=YHF(`?~Q6?2Kf_W?#*3Dkmd8C zz&lTkg5pLJMC0IKRi4fF(l%9d;u*UgmgO?v>}we{ z79e3@TbV@#gcgd6B48}&K4|B%)+Vd@NpgR)y$lH=H1K)4U2KE&RA4W5K%FP8Hpx!j zd6ETUWoM@0164PC$19nP@_|RoASrAyou8(b!1R+1IQ9T;AZF7G_r!g3WekwhAuLpyo^?6`ZMl~3O zMr~S+0}(^;C}BdU;jhbr5l%-ga&(R=Q>g0o)ESedC~tcm5i_5nBZUwwQyE;WXPu{5|(&HR(MSe z!ep4L^G1uf8B#kWsCMNb7)fn1c0gj&Hs{4UmyaEvF=ypy&Cx0cs`{UA@9&iwgGbAjU|v1*0LK8(J%G)&L{HHf??TWz z!C+4TgYe{?lq@fTLUYwjhb(>xH$)Clf;od3)V~9_M-e=FVKYhE7(q~AO0rIWiNYP_ zH?g%9p2Z?6JWD*kziw5?hSAw=kw=SBhbhu9*Bq8_nAF319#XVwm8eOb4_T|G zOpt;mS91rAa2XL?i{+LOwooXyq@JOnvdL27v6OKNb?6t*;;M1W@ma=-aZEaOqYuj; zFW0?XPQrF4zZXjMqCMOe$5=H$I+Ss4Bq+fuSWC)R!#GmDT8L4s-MJ8}Si4$?S*%@+ z%8cdbMvI!})ew2h>y4}B^~TlmdgE$&y>Yd?-nd#`Z(J>}H?F>>&55!U^Qs~2wlG@U z3OHFst)NQUa59*lmJux3yHhj_6^b?)@QMaz>$YZaEXmm4u}|<)Z!Jq5WD!*kQeVb8 z=q9CW;^yLz6&r(OJcIp;b}7I@3v(rpF#PQlj~YlOYnX1bOQHwjHhDq4BEQ7;agQ&r z{<*pMGGr)Iy@YM?E1=9&l4|)VXSu-zw3Lh=Hz()E{Z*mD3REC`p4t?D|Chzp?(J12 zD!e>FOS91(DHYNLJ^lmbG@LuaW#qF=q%PU;Qj2P)AGBO(PVX^E(q_~FxVw3h#MA2+ zgQ=3}Xf<29ok%n?;jE6AP4{2Kbnu|hr^^86n6`=Q2$wiP6g@)vz+5cUaUaDAE4oH- z#amZ&>(==CAPIJ^iAOonmSIGDO5NXj3-GQq;_UL56kdB#3s7bsVi+PktheKGP?O?i zw#eP~btdRR>Usjg&a|8O@2W+1nIQF)$Lqgnkd4O657XMz{J2ZRRb{Tak zx1S6E@0srhsV_br_YY!Uyv`;u+wKqIyzTNi>o^bw=g3+-U#{6PWN>3Gvs(dVl)C}A z-mD#etLDg~@q7h@iLRn>0WzemUiWu>a*lGkhbE<%$1D@Yjo7&2A_K=96-mas z`Yp`xS3nKBtPb5&o_&SI>nCVSw7K6lVt(}}J9cavqBh{zsjz#()b(87CX!5nK7RL> zIkntFAglE?$t37pj?f9NJRpKA7=jZ~;Ht(1q(-pm2MH*r`8P(KJD} zorg;S6fhEWy?4+A75Ih zj;+4n3TaE~==>$myA~B$y=5y%1X|3Nt3?I^uocv-k>|qztGZ|d`OGFZK$kpgm4t-g zlV!WjP^J4yO>!?rY@wUhB1|gcNeY4+4g%AHeFG{5+}y*l$BMvUTC3Y^_ak~&%5yNs z;KmB5&=y(Xa&DO+i6Fp%2=&#Ul`hDdYn-1t6PDAw-p+M@0rz<`7DAEQVEsm!)FXt& zUTZ1uygz*a%gZX@)+cMkkdIMjWHDIO=9;V!s+^BFwsxT+E=+yJ#}ev`UX zNG33BRG9zS7TvTZ9mNilH4kCymB?eUlyA{atm&~DuBf^a96rBS zyNXfz&UAFz^`O&ZG|;ZsMM_^n#9qf0lnd*=vRI?GMN>hr83Z zo2t%RMy?6lZq=eso0WcMi=Z=g+9k6k>E9UwK2NSv^RkLQ0E&zU6Dx-*54^a{NVpPx z2yZCSfMz@pB7o7T{5Ml13Ey5v3I&jn#Hi$)Hit1K5e$&m5@jI$h}+i~78g=r z`D)0J<*Q+4XkW#)c0LseN?R^Yx*y5Rrp~)wzPsqWwmcoY17NC}1JtSa=gTT+rFPCV zA-F?;`3#f1#-`;_nSFDaGO$Q$k$)w-1O1WkJr5SJe4*gLGUMXmU#^5zIPT6M?W#-y)68%_$wgEtFuo#{oBVe#zom|Y0k&dOC)YGcA z5alXuYK{`pi}Q=Q8693*oLJ$qBqg$M&~lh?etJ{X;UyEe!U-4b`~rQ+uy5mC{g!O) zuUze{r@eb{q91zqxYQhg(=?e5Lb9Yjw3QsWUJao$Bx5M#!~I#Ba6|^ zjdQl&pB#MsYHU672i>CE85JTR$5ta6nwgj<1xJ=4QRMs#7ah^2j71`evKYO7_QXnA z;Gr;sX^J`qvw2!lIuzPbC~Sj27y9nzuM1Xmn-p0}h&nW)fuagJo5gUA{tn-WK$6S8Iqx6|JAq#Y(y0jNPM* z_7&MvC6DM{71aQTUQLJZx>d#60AZp4+#xFWhWZ1fG1&IP@tUXOIT~Q?iZU_*C6to} zTj2hf0K#6=VFYLo)ng^`ib7G~<=N(uuOPR&u5*_~JLj#n%fo5No=Fa_!IW-OiV%zo@}=&RIft$a%|NdBils#&ffKlpmm8G zkpp<*1?^{x!Emgs@%)*(pM1O$I;h&9SX;viK*9-{mt>ZU<=d9b(wEEv_NR1>)6MPY z$KTdfjf>_DP3C~KRb__sO&?C7Z0)eN$q}Z}iqi_GV-?nBWdKGjbiELZlBe41W4A8q zs4)|gV3YfH?Ty4nwV^k7x-~?sMkmIdG%>4zr!_6Pk{}NV0 zzBCVfR7)-msiZLFveJtBd2_$^7T;{k-li!42oG|y451OQTn&7MdL06 zQlaw_a`$wS9Eg>f%L6IqvlWy5=6UTs15KZdWv%@9bn~ZA*{yGw2!$@IE67R@-L#EI z2&e$39kdy?k>(^;(Nvld?>A{a_Q11IyYo#N0-8*gN77ugzVTDHzR_+b^aa|rlR-&0 zD{0Y;^8xJktD}vW9Oengbdhp> zBSh99s~C+~Y1=GxveTdxI@hOo|FE7QGIjKXY~ZgB7W}f}+={~&U(auEqCHB-&`=z< z-_S;_zJjok^qSKi5{v?XE|6w4IK2=U_vwoFx1Ort*YG*xFeHe>xd602xtyT%WmX!{ za0vKAP(oV^mlhP+=dzDWwth}gZKr6J!689qHP|3%;K7KiYZDy1rBUq>srLKfUjQne{vR}EZgY(k^xlp{i`+$F}yN`zr|)x(N^T} z@C^)?75{~<`46&Vb?=5Xzm%FOl*g*-Hg7Wz1}-P*gN6r^TOi%#MTiqMVbjh=`TNsDB}Kz}k1ew60(3iFX%Zl1huFP#Q?LTB8i zHgBG6kTc5C&vZD5pnbST7Haxa`ABLvHE}3$G5VPci^O)APoh;DJ&IEoz67-*(I0np z5&Q<*2oPnY+4~7d8qzVZ&ep%*ecA|g%`TP6c)h9+SVsGxocN}jt144}Me)+2BEJ2g zKxiHA0P1GlCvYB5S4-ID?1h`>;tjZ-4}84)!h(;fb!+v7_L#kO1chxI6*lT^V|ut# zD+al(0CNLCPHd|4D+W+2f+*WY0rz^n`Uxfkpc9gZ`>z#Yu=slX^eeht7+@bj$byZb z00Z(px*&!a%+!j>6@CbkUsIk5I zfhCPyIf|%aRwPv-evgs?R&wza3GK=~+l$hX1H zEe}LaR6|&!9+5xIcLZQ-hw^qchggc}>6mU$`G{LtrK;}w#O9nsGWRb2 zlT_*tKmC87wDXs$?{#R?m`gslnA8~!%#V6Q;-wP)9) zGfSpQt$VM2N#RjGzA$RP&r!bPG(ppm*CbN=JkmXu&d?*Q5<@??xQm&^Cbn34bdG4= znfJ9`LDacr=GraA5YW{e2de&85PZ*D3FS_8FjCSpcnZX0MCKROZ$<{442LJM86ICC z+oLaSn;sXz$ung+47Qk&G()^{VNrW~D90hg6U2?-yO=^ihysF`79}HsN!hK|k{yf3h;<0+jp+uztP^T6dyTQ!#(rz=2AF;$Z^PFe&HVoE=JD$D zW&yLsCK;eA?#r1gX!`^WC|aCXC&fss2Ceq8jlmY;lwfi&nioQ9iO1}-xuesd5&bHDz5e`kf5nJ*Bu$Ty(29y>$X1Ui8?npdamj*505Wx_ zX21F=0Y^+Mk>J235I1DewNKTl32_Njk{-cqn@REUP^>Zeu|Ir(@;vhBuJ_}G_q*77 z9e9VhZ#JADQ+qXeM)#7p;LvOjz^ofjzY1G*r%pGnCjG+*%(sf?#pup>S6vqwFkxQ@gL1l3>@`bbl zrn5mVG+xvyIe1QJBNLQo&f_i=Y+;iK{@A7>JPOECDvDqpGwrKO=bZ?HZKbe)X37Z9 zXgk5ezlD9nu!uQpei}B3=BJS}(fl+rC7Pdx<)iuO5l*L8S{&e<%LlIMBMK=QLp!-T zrhin)uI}%%57ewgKxQ~Czeu}`C}XI#ryi-(q6|icApE3ahOl9wh)FGlGr&nMO=5)hDZFHr+rTAJ z2E(XKn3vp%i3c3+^)RArhDWes$qKJ+lc;G`4tAGt{UAvRvx2|TU;ov5h&Trlspop4 zh5_%vw<-=5-$^ySbxlu@g18tDMvNZo^ewTl?E*POmsi)1(hA`hak5{ziCTlm0;6vq z$*n6&u*l`m6ztPs9yAxFXx5X>5YtQ2)GLEH0>&ZoIv;Zb^Yu0uXpR)r{6&^>0xVxwdZTn2l}RENXt>&6VtPCj2)S&$v9U};fF+8*EkWy zm)~77GVflOB66dLW=axA(H7ma)sg{84@9)8mv7wKQ75Q)WZHYP*W0Hw8rbJ} z7tFQ_UGY15S0;2Q+G%|PnMveuo+|x7OlfboP$uUnD)gQ0q5~X`CYECfQ4}`hux@UE z4iY@i1)x;AX?liC_|{ONVr>?xF{0e|QUDm|+;W=)Oe9%aGl2Au10WH%O&l)Pu>hAcrk(3R2CTDJ~`-6X^&zdNL{(miQldFdUYzBLW0 z`-uQG*GdkedaGWh5k<*Le2sdjBcWTzTH+D5PC}NToT1YT3!M&Kul8J%8erb`(sb^6 z+DGA9a6}MHh$mJ1!`c_|3{1EX4Gz0FAq-zVS)y7R=x*_7L+O$q9?vR)m3Q(BF&l2WnZi}RRu4cd%kkcl(N z8F1>(h-n};L6!CL?H02t@$AJ6vxnc`2`Um z&G3G6_0JSLSkc?@*<#I(&j%@XrCOkK6Fe*JB8qNM8GyJfgK}Rd2fM=_ zb_gu3#VzdXe!#9&FAX}c$gkikfyn^whWYU!cYt(0OqRvn4AC@ z=Y=r` zaf87k@DwIji&YDxlWqaY0~Q;wLCEkWJ}WypStSQC;PkSM=9iMWwGSgl~z z+*2qJIUp?`vtu}A7deN;)^ed{*_aIwBsqlwKU_4Sm&?Nf;WTXMJUOmt6s1&$aaz~F zBU_bILj>PuU85DuifbYkB&OrQ1s2OgW^h-&9!=r315}-|up{?+bqFaUr+3Ht4!&Y! zzzwn`k|jJzU|j_?l*Wp@@s8vB`@8!ggSnQE2pqy&-&sNsW8yxN5L2y3R2Epz*_aPe39vA$e;JED9;mudI9r)e zS6Up1B2EW@HGYpC^V9&}k8!8rcna5x3P`ds&WzEQD8`?w&mQ0~-#f;k|K7CS{YYXfRvF*Q*CWv;vrhR7ZhljP^qG$Bq{$-#*?=ucX%0 z-T`duU)y}TzM9^G?fiQ6aRZrn>h$@g-&pV~nY}Z^X6_iZ2ex(0jG{cN zW$4bvoINw7a9J!_wF%kX3E9yI@6ogNtT2rlfR0zQUgGkTDq_XjgX*g8!?h`~uwX?t zt0ZBFcuKZCI9(PBz`4lGkeR`7PI5F>#0oriUX2m9iE0Yj7)_&P3uzyQP&kNCU&2VY zS14JHmOr1>W4e4-TbDHnvAk4!g_QibGaxm>X*?e`h*FJj10cahgD^dp4$Nl*Mhb0^ zND6pLR??<3WWrV;jRNt`Be`}7^F zF&2U`zEGoM(iEuwvJVrWY{Ox5zNoTQjMq22G8vr9N(xj%ZG%y0uz$I(pUz zORl%PxXk8coL-dsY;{DZ5*v$yO6fH#t5XPL$$#j==wehsJ6 z#Xz^9jk^;uQ9yml64{tO&chH!VkNSQfLI5PFfQu>TTGL+mSs5Z@P?|WOrq~<`Ig<^ zm=||b2un-A{O-m=zEAJ|)xyjQI25_C-Plr72(~1Om`o{ZUIIwbP#?o*cGt(PrPZo# z88G#1Fo$vE^i<3d1?<3?l!?cVy-k@w2K3 zQ?Rt{V?IgZDxC5vskv^<*~6@OzW7;|LAm92 ziMrA>?1lR}Oe$h`Z-sNyz!>HqjV}*7xw`q41v6hTl*4v_NM?w4_2Tw&fl4bmT$qvx zG+D375I~Au=`v>7h~}XLI=O&s%IwI~vv=s)i8Z&1m$!zPpbEIMLO+JEEqpb7$brjZ zU%NZVF)RhNksVU{ZJ8#Jr*gVDe<}9^LPH>7qq?GpBc~J;$;~ppgVR1%rChcya=Kip zp>E5FXouBrNC{{KJAhD1MH!v;&6u1Y4IXAhwWmrTme;92IWB?IRiz<^oB+(L* zLegb|s8FA#woFQ*-pwDk2GxRPU+oARB3AZQh~{IfX7g#?pPl^g0Py1V!)FE@?s0&M-xVJK(BJ?U=hb-Xe0Y#IU9y9& z@zq{I`r{JAC1&Qq?s$PDB1*wWJW?BIwPTQs$U3WNYc`u`$#5KcjIFI$(DrV3B*o}m z2f=gLF9MKFkD+G}V3A&xK~PE*=Z?^2H$!pNX}6O#0h$E35dIt<4bNRppuK5Z%Miz@ zJ}@Eo`Fqz81!@&xg=mkqT^nTS2HJyY~$>;&@ z-rsiqy@&t(|8}+)B`O+6(b);7Xn8ri_D8rBFM&)NrxFztW#%L|nYrh;jqIyId+?%d zBM)9Y^2kS4-hjd7s6?ScB5>=Vq66AT6HFo?-%9Wl?q`SX;(^c!VgmlATL#GJx!g2_ zNMDXFGROy_S@<1EH58uX^OEyHDk$#}E{f71J;+H4l<}XCf7Yh>7(^QEwuIE9`0E@= z1ie>k~6(tv4h9$cmv!dPOZ&st|UI0G{3kDK_DHNh|!yh^)O@e=BGm?8ILF}3(=kB^ z+Nd+fIE!8W(iH4PJmUKI4f0Qa{~{;l;`#wGEzXFxjPvR*m{PKBq6lTkL}5T|T$3>5 zX<#s%EKtRuqQ2X^1p0a|$K!XS!Oy$?S8GYHeK{d|pwtTl4_`>vpw*LNF`pTgVm6-{ z7t+o%!zTCF&(Ca$gy8!Z413ZlA>q`;!WLC%5D%GljN7#&Cc!wN+^iHw?ryJiHWLyv zum{LXhyn|OP(-6x9Bkj&Y760=7uHqgS*|iyg(qIYnJD8Pn96s*eP_2X&S$)3Is1|0MNPYv0jX^G6O2eO+5wolA{-KHEwbvOn1K#IjofAykOy`Du<7cZ^u zuWlcZbN^7McB5rW6Xxw-!%Io}Dpenf(}wUpy83guxlv3pUN%XPpCAcUyEiWMoDs@= z$;8sLSSqD}Dy*$u1gD~U?ogGH#KNC4LlS3c_68|VbrWMt=i*R6itE}Q5^eb4tMFq) z5fiJjh1#>>7z*OjBbCKZ6%8?&*N2(XwRzaw|FQY>#^%#AKWM;1ZF!u#i%ucvcRMO5 zp|ak)XEKsm!OP4Fa?L{!OTk)Qr}J>9%uC`ZfI&BR|5|^!`xmM(@TgDjp6(}i_rG5~ zw#(*o1Mw5CQP1BWeENh)&WG96eH0J~fY)Y+R|@9bpLO*8{R*a*Pro3l9K=#lP0MBP zpsgw=pE6LpGF;ZeN8k*3}`&5$3ZGx6Ql^Tz4lZ|AjWO|7`^mmYml9G5SAzoU?Hjnh_fXbg=D1O zbLF)O6ZIBGjC@u)Ds#>snt(^(z>jO#(VD3{t-mVWJw21LjwU*b&s1c}wi2lnF`=3_ zDhF0k0H(S_a7-zk0h6!#sI9dIV~7^6`v@+}Xw?jM7B+IN+y1-8=oIDAonIjDe~vJ? zvva}ufia4DCCbBIM~;iI(GI$baX^%L9^pXSEAd{Htx@@PxtgB^4|1|1UWik0vQn#w z@}|WH6X%48BU4PVX1}grFQI{|1(-`1UdKMJMuV{ORT!@nG+MqI`M2e(s}C2bES(zo zWY0y>G6YH>d8A%>KPKg60Xi?DV0fhWWw)hA2_CufH(4oYTt#9U)ntTYR5(UCM5dqZ+2WX!+X~kX_PNtX?)ad|;(;D=Y9mqurR9-c&!9*3G%^(zbo79BWu~x|h#bxFMnofh z^#m0dib7iW?X13|DcHFN>MYwyJ<>%OKiMt7$m3uIjJs`L&RXPtD=i^RlU@u$HluuA zBM!53LN=Jp4kTt!Y0k((#7Ni+WfC>u2Guex7z~2wqoYhpWNQw2 z3$Z?&8y!Pf%?d?Vx8ElM1be_iZI0z|bXUKCk{7ud;U+NAy81o;VZV<-??S<3(a#Q( zFpt(BE9Y-}f2EtWxndD#S*E06es89|es;GdD$TZ$=Z(M-M@e($++pAx0 z;EhG>;ynSe zR?-$O7GadTxXxE)5>2keM}=SQpfJoKRA?n=af!J+$Mz(5m+~sz>W-Ta2*IyY!<*OYOP8X?5(7j`($Y_HtyCNO};Dx~f74|e&7=6L(d>Qk`T>a3T^yKOUcOH2DaqzRjD zJ)6nZI-HyY;5I;#lmKxre_SI#-5IR;j+quZJ}%UV6Z{33Cd=>iADM`1&Kn*aIb7=C zk~3%>2uH2gs29$ug&~)fG$yMS-!yz}dT(BJQ&k=im0!Tk+1u3#hz6c$7CT4-$k>{} z4A-(kNln0{xa;$$`KPos?mU6x1o;hv_tUetyZB??Aba8N6WEhauRs6xxV-uU zgi>{)PF(8THjd3+P9S#TS;knc%qU99v)ZURYWyW0>uj8W0Wi+Tb9DSsy2#+;KX32;g=DeMn@>Ky z^=sJVn#7i04bU?RsiG{U*+>Ax2BO2~1;n&Dnoa#>`RBvx8jZ_Q&V2-vnErU=k zgO`Sh6uQUI{jNb5DtwZ$%4t#JJ>0lqo9|#H|37u_+T2ExWDC|$;kMZwW8G=>0w6_E zduL`1#DheO4-^23s$N@zu_Q>v+Z3tcqgpk0_rLEs$K4|{BO^&xe_L9W_{czJWQ2#m z{W!m%A82K1tAH5!Z~MMpUrio2f|h7Gcde7b+mV}yd3mi;ivem| z(*_nDXSxDq-NKrTa5|Z}uPLq{Z+_cEC1Q4|*5?9*XS71K@tNFvldd>z@|ooCWb|a# zDJAe(sYKnkMr&if=VCq#b?x=~baH`ePIzwzQr=pip#8z<_m9_``+O!?TcKjRE0w?0vNUUB{5JL{{x8$%54bcFK zVgh)kr&2DUBoiszSpXI13qcpGiqs4-I zR#}!aLsds4LkwaG+ME?a(^RBa^%NyfJSrSYtgtH#7=OK4AxmTZdarSY{n+8G=%K8` zNC;}3tHpIt-`Wpn?^=WKR${fya~{KLnk(O<%FRai*Md0X{uqa|S5`h0l#1!y=0BO# z| z2UtR=h8QcUM;H**74ts0c!^H_pZ8W+>nBu_JE_Om0rlGl;PhQ6Qtk-nH(;L*5ZZ9%N`aj4v_M|S=72! z1|g)GQuK&c47C!tQ3XmCMM)fD$1#nI*%($?5)5%O5xl4~fm>!=r&7=7tHpRWow9g_ zRbRDVS;nIonGF|fTkS&`CJio?4qGRx>y=3dswLBkyCy**en8p*|8Qsiv<>u1vc?zo z&hg5XaE<`H{Q05ORi&3eG;fU1mOxr^S5B7jLO+Qmf_OgR$&(}6`^H-EMjuV6BKgqh zP38x%2AOxz+}}#FkQ(I(0(yRv_My3PSpq1p4S(plHdsE~V0lFK!76`(+^S`kjpMw0 z*Im>4Mm$saKxU&5pKSplvr#TkMDc)DrF?w=KnN4~eWl&nHk^ zuWzyZ`lnHQAwKu(<;@K;o>D=XpXW(A)>U^3cErMDs`A$GBofqGeGT|swklu*>}Ob^ z8uT4U3D_|V!x;1e{4k>cQhnLzfmxR#rb#EOLv4RE|t(xXw!LW#i0=>BOh5z$-;q{9W2^T zHT?mCcRrh=-(Y2l*;O($vPrftKwxa%f{nHLgT1485_%6f?xLR=MBwNeRVh(O&2N{{ zn~@W`7~|Em(GIZK^7o-FXMTZjEn4nZroK@2^Olv-G^iU*Z<0n7{M4nZ$xnvbJokt9v+pp%NqzaICEhv4-e!U5$+Ed1$O!^YTS4XP}08&Z*Z%H5Ixhd{%9k zRiQe&>b~1qVmnWcK~wb?+={)|UodRvWy5wk%n!6zUAA2?=a0&(4-llYKa?t3y|l~@)m~w6_*19TRgOuDdO zs;C$95Z=S9heyaxv>7O9B)uUwL~Bhv@m85%pFP8Lva9U*b70grGB2`ACgHsUXm358 zuQ%U6ZoaJ_?k>N51GQT=-JqUn(aF#y^p;2kScEuEYD#kJdfUp@J#5$f?Hv25J6gz> zu$HBm@X;Lq;5~?4Zi3!%g}_@re@+nt4=!MmB@#7t0+|A@pU4zoH;|g^V|jW|Ocs(Q zAIeJ~nrMHTylrVk6d4x)!`T#ocy#zJzz%nR2(_fyM<4}o(hc5%C_^<%U900v)%cE4 zMA9JPJ8SKZCkb#23@~6PqLEen5JtBCDmRpdIp8VXn$CQx4}f81V`@fk$9)*yc=kpZ z^!%O1i)ZfxRr&>Eo_`b!t7q>6=frXXNltD=kLvm89Ri5C4$X6mFIVO#;98UU``D-E zWEumGSk z#_;LkoB=Vodk;yB5}?4(DN&hBC*;!#odW*g!z0A1!KIe74{H#V445q};C}DzaYL0F zv}R`e0vby)riGbI-t85RRly#erfmdIz9@xpR)h2&lcI+m^^$dm;uFIp_fmWl2<%Oo z<8$zZiq1yc!4O;S)5L;j5 zog+ov>u6!c_)p-a@v$n(g}g*rCr^#JN9y-Wh5=LfCBxvee#tO|-(E88^~;8#wErb{ zgFEIW!}eb`3|YM|xf_rXUN)|49|}C8_}uoma8Wk5zdi2F3-8yDc3{ula3{p(a|2NX zUZT+U6w%xKg(C;Z*?-wRU&C$svXNxUFC0pZ{DnhzdvDnf-h`Fa6F*a?jMNuqa3Q)i zJK5{XZQv-%YUsZ%Wi>!+3H1^#6=#5rSy6hLEuKqHD_RMA+)}5yS1ZEBc*{^`oE0PE zR~KuTP;a;Nmq;pnOBT#|7PHTI0luODEBCE-SCU`E?y!|d@!A|1)OlT{e+*vp;zx#A zv7iqhE{-EG(|kPI%a(7{@?-g(+RiG!zyNy3!;u^sY%P2Gs`C@&SuGFfzd|^yVLcmcV(sD}V>QmPTCP0Un0zUbLVd~f*i;p(?d&ceug z>j4DMWy$^&K*j_Lz-H0P%jRFDPaMJVJNug=9C+ad9LY{M9Ldfq!I6Xs7+`2EgVM>c zu6QpAExi71^AX*Z@55taV#*nBn-*jxCAy-&Ee=mbSNj}PvmyZ>w_2h3*dWEtIT72pS1{KYRxk%la2|GpPLk$1_MgHS8HrR%nOlsrcKLkuUc} z{0L>*Jn#67`uB`Cs8~rrFuh-#Prq<{{}*t$*oe^oTkmYI45OvtyEbHuy>-hOqx=p? zDiSU#3nttAM{i+2)VheZ}&7oJJWXJawx!SCNL@9&$5diD1b1L72zyH0(K3k6_<;xnQ3GAi4iHz*3LUS;GL7XqXml5qzp8+5(wMA?(CZso$U<8pcPcX~srU!nqL>%afFRr@RY z(xYsPn(N`|xh>%2r|qwo|MSmZuWtCleqkLA(*JeK|AG#2$c9{R;kaz`13y+Hw)t7t z&pn5#Eu6)v%%6g-YdiE?bO`CC?pK=q91PGrU+4_n&6-7-=;<);t$~UJKzpDoEVDaT zONS6W-i3jBCLwaA__I={@iuLb$mr-Dg`+Xfqbb_C&hfald~YU+oE6rpV}PlYZ9t~a)#`uSkQ2~}@MV*)8o#%KKjHes zDV43m(^@M(*PnfbC7!L;qwPS9mjE-Mf3V`g=_fIT+HW}j8kwSS3{E*0cxG56mpwCV z?`3ymG}u%Rs|?FEB`svc`Yd$AUY-e$x}59Jz4H6onza=gjHnfKz|oEh#-j6&g0dW) zE6+6^Y$5Cx!Rdv-D$NM5=(PZ0O{{RKz$5r^$1Q`}gWFB7wIbFCE--pHrz+{GX)c?u;H3Uo0BfOi1{R3UYaO-#U=8=_ojr!Y&`J2gwg zEzbP?nxP&}&$3nbE=NoINu?yyo!cfMkKCP0Krj}gPF>4P#6 z7$pituNtbDj*D+(kTC^sad76atwk;#jJ zQy5jPB9Eh0WUWL!pLn8AYE@8FOC@<|z>F_GV!1lm8BBN4;ghNzx_koA3`n)eB0O6l zJ0Ir58t?Gw_7RW}KkokJ?QU=H_1^C5{oVdxcklJ?2Cyo-pdYf9S#_#))EK;)qF^K9_O4GE%QtItH=`WRLgtBh*iW#c zmp`?8M<+;S7LjT4+hfJ&K4fTUHuVoc*oc9Xf5iaUpD_>}>4kAR#fM-XYiie)^dA*Y!=pdlf7sc+bD&hq#Sj{#v3!B1_-jLEsButruVe}r#c|zVKFIy$H17c8kYw?}@@FTE zy0H1fHGko9G`US z%G{ih*Ii`Vt8WEn8b^;6n%y3Dp1rtLESgg}6SNRrm_BoQX_P1<@$?K{FxF-S9EjJj z6$VJUnrr58HOCQKjuK6$pK*5UbnRI z6k#k}qRU4w%I0MJVR;TU;xt0$v1($1jZ^4t!BG_--A!VwUQ}*o%f;^$+ok)3jIM5m zov1KNe>$I`pTsGaBtT2+eO7`Y_(7IhqS-W_lzIctnkSK*(RRqT2NnuzE#H@ff`%HH z;m&}Sz{(q7r#=<50bdu+(RHdqNN>YV7NUOdC{#LTA}ZKo+LqN~c)FZI5TF_W?is{L z;1SbzY_W}SXYTmwZoRr4U8b%%PmJORljQ_fiKARN2BzUl+d=*zdaU|-`9a33plE}N zy08?I!E2X?Xy9qQ7zT7?7`2ZOZCFdupN)82vEFfb(xOpgjY{6X&L@ZMyI?ywreYbZ z#c2Kx=c3iH$61PB7sG()TVo5Es`h7m=L+LT*q`wE@OP8`@c#ER4?^Wrfi>nC$zC_J zZydeu5h$qRCX0<71@=~7SI1i(nf3H&O>TX|1rGdvPSFmyKUdNS@F}q z32O@)D86j5hELoS^TPzirYI>EZN1lx(!W4N;5bpCjE^$lmc3LK1%&qnXI z^{)=6|Agadl1Q*6d4@GVBM)nROiHO{vG8mqQdKyqNyhnA5wYCOh@g4+f6(o14h0F) z3ixb!a+H*PEsY6!&&F=^a5oP*%Bs51anmf*(FP6ZP&)?N^tI z=*wduhb7@7o2XDeoQVg?C@Qw_e4USjFZ#xV6>BQib+k=7Ag_jNcJ(0@oKF1K@`!R-?R0#VF>CD}|{!d!s&Dsj$Rb zEr1j};vg=2D+7t5TJ`~G=dS<{2)5B&5X9oNcGOEx zXNjHp;#R7OwiA{At-FNhrj`$rO`hu^!AisZV!l|vn}l)Jm;HpiJ^fm85gW5NKd zJOYpi(2Yi`6`Vu$EYjA+mM;)c_N}+Tea-nJz0yz;*gQRZ6%NB!NL=QTn&T%Mmo``i zXO+ql$J2Azj0Iht{YniE0!(e@`rSsn8bn0K)j z={)gh(j^MwR71jL@SQY*K5bHBDc08J-1Sh~5^xH$#nP9{mx0DR)FE`zB1fR;;diuQ zYK7YYUXvB1_6p3MTZ9>6{t+gNtR($O8jyU>Y&_5Rko(@C)TO>XBPIEF<&202xV z-;*T7%{=4hNoG7XbuMV3zSGjLp@GSHb+KTJS7;BD<#OA;N%M&xq59Z3pigWJO2mWZ z9@KeiV1`6;Kng^f;wW)|#|%DVL0HD!xkvCJo}!P1 z67327v(1cq{-Ehrzi^*?EO^n?!xg4_y7~SPp||v@(!kN<-QDKqA*@Kx{Ao`MGlK(f zegRkJ=F8*tCGBEQhF>o~US4ks$@JQnj&u4Ma zipZ@PRX*v6(Bx7bVucQgA$11AHzR5AHvvjc-&Rbf)7D_rD=!dsk{`F!T|BGPs9VFm?U-uvW z(Lhb_8S%m*J>$zGHKC8(!>>S_{kZx1%jT{j5&9ry4q-hgJoCfjBnYalbKX%jz`N~l ze9D!m3cwJDrg@(1WjIo2!+l7dN24ZZYA|_z5)w>aNH~@|Z9ZLH&Io7z`4ULGC$m}e z`63e?mbHLz*TC4m7Y@_<)8;euae`0JPAMx_N9b)9ZJFIG+%ZJDR@e#rUJ@s!#BpiWT=L*70+?wM z6gRY1%__&cZ|+v#eT`yJI+D?g>FG>hY!Zm6kUbz{k$Y_}0j=GquX<%^fD(b_? zTz58Z7pH0?@*%5QnMkzq;9G=8lk#Cev*>=k_Q$$dYl(LY&n#6cI;nvP0KR~>r_yyP6 z+NvP6dYV*kr$nxjaSFs;kpacI4f6Mo93rixLw~lUh^=?%o2DgpZ(TH>TP>BS2@$X4 zvHb{y$zr2*lFncpon8-p!ZZ_9XFiSKK=$e$!vYrjK$OdJ5!d`OpoqO)T(N4A#rW9w zt@YYmXWD+`_@In}4HOH*5i7%bD>-!(hycF>i^XvlQUX5EAMAHRmGnWl9Nz5|HD*P} zv-tyDw?!raE%AC6pSMLrTvPw?ha<<|J3Y848Z0`~e7)t@+uMg6@8^%# z_uvD|%|lL67Nj>}HUewAK)f62{F#nJ6^~!$Y7lcHbWyekw!UQjd@EY{$uZq2nxMh( zT);Di4I9E#`5F4J{owj<`~n5VM$T`qFNu`rDXK~T?H(A-a8*B9-M)Rt11y?AsDJ$7 z3fL+eDIuX2L_C8ryT8wN7t$f@z3CLy3`@zGG&3uS0n!HLJO5p%G@zYLgI_ikbW+ zG0cSk4y*Lbm51V_9$4mUYnl1lP+3!- zTd@$ysXF!A_S}1k+xV%cU9tQQR9x7%X+fJCgLh)i6WDA(bpvR;*YEcS$J*Cg6nmb{ z*D*AkT6=gjeaTR0XM!2g!2~-|(qu(QoUb)!7!}|pMY*6#%7lbcQ0TT`+{CI3vCu4p z%Vy3I_}?g3rlLWKYvJ2&0*q!h0htJb698LSwXx!~aRm*npe5Mdq@@DwfrkO#vKiu$ zd@9(c`c9G4Ls0$=b`7wr1|#Nc5^5JyVvS+`;aI5@DP8`2I$8}4_XN$(_$JY`r(aMu zLAXYPbK{q%xBi4ggIqkFg}`qsHr&#pXuB*wtKX{evAyEmYEs;i?0SDeLZ(aasjDn4 z%856n5Un`hZm-1o*!3O{qT)d_`m7xBC&!g;V$89?8%aAgvQ`EXgUg+&scvV9zTIcl z^dW-`>PBT(d|Z`m4IioeSleW*siHV{G>#Siib(`iHFVpHX$~S14z>Wh;*t{ z`1hVipliKoKZNFi6!#WPkHr}94)kx$-;XU3;`J7PrN(%?L>bxp*U1LyD-ND)h-$Xn z9Di1g?ZEUZkQqrE)1nl!<}gRCb8b;w$bBMW27q3H4DD8;FpC?u%s@YfCJdAS`+?2z z#$I(9vSE3Cfc-@q2e{0|4igBqkPTa0BAhmk))`LQYC&{<6CaM24!CvUEwCP7h$c=L z`S1=BRPdQ2BHNT+UqA z%){JeY8EZMQcE=_QPDr6Mi{E5dH4|&4lEOjy<-B`b8PHTOoAUvm~np6 zu#rsET9n!(hBJ0lMDiE#MBv)jBv933EhId*`iFaVcXB}?D}NB;Kb|R>$VpXQl}~T; zrpm!^tA^n%@fxP72~W@C)>5{}#h6Ki0%{pD-A7HUW&yoUQS7V|>iX&F1h9JcUBr=o zc9lPp9ivRH>v~klbX^Z1iLUD`s5?YmAH@iSOk<0ZYXz`pEn);kPr(LtC<%0f zxZjt`=+IjA9eB?nIrwZD7}4h3_=`0c25mhyT=Z8pT8fnRj?(lW(@mYx!4c? zr7x0_l9ZPu^=@{u#7P69V0akoYl%}`vG?JUjA6KZhw~VgPYh1H8S6!5irHp`?zFmu zI+gVdFa3>gjfg(e*u%mDO=jNmR{K8KRujvy7X!?`m z|L}dExf`Aty`0Lg^yIWKUG!V|W3OKP%uAp0%gTWFa+k%FgnqO^EMOP7)&k7t>SkE*RYtkW*ev!iD86;_vJ<E` z?jtWdQ(V>e2axvRcBhIXH*g=v{l70aNx0+a1ILw zOA0iY$=2R(wKXd4Vg}css0vF3L`mXggsv>LBh}w?>Q(=mCtlx_^PmC69!sk!pC{jI z`TZ0%jxFsFuOtIls~PUg&fU{dK)WZL)VNcX3?LsCqDLry$mm1v5B1ta2^G_y zsWf0(92j&0wqK};03C=ZeKK0~T=B(5@~hI44{BO+PJSkaVj-AeFq zAh)MQIXz_CC8z1+140!@NJEM&%yb+T&DH&QokUBzocspe+e28ewQ~Bb+!)MyJ30T5 zBBY`6>%G&kROx9M>;+vZctvn+iH4v`DkC1Q(*=@Z?(YF@m7DXF zCVWAui%>bgd`X!zA-ffxKuE#daX{@qT#wJrDNxp1R{xB&4=+(HyoqUjTEy=UXm;Vn zLb2Qa6nkGI`5JIXlN4cOI{nBP8(x38)%hDvfI2=ZTBUUJOR6I1HCir<5id$!o^wNx zYwayWxG_KvyTtA{ww4asmTYK|KWFc*+y$1=kqG&tl`n0_kJMcC*Y#*JbDq+^w!}4m zJ$C7wN8ww>QuI{F&+6C_De3e)UtEmC`a$7JK#R_%~Qt`2NXY^ByJy{z=D}!3N`fS$#AjFe`u}PcR;qiMI6=74<+R@Q6z7R z;*`)}Jd8<`dBOK{#k4kXv0$y0kTls}bFV&esyw=<66=f(v;{lt_AB}u-v55{@z=ZC zn_IMey1aS_KYDSR_z?MUmEdADQcQ7y#1S7t0^7mmV5#S*H} zOKzE1MvUb-Q6oM9@)yDpNSbtA#5>XyNnc{hvwTkf$|C;tQ zJx8<{dF1Qk(|4#eH2vqT5iy?sOy_f@JP@28DkEniNrtG4FZ4aKn zBePoP%Wn^lcjlF3o1UwiN1P9TnKU`xk}D@0a_kFBt#n`NSUn<3Cq?n&Vc(yf9{(Ih zJ}6c~4hH$~c)7|rbhU_jVW+l&x72IEkZ=kOS4VzLl&sm^(dRU3rGgt3u@QaHPT1(YT-R+aPO z0{k!|P6~{)tQLzS1xw4!~jcs{>Ec zL@3Qt`2u&N!=Yn?+WCID+p1Ve0B&84QGCQcDNlsP+ru20gaK=hiD~z`{m;D;p4BL zZojOTXo+^cfv)Y9enyqmEuQ+6f`|Xa=kT<%yFdP6n8AN^p7_W=`<#F3qo=nw8yu)+ zif$0B^Dx*+*f`S76A@2dHV@YF?0xhp;2*>*YFE3ju@jQ>fc6LB-#U2mYU<_C{;b*$ zcHSAC7dx+EN*CQ%Ij5&D51+Waq7%3K=3XP$IkhF4?qTO>c-Dn0v$3Yb+3|Vu^i*s@ zjKT#{%CJJ*p_E`n{sT?FuB7JD&%HooJjy2=!0LjVKhtF^mjqofVF?uN0P&|fS|qw@ zP6-!@EnOY~k_`UOaC}~zEkf(5zo3d&NNv$}a+xbId@-u4nzQ9sKI5?upG*jv>Ul0| z#iOgfS?+4e9!whsU*ef&1T*%u-g^6&x6ge|_WHZKu#ruZ0CM+WZLr*1hTrYKs4TI! z$9JQq4nGM9-)G@RnU(`HLYXoLB|cK-!knxpjb=lnY10LL7~m-m+lioS{f~Ml1zpWS zMpJw>%#?ksL!Tj1*V_WBFQXhTmsvsDD^KIi9U@L&CQYH?Lu3qSbTpTrN-J*%>X&&j zW)cx{ziIDz419ULLD$Xc<<&K6F-_~OlsL`670^)oL*YnbRRL=RJllj)Jb8>fw6Lx@ zNqcFYZ1!ZNjvO309w~1M=R~s_#mlo+V|v|(+V>g&O~XS-bHwW=Qzkfr*`E$iCa_Z* zLG1Nzv9?A2N$?rELsQjQt8)mmW_>qN>5tJ~?_=0Df{w}ZdeCx_p)@kgi_1Aqx+$@$zmgYzYyP#1Waf||N- zF5=QP0Niw5Bl=DKqN{zn=U)*xSk*7mTuFD$FV?TIQ50C@@As=KBqgIM#(ESmkp_oH zK9X|l3&X@FDSwiRU0*IFdGkw-5VIH&Sx(r1K}fO%wKrvJYz9as;yRG^6KsD@iZ))fp-VSK9%^V?#Sae#-!;J3!5ZXO#@uTNGRxgB^Y#) ztR#U@(U3W=+HXW~c5-~z>dZoK!HcLpL*U-(PvVFYyflZjA%@ywQPINE=+R=lg!_gw z6KLOUB}H?T-mNq59uv4xj}Oj1Y=;NMeYe?co7S9XCrLh53 z-MHh>H(#yj`YbyCx^xWp! zr8eBnw_xgfJqDKn>ygZugmSz*r+d@CQTqcRaQ$;?7VT5DgQ5HdaP+Ra*H-3}G$t{a z2B2-MYg($;n6pSfdzP+_t93t|?RH1+XAu%3U-N(6#?ql6K zx&bzL48Us9|3Zn7?VI6gT-6jpSx)9dfIJ~SM^WjRBJHb*CUJ9^*Cj*Hj#kw*!dyP< zZvfJ`x+juG*ee$PdSaj+rq?y=AvC&bN$?htpaNBA00q=@j3(b78e~9$TLlf*iw^*b zdWWb6*Hk=%Mcr7f&Z2JCZLy*4&zx@6ZaDil<}oL) z8(v?1fi6DyWnI((6G@rj-pQ1Bs|x^V9&T>X07g(IP+x)TdcE-#YAh#XF2>QBIA<-H z3$(~rg-mf>X+{TZxeHAFe#n+ZjQOku_9w!|4gHMln(|f^S3g; zX|zJ2c1x4fa_Po_TH$cXy;9>T71)9QlEJ<4&>koUxH6{^IuAC4!-ieh+;8rF+kEQP z(qEOCtgO@mL!w9knXb?^2~$Q(iwsMsd8ImrMrz%Gt<=y_Ikg|Q&|WP2Q|c$ju3fQ` z4FaUHKy9BPL@sM#EseuOz=BC6v(xe9!?ymVI^{nQ7n$cV4=?Q(2)fQ(&8q57YUcDR z)an+UVzZ%XN5ME8In3KKscOHhCktdL<-2sOc#10FPlQikAVk3J$AP zbBbWMvbIMj;37!)Y^Rinf2`N5qw|vk^mSmWNKOBr!$ugC)PF24w#p(LM>CzcQo6XE zWDabkQdu${GO6-&l=$`%^R0j=;^mVDW%f(Br{c18O#m;F0n4_*#(Kb+(qG&SYVctA zmq6IQW=;B+q#7>Z|HFUi7372BS-XSe*fspiZpW^#Ct-J1&?~xOckDn(z70oQ)A=!q z6p(mv57%XBlF0#KdPq?<<;n9~0#6O>nFspnx4jbA6%(~2tE(Fm;i<)j^I4$k7kc!; z4GPvSPPuPv1H$94u!P!iwkQoZFA#P)^|OhkRV)z4#SAGp+qMZQAV-(~^eZ=*&wteG5 z#ZTfXZ@^MQmq=cYmMUt}-`x_xnq7mTqqoO6m|o*_NWFsGI;IQ!cY&-nG*(Kk`Zd#6 zsSGFmu6k~C@Ne2EC>Xnv1751m6L_n&oD9gpt zQCX=qG4u-#1If7o@o3=cEiq`0Gxp(z3tt2qbg|j|{nO>|>(%4t9^ZW{{VcgNa_X8^ z_5yMfX+>i5P?C1OT%9l4ja=O=pUjQPS$mA`XUmJW3hOk&Cj)*LEAL(aoRWg@ja?%3 z8H)EZgid|sdrWq!qclPnd8nZ@rXzNoL|po~y?NzAG(#TluL+7~po}4Jm9h?tDF<9_ zxAZ&RNv^|MR(CgRt~zg;ss#Dh`~K#0^9!xqbYGl_;ql>_q%BkRM+a>h#(ohynU03# z5zEPz?=k!1A^JJjExOWmoe@$WJw9^ww381c07di;vmfd~T02YtI}}=v+(Axs&f&PY zVKT#Q2RFO{M6`}ZiuKULcc3(7hJ;GH&7twg)c7cFm^f<+g?b1m+5C-}xm`{4y`s#c zxeV^79pQYKd8htgV`%DKj zbt%_IYL!t@m+L;c0y)_&=oCFzA1qP2aRd&~P!WY7+dgH!AF+r#&t*!pX9|0W9m0T& z?__1bSRoRS)YBsh^X67T&Vf3D|L;TvT}ybA?QpL`*+-4pXa^Na&AVX~tUBmITmL%H zZqa7fqk(+5Xu)l1_enO@ zeRb*A8?fUe>w5=ednnrn!6Klz+u){_66TEh(s+r2UOK6YO+ z9%&X#VwGh-D5bM%O>sNzlgddT!QvF815Mg&`jy-DQ=GmgW~i86D%SK5aiw5oS$kRM z&+a<%OVF4*{vLtse0<>8#l}7IeRugKun*ONcbWj1t9&G!4TC#AIKJ2?!bJn2_-BmRKC_?Q`|! z)Y%}PDPG9%@rR4i5j=OsY&`QNh&ZjiPAfhV&NimyH<(!Cg1A>$=%{N;#%sEt77GW% zJWblCrLR#O9I0f_4LL+wtb+3lQN^wr?3VX&BepC`rA1RaKqyv7LuK)~=IWh1tg~(0!l6r|@HBv36-|(4I)Odo=M&=ooN41D>=A(e; ze%FO^Rv%I!ol&qd-yXe;S0_E&xZd~3F37H$>{%eO4LMHuzrh`8f|IjPuW$b`dbqpx z=9Mk__H`m7g@sqeI)Fu67z^_9kZ{1@6~ZUBSWS7LV#sVOD*`uZCs6T&cSYSznnMbO zt`^6@@$?H-LBs$pQ^nSvZmUB=AeE12%Mnp?TL;WILb5LVvg^SXb_#ZV zgHrqE=Ps-lZ^=VmwZvw41v!pYv2kN-IXbQGZdg1eXRXdbrAPIl-t?o`IvqP!`qYk{ zow}CJ9x@chik@vvT%>Go>t7iw{u4Y`Hi(T|1U3>?h%S4&$E9X1nT~L}&KwFPTvK@U zD0Up0Qe|r_6+JtE_MPJ-xZcU+!>1eIU?b`%aNDnXANun*NAKdh?R`ysIZ0h{Ykb8v zl|}@~3BjUMH~@WazVt5Ou-Dy5bZ_vAu|3fSHFUJJgEU1HG-LR5^o|{kV@zX4$z+AL zh*db~ZY<5aIRbk-qXP?Xf_4l?=Dd%76HY%q!Bkt4COCo>q>}}w!}|jSgmcys)FlJc zSL5hl;INn}qecLs89kRktfg2uPw%g*#GteqCLrP%ktQZ1)C?DvO=lQHlXp^l+mv^83ae229 z$480d-R(8X-)=U|x$@Y!?7Skl9HmWvOX6FcpDC+^;&Okd)gnRaf>(I74@qqfD);tdoY z0QLj&=uY~eelATPAYOv(YQ89$81HD5 zn^48`^-2$g{1A{s_#S~7W==@SkLnkQ5E~b8BK*yz$5W$#P7N!DDA&fsBj7$TZvsyG zXf)1MSe-luA)o~T4HPVcO`Rqlm)tfsqzR^<{{^nq{r=nD9+KAOnyZCsVmyL1`YYB3 zOdeZ;!#z1IP=QGTJ>8qmo+DQGx_yi|kZVo3lH48b$f5#|iHARx_XChMV57o|19u~w zMUOZ4$PYoXJKQSCO`P&Un>&w*DEftbAFdV3_}dX%OqKhF4{9@OzHR+(zU_)9paW}& zhD7?*cEmNo6Y4&t8Z*L7V50D}Rxlz3JLGCFUaQ_&b18OAkyt zLEkvGb~$-}c1pChrb61fDs5Dv>0}Phf)qg@jD%B|iBf} z`iDfQuNnd8)vO13vkC*MNm-EW;0!## zz$%%aqtU_nlpW-|tv`)@eE9bGaPau~^QO}TY>lhzK#AWuc=)0~$JNBAR(0M<7mdZV zitIuN7U^Mb)J+i7KUs7N7LqM=7v$$Vk;Q8FBV%+iKEhHm(ooPDSSgOPY@QTs-Y(Yg zY+j_-$VRZt#wRND$*|e6$Qn!FrYXW-?V^;u#4~ICl3G+Fy^*q`B|M}joB@0Q6*GVh z&<~5y7^+8!BbqXP)Q6up;_(e~aqE3T1L}b{qFe9;g7>$&Zn=6H|lS;}j#(eYCp4E#1iNc7lhqN%{$l zccy~?BDF*W0aHPNZ2sNlVtAq-Tf?1QGJu3@iX+uzjea*-BQ7ZTKFdOE-HChwo8Vn^ z;3o!%8k_;Ble)mc&zQS9?X<>-#Y_4Qnf9Wh&hqj@YDJpeE@CH0MwaJd^mFPW^0t+f zwO1kuZi3lGP%&h1yO-^4C%((uRp5R~n{X>NsT_W^?Zz$lKs!B63ZAnO#|v!_;^IOS zk#w;>m9vQvd}Q(DaF7t|W9yoigqMN~)agsH6Djpgx!p;wcf7eq zUc>(A_m9_``vOs@h_r4Lb@E(oJnp)=W7XSyR7z~*I`}-@GH9OwCuuu;-jp~O*h#CN z0J3QDeStcj-(Aon#I&n8)9i0;&yby2aw953%27sKzvRZS{pVuAKH1!%?w&yeAn5$I z#{FT$Wowmy47d#BVo2gm%qj55P03H~$kWC@fyPlfJ(vxsQob4hUaSRP;dhJqNW)yO*()5Gmy`kP zt+oGj4BQgnE?TFD^*Zv?u&zl^rZ=}8bB$J!bd<(-_Dg~8p)aQZYEulGX&ej5S4g5;Qg*A03Yio)fGp-ETEQIDxyibs#CtcuOk} z68~FVK)G71vMtbq!+bn~oyP|A)FHS1y9~7Sa~O~u`EK(&#ld>{>+RhGesMeU$+5>! zOF1;1Q+mvDa>vX;8JIoh#X~~x4eU*X>Yd#y*H^wEg`@@Xgw9bju8wu>$1n?k@lBE;ge6uxWRDZC7(cq)(*$46VWc8$Do&RhAP^{e zH#u(C`nPmGM!bh<9u_I+3@6l5|9)OF;>O%S$>#*p$WsAFN6FNq2LVsQi1oo3GH$#& zOiDTDh%g*K`Cw*jnG3_p1~VvM0@%eW)^(ZlERM4VA9* zZb2dEH}VxRE3tO!N;{Z*8;kL(kA*#sb*PKxE-&2CgtQH2!rK$sArxFu z$+FXG@`lyoJDOq;3B}9Dn^rzC^1^wi)Pk~KWW1Gc3sxPD)zc}(q%?Th&phM#Y_z-B zd~PnUX{K6U%gg9=hoqHLLYEmOof=ck7lj^nEop-l0qk#h>989rwcI=+Og7-XPl%G!|I3@VZ}#5q^mlgm z_Xa!t*KaoeN=&h=Bol}Y=u_VNPG7b0#R|1HxMTBB;6Xun=AeDk)>`eDy4LEVh@rG; zK@`PWDrqVT{^E#*XQ+pGlbjg&n@Oe309!r%hPEvLoOKJ z{tyn@Q&TBwow@meq zNcM$>6Sf^p&nLX8vnBhaxFVx*Qjbwy$UmE$y14xN=DZWBM|QjSMl5Z)v<#WTsOPXI z%!O@~p(4#pr{Z)7U;n}I^xZc9vFr!^5Xlb*LS^DGpSz8#U*18%FfQw+4Ck<+60jEY z=1XyjT8G~&wO&fsylalktq#<|d~04~XSKNvQQxWLYn1ydIuEeZuwX183yVUP5?v?E zi;`Y<3kgt(8IZ#Tik!|7YncE6Y)l-M^U1dU<IZM|fowIc9b<)Y}W_N3| z`1Y#9wG36@HLjRjxtPt5QtT3tiV>p3OHn^_s%ri<-lF-}n1A!HG4tkMUl;G2Fqbqd z9cIkoZE-BLH#2XVHwNH*@z3Qc_setcv-_j?S}K=mA+Jq#_IJl)ONVf`W}Eb#GnlZ% z?!eAb6R(_wTV6Ar%TZ4Qbwz+{Noy8cWQhv%!_{|s8*8IV5c}?RwYW*Wsvx25hJ+5W zi5P9IJG$}Ah7(&r;X}j@{hFNDoM444GCBsv`f3K4UMcoAeF&-L@nkYTLtYaMtVR}I z1U+llbz5UIX8M*CB{|t}1o5T_v0zT!QXz&gO=k0CjwSA6gR1BnKMX0mqknvpe8FnU zwYTtXnLZ)nlU_R zUmB2n1t3rmaIuHG_6Fg`^hODv;wcKHOgcI{N6o}j{ZuvT_VI3dd-wJ70YxXyv}!F< zvmq$M_N}ripFwUY81W@yV)w7^=%{2YjDX~Zc4ZS`CyVH0*h8^!z1@^A082#Hjp{k_ z$=KRqGCEMdUf$enu9G7;-Hcg>mi7h*3%%V%-3mO^l!AJkLAbXTYwgkX|2?aeWUIfDi>6mPN?BrvG!*)2p#6Bh^aQ1{v>jHl=yBC$D8ndfk8thfF#u zy07JyCt<2Ieu5Zyvf`XH`3gq6pgHE=1&r0~<~OveOpu>GoJ9A_-x^m=sr@p{be+k$?3PZf{;~ znPoJHfOwIFLIq-%Y5ul(u_>$ZYVf3sh)&ccX!2wkpy}1&X5v+~Bq|a{h6kKhu_Syi z17+$Mr$r$dK|V5D0p&PF4uQ=#a+{-(0;D<;l=0Ji`F;?LzeY{wkSBNbY+?i_Oog;R z5T9=#yDv%Q@j;t(#V^b&!2(d7t=dNLca&PHLK~e17n`rQ4;$K|kKfF|p$d}%S;l=M z$90N=L6UjOmQJ&eqb06-`*?%6t8D!9Z!Qn3)yIlxPAQm@*#p%^8&QOtkJ{yK+v+kl zgq*$Q%q*|HCTDCwLZpn#wmPR2xnCY1DEEl2> zkxsPa0^lwLzbsXddD42N^q(&erS#_jN#zkb9#D$;+Q?Dc#{aTO^&RG$|6O(Vu3zMr zj4s1|Y_>V9?=X{9nWV)%9xqqGEI|p(az0)kF9F=~^Lp>(=x>lP^dk|&1WBSuo!E%d z8D58BzG~kNcZ4jlgf>K9&GX824O7L}6MIq%0rSVi)?;^dUbQ8)cw9lsYxk(rRk+UM zQyDLV*FVS+YmA$@(edm1Gp0)8-O#pavjr*397^x^z$1G^dB1t5z zJ<}?J%FqPX9TF5=e2-ar#p+%P;mBAZ?UFmy7!H07&JWk#XoLzSkBNMs%Y$F@SN+%T zA_rlLqQ0Z^u1%>i8d~I4pR@RFm;I~x2#P$*s-MG02WF- z7@m)bsR*~D{ot<0_~k#g0x_r>@NZjhB$2b`kaH1gZ4gk^vGN;=uRtb9Uw!kz0_ zMHW!52#r*}_7MC#CiTl>#MNTyh(QbpPM=7e_FgZ#@p^ie2&@c-tDADWTloFh0E4x`u76Nx$)m z0h13C z^>*K)8xjJS{mrZX-f_f22$ZnbIs>l4r-;b_ql&HpG>)-(nZ(LAPK9SOMO^{IDtiB4Z+MAYfE81l$2&!AO?zCfE9&a>r4Fq21)A zojX50Uc$?8ci&mxx#RVn1?73xJXSD9Gz}cNv(+JVpXFBVcl=tUd<2FvI6m+&yb*K_ ztGFT@-{P#0e`c4h(X4FKC_Z(*pOVp911Dd9*?dCe8baV`gcV6_SlJ2dUjV=Nkv4Cq z7C``uYLxVdCTdH$(=;?=r^UBMi`mALdGkvfPkzGvuW8=+HIlY?_*tO}jL6uAhCJqV zwB+cUQ?O0OcuGVkMTYaUMXP`Va70ZhjnjtcWkE+9r*U zh+y{jv-kbd_{jyjkQJUgnIqy``1`?GwB>5v|9BEDN1A^pG<4}c7w^uCe_x{ajc<89 zjkesKlN-TI5bzT&A(C7(UPqe1|H+FVs7wYr)uu>itaLNBd-(2zbWKD~u1B@w1Xbq0 zqQ?BU4G#5PgCnscxX7etsTYw|LOTb1`D*Nn+IWFrBTYPNzGsBshB>!@j@|@}kru~A zu&U#ZTwkn%Ei81A{u4--XbCR@H_lJFN5t1MmV&zlxdy`3_lbpA!};c|LNw%>d9Uq3 zutOYjQ2BL;y0*vRu6TAeZf6a}B-W*RJfBXHu=qeDXZ{Yb1aKf7xupycq4oN7a>0HQ zz#|(SAt6m*$vtz9KsAHI2Y+nYHoWi9H@~CzLADfZ>4h)X`mDaN#d$RZ^Q{V?(7ozC z@-nR@cK=NqpCk{$+XCV_ipl~}aI!?DCLFU{+sFWEWn%C3-q66m+k9PrLJHOTdUInz zEMU6R&^nDZO*Bu{KG}b@7#qk-I{mV^vZno+w}kq3eu z)d4g-f?3lVg8R8z2KmI0M~71~=xq(EKx)5%((hBVLYj@IS_1}!Dsxv6jz>J)x8F5N z@>%PKY6H5^AnA(6Fj!D+ffaK!Zq;63O&)_A`E;QYyYon}!aSdF!~Gndg>*n75kqSa z7AkLMles8-MBx(7q<%ah-6cYRN};HAVy6iijwrLH+8@PkS}5;eiDahPa%Q0$vCLX> z_7lZ^P5pE)#a+|%#MH5<atbh45Vc^=m4mzzf;^DO;!f8DjHD&HcKrx zysbxmRXYzIfDfSAg*I+a`VOjb&ZH59a8X(FA{0i20`b%+245v%ZAZp4k)(Am97Y1}w;7)c66Y1eog+A558$2y=IDL9U$yNh zZd#N4***I>96TS!2NWrT|8@m1tJWb1^ai6jq};}>63-)h(t>%lN>0XZXV+~-o}>V4 z_WPJc&ln`+&@BHn6aXOIfqhD&TSQ-RUD zJfFYuTfC>1)BE-0@ofr5Ymaic^BtVXL_A1Q?@v#~>{V>tE)k;G728!G=`tEeemB7bvsh`7DJ z$1=KB0i!FZScR|LfEd!a8{P7&5Aeg}VRG&hYRJA_Z^Ttnqt6nNqy1=lTmnlj2`vel z2yhVi`BCNUH5={h6m!sSCx{kG=0u+;>foxPuwc4$Vm_+9WEZH6L+zD*4E9QwIkqY_5 zkd#thJvM*PK9~TR;%I&jrD1k5Kc1Yx(GQ(0tdn~C>eir8Q6&c{1Go8)-p+o&^XwW@ zJK*PVb+mAepOPFXTw_1fU{-8AkeZgXsLm7s+i-RaM%*AX8$~K2EuIlJG)I^n4dsOzIukqT)&T%V_)Kk6Rz99N}oHr|F)X&y{3SPg9!z)Q!fI_gM1N zyA@hFZe}+CJBl@r9Jr2AT6B=KA{>dVp#>VS$J)5u){x9sxTGr`-- zVXRE5u9x~UD+76m_9y&ozw2B_&*?%4rC&Xjdx@kMW%?)GIaomQCiCzj!4A&g)nQu& zcOyY>ft2gc=~prg+ksu59Kc1-HW0#aS$)r6*yzMrhiUI^#sM-R378b6&*_<@Z=UgR zJ`5^oT&E`*CniE6-_!|kNNAOZ$4{GeZa9!YrCd@Rb|n0=!`E*9Ui=^*L+)Eu_HYjm z3$Aeu<|}gMkp}9w^jUME%t#wQUS3NkE9uzUZxC2-UPLVNrCWONW z*<$jTr zUv`FhD3?Ehw;|A6oIl>clr-`9NuTc+$ku>q>q?8$RpuO zgl{KS#QDmPKRj&iAJ$)iBodBereF_eCG>aWmF{029j)l{@h3m6?piErEQQbsUVlrbLi(YSOQ{vZc*S!<-4e5CpQz-6Af&$ zy84RNlxj`>CRyU{>y5YwaiBok!&fF-Z`v zd~-dPjWaqKqDC2dEvgj$jPF!!@gq74Zf*0|AAevJ_ehaCI)B8mYe8@R&LU@aAk(#) zYx)Ts91RWllumyT7V>R`Xb9n`YEb(FHBBnRE}5a|Sl{Bs)t6s8{XubHm9Rocs`j$r zmhyQsZkMq6P&e~akaKANskKL%j$PxH(B`^~4}r%!iNL3Ov!ieX5QT9miL(*MFB9TD2hXG7SO z;3fT}pd~_{V#lRqRcCHK+by3tJE10aJykOkTt>&-&+t;9bbw^Vr!TPCUWwlG!xPI* zYic)5E_hd3rqE_;$Y@HHSymv~1r^@>@}VWrsP4{VGZhDT(7-2}RY@9YnMh5d_B@v{ z=Xcg8lq*oh=O(L?_gPfuOW${5VfjJ!CL|PonR3hCx-h(R;R7ZUEXIpXT8zk~n2+*Y z=Yra8r;*cwoJC}YaDfG_z9L_!gp?$wB}wAEFJ6+WCYZrqQXy*_ZJH*%r^uQDM$FwO zoQMZWj!tIjo@tL zw&Uf;hpXQ?KFkd__K?nJ0zb~}bSU7zWSnap^9@hVi2#pj@7nE!?UP6#Ht%E#_ zQR8}N3O_A2AoiIHk2^xEYArnn;tRBxV#m|@dh`9`=G*$=?(*9=RP}{()BVX$jg*6v z@?&&)ef5h8k@f5T;OM{FTW-(g96dz>;e^=u8p+zQ+zL=(JiKR!Qz!h~_>i$@yb~Px zIOFKn2P?pR+xiX*fPKV+RYTY0SL$TJCt^vg^*BH0y)69iSS#Js0R%$FPkf49gXz7#@9JQVgsh zWC4zaIIizfOtm+J!!O7TcIqN*)EJ<5VHq%NO=Z%>23E(V`gp>-k5B8gac3cBSpZN9 z5Q)2LD@j&h?dPk>a@)U?#it*E4)YpSQ*?8nKYM?0Jb++EJ{x{%z83ZxJ3wv$MeTqE zSg3qz4#87XytC$MDwxL2~98`ZKF&|sc1f#>0uu}-)pq~2f_tmZO=*`{b zwT})e7d2WK1B}YbArU=8+v~sd&X3+DQK`sTo7cH~lg+>qth|)NuX8$*lhbmhEoKqi z+0CCg4>8Y*!|2rLW|Kq;G0M&sZlyJXo=pWI6qulwbaE`H@EowCvhJS7z0TQ61-jw9 zC4ZZg-Wq%Ph%U*FH(#OfW*aL2byHmo+9anCy*=%z?`)Z&8UQWC+2}L@GnA4iz-inA zSa)(_YHvDY%+kg}0R%|y1iayJS*lSEov0G`CO)&2-l90BJyL(+ZrB|c?4;7pRgvm{ zdIlT@)GH3>gn9r14Fuy}*#PY&%4v}oL;@L-%G_D?_hpZoaXS3{)8pfi>6L;gBa(4Ypv4q~i5b`6+Z;zvi^000cOE>v zV&47}n74*BJ?6e)U1N?npC7nSFoD;^I$1);jivA@0*jeK3hkYc$iN8{9S^K(*tJ(i8*h@E?FjZvU^XFqXDhBXQW@`gdfm;QNn%wm%H~4}d( zCO-Bf3JP_r|M-uso!X$|;vhB`ls$%j&X0fmAAAO4oxcH~>f!1d-lad?f4#oH{H2#1NiWrhcaQfA6oYtRu*{A!}@AxpL zqE|wi+5=vx`q>|Nq>5E0ciFOV3jbs4|266%#K>ZrQkv4~k+rXCD;`%jPe zmk%{ATj#L&pt$J_91^@;+_T16$t%|gDlEnSLb&3ccQ)T+Un=P;KEP6p5&E1A@q_Q2 zzpqKdDs)J^vE}1EqEaZOe`=Gbo;KX={6Lwa%7mb;A+OtiCA$nold?l)HgWY^Azs*{E3+RBlnuVam$-J0~!F|IEuy48Il0ck10rF8tTrwP32JhZ|cx_`9Uywha z`b|2Mbc2nD$S};r3)JvpA$uHk2et8(b?-o|oJ2O5H?$_wKAiWdMDBY+32$AO-`<2%4f`r$AB`=i%dw&FPfk#yK0G}{i<!&S69&Ru1?l95 z9fx1$0>j7CAxs8!dISe7j0^r#23MMLh3DUlaZjXVf9HGLS$6r$gLgTLmq8Kwb+3hV+2>5r^*G?3a_2X#z?kzyfc0X7MyZ7W0Cunl4?uiYjLy$J2n<#9nP?)};0v07T7>a%K!B-3 z*r?f>bvhpn?P&VJ%=^1)fR3d&Tn%+~eEW}3hhk!4`J&XYA!4D^2u=!n%FRG*`)u(u zQ43+-6SzM3hT#RBULH2rLzh|&K3-UvW9AXH++dRREPp@)XS#dIcI<7`KbJh_nq z%5${ZJBj0L0Wj={8Ts6)JU7&;aPT6qh!EN~h#^`>+x$mI%YVwk+*F<>C=!S_5&wwy zvCozR-Eu#||1~d>pK0e+&=t9O&7i9j3m&cq=FUf^IS%sVca99YZe(YG*_=EDrzLr9y@<)T0?{om0NQP!O}jB6b!pK0bR_ulm3VH3sNcN( za&h_a@z+ncUr=s)6HA_EBr?EoaDFaSDjx1GP5zI6--7!8FAxLuF-Vi?_ta~J^b%?C zEv`o;P8;HIr=2V0jv1z^ku&c&{&Q=!c*-<;D(%$T4k3M;a?6Ae1Z@oA9{?!(vs(}4 z>u2u+Zmw}%p9T2^c3t}-QiLn0x^$US(`NxHoj*5S8zBvxt*RBAP9JSd8<0a!UT0fg z!_VmW{A{{FB|;-<{$S|`F{olk6x}>Kc_t4Rp2Q=&#>^Ve#I+hkHhinF;qZz$6V1m^ z#`BAJSguZX2GiZ|-wh@c&;w@6)7{{O#9K}4zWE_=AbcjBz@2B>g)tOCvr7n>VRn&? z9hqmU003xnC9&B&vV4_Rx}dOH`Enw6D@V%BZ{3rw=%{{$TWnMm(qJ69QL+!ONEHk- zuEC?i;9db2moQu4(m}zVnduK^V3OH2?*=-=2`1zu&K}P^l|rXmoad zy3!G7sORM&(r~nL-ZLyXNt#KJsAfI9H{jHp+sAvBt~YCg(`Sri!&a)*nuoETMjCC} zUenpCJ+Ea*^Y^I>BPxZkQ9wR8+u5Be=UD?=$HH-dZYsvoc=Oa{r2w|ggJ=4UmU9J2 z=YDvE(;GD0KUOi=D)99a81#4f?cwomvtawT{_W@8_4MxYYY2=`LhwTFd$MPLI6s9b zE=8tf(x;MKw7noEy!0mYHgWczB0_~oP~tBQcZ`#BOb2gC_Ti*l;X=b*IDfU%N53CI z$+5s6%_#KreLInq0e}u|9*-Rp&^YAMo89M=Jc+LGj!orJtXsV&VzLuj%Mfl5;VByumAi;nf zZGLxkdw2ElJ5oPCT|IuyCSV2;w$u(kQ}UmJzr;!(*ONlGU-drp=UC_Cchyf4$2=6I zkji}IZ?Y2OlAjpJA}ux^9q^!Swxe^@R2^D_?5zFxLle8WrC}J;^?Mm|ad-SJNtA#X z$=5H;Px`oqj}N!#N_X|qGt{5Zazz<6*o@ar>Gm2l4cD6rAF-pY%13C2n22;l)KXD9 z=FhSPV=G}V3d4z(@J@Gzu?ao8SfIU^^kd^S@E8SzM^3|7Po5eqD51V^Cp2~WIbS9& zIt3jClzFj+k6#||!=>g2%QQJoiHD{HlFdu-*+7*=|G(=kSA{s*NGq<7uI@fQB6>^2fzv#C3i8fAZLkZeVNnhzRGDuAg(;E?yHsLErgH6Kh1McHH<`RYYI`;?})+l3&UxKIP1}H-KR6s8FD2OFlIc0bAR$aB`*^K zrQP8yDXNU3Hp8fUyQ%aTsST?Sj0qkeE3ASvVMRMns5vjr7MVbxbXPKr>~9hktKt@s zB2rBgPEKh6RwCS~QhH>S$t~yeX(SxgR|O00W(!T1cZmXzr zm{n<2$hPp-W`ml)Z%*$}taNOcXs=;#dzWljH(@Bp*j^6_uo1np^?@eyy0ku~Km7Q^ zr>~dm%?%ycLEyq8dqUkGpH1dCr&K)nDD#Fr58jP&g9<7OAzS>xSxpAb-5iN{Nn0UX zd~cmTD4Plv%s{Y6kYh)uR`MXXhs;41%lvWvX?=P&#}RZlm^ zO1kxi1kIc+MHfV5M1P;c9_ynd9c1&Il=9S-&u%>Y zNmwxOfKNS|Q?P}|O4Abus4UH54tnLC33(bi!kE)5ft4iuGpT64QAWCJ*HokIcG@{+MH-c-{+U5fZk(Zokoid>)!K~fk~~PeB&i}u+{UcP z8691hIb?xOix>(IC3)Kl@LlK#8)lXNu5et+Gg>Bpqs_37yPC^_&Q0cIKZTc9Mbyd> zWI_n}{VF`rq0l(iy8+JL6^e_5BsFQ&}n0?a-j>c=-fEz#$? zJs=r>E7;qcI|LS)-S}mLc`4m`y$j~i3OR6}Ew!K_9jvrrZ#~ZiiAroMG(2McEsrOY zdEs>-I$d&_uRlnqc?@9!Q_Cs_v}@7Y>E$*00Ds9JBWX^e&%A|*-EvlqbgZs1S~y8~ z$3O1Q^RC@O&1~P}JRZG+hSc?-Y?K}akte2xyqNjf>2d2& zw>PMsPvF2$j1g*)OT;IJ9pB!3fk2FtA%rf%nHBdaf~Qm&$CKmX&xKM77d|-K$d!qN z`d|Y|vmkVxa+v19P(nR-egbOHPFUN+?iAt5hb_l104?pw{`CF3PP1QCDri z-|&}Whm7r=&&S(TOSLoh19l}B{Jz|U>*w?CYvZ$vQ)~fW7rnH_nzqs}sIAkn5U&L4 zi@%SrQk*OI)pn*?1W_|4mE|Gu+;h)@2G}@fq|P~ui`L?~#blhGBY{v+aG<(op_ec; z;$oo@AME9h$ic0kQWBMTc9tc(_Y3`KK_%ECz1<9LnQ{8c&QXn!kJ8FdKAl|N|J`>a zc}QD>j*+qI8#DD-Tc+0NMfDaguu!c91!6ioovnUWqJ(Zr>j~Ld&E?!NA_I@t7=J)> z3|9zWkiMpjqA@kR=@Xy`6vyFSaE;GFL=c<+beE@Gzp8`lD`Y1yeUs_IPh>~!KnyrF zpkbF)tO7-=U0qql$illO4I+z2_I;7el&Fwr08&$W33&D#i9uThc+861SA>Bo4qMlp3Ml^?*m=&jUYlxd z86zq!ZNK;uJesB#E+1~cu@E6K=f--3G*M!maG1Br0L8KFhma%0@;;zlW}QcX+a(|F zQ-jcX4G)ZYKC)l#2-|0+%j6tGcKj>tE_FUj$ z^YhiVeG`$!k08?+<Wt7D50v%j%oMhqJz56^)-JQ-&+Hb8SHl3Z9KQ_{R-FvJ0;HYZgv zsfh~kB~>zF5FFRM5@CS$mGH#3uk5-6L~%~fm|2_W;YIkeE{dO!!Lhkd| zxZLH(zgwzS`}z{e=r<3YAGgb>UGclvW}%o16&-BBaxq<$#16SE?Ma6DXHPD9kxmqIf{2e%g*;|#{#nhAd*YK`O8^_n**G-w16q8 z!;c*infFaY4shmuzB;o31TItX@ok6e?q96%-{qSsrK==*kG96xltK?ewUz@JD6&B! zfBt;l+$6JX-*hAzl?*)8w&Q#tiL#!h_2fXAc?G~I@EADB+D(a)Miq(Gr)Q^(&##Ua zFPLp&259e|CMduqvSg(qR25YWq_ z(>NDNO;Og!I0K*AP`cYdn@eM;)l10b% zpC_{>Y4!C`t^p>-^v{f%x#BXYnQC{8V{(4;6~2JA3cUNRRpsCVG8uD_Q9F3LWhj;l zQr#1W5q=HqR)i@Z{-)L!p0-Fevk8i^yxwfS`E;GY;op!X;Bk)p9{iYGz~KZg4H79w zU_)FQ{0L?F@b(`bp_G;sMYc&q1a8hj3#yhcuVhVkmW_^95bF4+y9q~L>3VhsaNGKE zD-O_9t$+C3^zU8i-zmGa#uQAhg}-tTp8S$(Ene)3*&N^}s4_xh_t|W#@Hc|A{eXbY zbOxwHQ!T<4sO7f7;>>f~;8LMVGm2i`;c;xRI_N!I?qm3P@xSzTeTS*ze`m1Cb=uYy zfNse^&o3PO{tabiPPxVV@H^TmW{2yR0~|J4NgPCnsPId#l*5E(g3&0 z%*Eok6>39#w5L@t%8OAHC&&G7V0t`JyL*)5Ndf^dpfK| z=P2v605f0B$))iV z$s1!}@~jOc1Rl%D0+3hhx4o@FtPtLLzjIM&YRF2r;6Uco)4HV?d4=beNjMC18sqv( zo^&iMg$Zyi;n^4-pA@{^d?58MhG=x3Rk^oNcpQ}XLofSknXJs9SggChTi=TJy7R9^ z7T+IG=jn|wLj?Q1j8Ss(Dtm#{SiTFwNoPn3D<0W^XaK}{Qw-JSM7Kz;vb*YmQQ{3t zyxVM%q)DZjhT;1MDyVm+DgX`R&rLtBvOvPCA|KewJsE(2h*^KWycbT)`qSn!kd{Az zlj9?dN0CFe)i8Oet99o)mU$SMz3|x74Uw%n`oAP3HUX-kCd<;Q03I`7?>v3?)vT>L z^(#Bs(4mBgjhI^OP7p({hrT$qK-F10P$gogmz&5tCrov-LxmsP55-`-z#2q~IKu1@ z@rgZJ`n!B%XsEwO#cAksv=n^w+* z{DyY2PJvMh9S4|aw>Rt2K%wff;vY2a5SK^;45Cmrq9W3?ivOH}}@$wNSSBxM{3 zh|g6_sd)!*QJa>EZ4TabJP7oTj%!;Z6c)bz%kE$LTZ3MIulJT^m?)y&0AK#ct^aGd zIQici*lSPvFb1zU*whI{UKq>hsO* ztNVw$tB)9deRZjt@>icffi7R&Z;%s$m^}u4e!S7o>2HkH;i!+czyG+UNS*&u%lcQ} z_4nO5|J8M=(6)F{-h!B28|yF;uf$ar3EKOm#59ucQwJaJcT2(e`snvz4j@&c4RmzcO8w5}WV&!SUntF8dXSW9?eOe{B zH2GE|qQ-$)$YvaXbsy4bTb-T2H+wNULhv0c3>*z`{mBH{Q@vVPMm1_kKxNkFI|9P| zt%?V0&8!Hr)A>-dFVMy~7mbOeGV&AFWq}Ftrt%ueQnm zA9e5A)Mk>Ui}F)6?wAQYQ&o)wwy8Zc9ic0zEs#nQ#?|MXP+$-?yD-pPs$4U>_ix|N z^Q^VgDW0XuVP6AqK<7GBVc0P~BqaYYrK?IhQ%CKUj0JFoif(w%8OAD%Au? zqky`qW}?=L6l~&v(_KffYhNt9H_!pZ!w0VgYQ7qOglTq{)1MoNUsIthZ(3IoQ&y z4%Z9f^m+v{lfw6UWO13C9u=7Dt~IuSYRlfF^eK!~^bQB_Nb7#0yJ z$9gA|>G461@#5~*l>o$!TJU+I=nI08^SQqnidL?3m2k^U;ToRA5ovf;yEpGd#n#oX zFU#Yb;?mg93_j=zx1`jU3GC<%REL{8Z!wA&kvz{^UHf799(ketAiU9HBle0+^p*_i zQuXbMC`bgBsim04UIQ{4W;(yZKSb#?}d172!>!6dMyxK+y6o75r}Hz7VcPQYqC` zImSC@7<>}tK7(>($P8L3WIyUXJ#??v_m8X0YwM#(t87V&MW5cR=>S!1s_S9ggE3s< zC!%KpVA|Ne7wU-N;Rv%VsSwYjUZXg4!RBXJSe&F z3M{@Iqw9CG+rlptgD)1{6wjK@m!}Z$$wednRJnUj^0N!?hl}&6r~5cuXfQm!4Vm41 zEDCdS2jvw?bTPF%J?{4-#E7Hi6v#b`Afvix5oT2Ptdez`%{e`4T#FN(#^;g{8lPe( z({Q`gt*Nu|>F&m-P$FcIjH1;k#(pn+Ru!xyzVZA?1e)_8Hq|PFJmN)2q~Yy+gk5Pg z`~Dr;(}8HJc~Pckxfp{Nk_9fu2v%Jz$0Z8M5|;cA2*kQ;GkU4VA5>jA+>j>|HsYu} z7DFfX$)WO5Mc~lnGzQRTV$;G9U_t^))p!fc;zP~scS$w67f~$8uaeO|E;{S1!9qy= z>tDtMD0-3Yy4wF0|}eTClV%`IVu3*AE`4HlcRt{|5FGP>eW@`wA6P(q|cl6D(Z63K7Tg_J=)v&FLah* z4jG{(2`u!Eur3-^w(BY;2_Y|&;sQ!IE)Is%0p&_M?Ma2a*>>?>i^u~57!StO^NKs> z`!bOc()Pxt9ac7GGDO}NEFfO~{Tk^nE&YJsfFzR3I+`9MBu_u(s`cM;)&2YvR`%=Q zl1s@2gMbhBq{_>%N;(#yJR%5@*JrqZCkco}`OvelWff&3jr|=&B2?xOKAWV4*NAEr zL1A$mHSQm$Yk!9-e0<>+}AaPb-8;q`E*NG_Qo0t=;-rC`|QEa;o%S)zPCX(p~Ga0op; z%7b9M!hbHTyD_9BtaCudqOz72Xe3%x)44aZI-e5_DPbfU!`X-8!rE4tFEphP zx_6UEm=~YKK24!Bc$TSOlAK6M;Ru6e>KND7UuhhX+{jFhmcq^W)!mjpiBQ&E=jQD5 zwYlM`i{A>fnJy0w7blb1IN?f#Us>sAcFo%%Jp-*MofAkwXSd&WULXtef&%vdo{jUh z?;}G&fGrqhoBqfiqEHy?)Y(O$rXrx-xQ5M32|>X=1YICTxj1L$xeT=Kj1s@{_mW)I z7+5NWGyE_D=c2xS@r7^!LlqzxWfx>?Ww_?XA431g9e-Ev&AGe}YclAA{gF-4+QwQ# zB`o0(rY+^QZU&oG%U>u~+CY!;&KXnN)IZJ~ur$H9(c9qT3?jwuzP{?g3WF*~OlX_n zusB`R-Ty`a6px# zYMs4z0AQV)38^+E%+p*PE?S1}d6=)!{b#z2Mk17H)QJ^KqTv3UN(|RJTDu`G@h4@WFn7^Gy%g3x1tdD>J!YXYHMtQCIS&9!X++2 z-(XpSGl`X0kE@aD=7i>*yJt8qur^r;XB22^t|B#A6IZ*aa$0nPWYh^mM64~{rr7~P zf~2HlEUY9^5_L=v%-#E=+Nz5M&Af3=|=Q>-=CeYL9E9fmc8@sohvvsBcy~FA1Xy)wX5Aj6M?9)V|8j5CRj)) z#>w`#&tJFBTYvgfYZ3+{i>D{c$}~pD0kcC90LE%99=_Re0=b%!{4w0Su+j|Y$KAOa&n=ll*G!21eq0q$Q)K{z$MVA4UG9&16LpWN+Z&6}ieIh#klf_539?FH9 zXm9XXchAq)4-caCT_=vzx3YlG+<@M51JLn8mZQcQ1~{IGP}yBgkB3MxDl&b`qj1{5 zNT3o>ZHv665#daJI-Kp8YYKtalDiQ0DgQF>dRzM@*>)B54DAdS)o z?uk|WRezeq2CvTQuQi5xqYW9h_ZCn9EZm|*`$h&=BWw%~ce^5SE|l*AAK>i}@a&>h zL`uOfBNV&1Lj%}G_S+o4X*RI>TKJac*s;9psQ%KIokul12atkn zO-^YA#zxcwOW+hVX&Ywb!0f|7!7%9QQVb+`tJ5QWVUb_dH7;R|!_Y3YJBd-?R)kak zdbXS&jJJJ3flSa+g+*F*Qho!ua+Q!t5NBZ{IM~6#aK7#TYWnm$rm{izSD!zx?^o72 z!|!?HD6iG>+%TJL6{Ag*K`AD3$*Y^*)8*p7B*nb-dr;zrZ|Xz>BOv6eV}!+bm-AtF z@bi(IToj_n0&O0FT#MQyEutV-sME)w~f$|ZY24^?uBGdhPcYXEvgz8vts!a-Tc_riFehBXB%FJ9?q>1-% zPtxDKDY-wCxZCz<&XL!zX}r>DyVPsjNs-PGSuzdBM9YdPtL>sPDM-&lJw#K$5Rh8% zQ%#VFaU!=3AT`E{K=X|BTNHto3_*w?*6jFrINA1pMI6%aAPyQ6HR1ifH$9id6sjj0 z)RVI}C+E9{t}>!U%G$xnkZCwvJouo@NS)ngR&y9i4yTKc&J`asGwccYN!kE zVrKITqQHEZv=h-sZ&4MyDo{4=J@3gv*!ZuO-CAa;%+u7a(v3i-W1BP}CXW5f7|#8( z4Lm%S=wW<9Qn8o;4sPLOiqQ-~3wug2s_mwYmxemT6Z4PSn<@yk*Ax8chy z!3#4h&yUvDTo4*R4SLMX1)>N8W*LtxB_vshGHk*jge7ywGb?tOSV})bpazc1?33l= zs%TpnDXG&Y1*M6kv^rc#k?_do#2cB@$<4620Dl+B#O<~AfIz(HJDOV--UoTYH(>3~ zz^K7-VL+;Gf+C-*NM2!b8=VPZgqV+KITCHGK`~TF;%x3bJkOr$+Dfv|&E*XkbF^P~ zLo^1;xTnLTzml)iSpPe0NN}d?0fmA;OF|NMEp=3Ugv4UJ49S(^D6WBhxPsZyxkmBx z(a|jKM(IJS0AKoi`k~-ZeEmq@#T+vlH? z+xy&VbL5y()4@XD%JsrMx%2HNJ3@H`yX8JNrjPLX^}Pyht}o(18)qzx4#N7f(Yx3v z=m;XhR3w(STH2xh$`il*ASZ(2qZWi;7GiXEqz2slv_HPMR$JCJO=5nCK_fv9umsZ6 zey(3p_xifFU6D|NQay?VbOIloVv&gQKOG%+(VwEI-9hg9y5hO>%?7>&WO19T>Za%A zX@lhgd<7ij+MPU-Fh&?cfrJtaq;_)NBWPWn*4;V~iT1Dn{Tge!qEC7JBhXD!Rrdkd}uQPFujYK{3Sf*89MIfEf2p3$0e87|0 z9_t^;JMn8!`U~8SGc+8%zX($1W3vGv3t;mnfFDFCL~H=EfE;_$mp@}?dM;Ch4UrL* z<>7cu4WwPp>i$*%sS-m%6Pg7;QB!7aI#WuEgd<Vss^!0R}Ijsq2$871pP z8bfkh2)?nNXV9%faEPI3p`Jrdv;dU(hep{utR-uyUKfGj?*LD!CA`_=T1tzG)#vLAE)ZFh&Fd@-8{haq<900g_17WXH`Noj zOCh@Cw#%yQ;u50Jhu!~xgu^4INp$KI1v3|(0vq-bTBMD+SMzezxdu#fE zWe<@|;wQkNBDT4QHLWl6PeabA`zni_ZJ2pHm~47H>7gWUF-$b~Vq~~)c#3jb8=h`l zmD+Uj><})#LT*OscumX2)d`Oa9DSgTdsWM-*;W(hp z#^&hRaG7F)d;sB?QBTFKG9fx>Y>-Cdc0SdB1az6mXL=P4ROC2V3BnwDX+TUO00(`w zx{t@~Kff+nLDGaAE5jU>Myg}%JeKUCk&VAXxim-&qnTQw7u=t1-zPj$GDO*&7X4@h14Numbxuhe6oO_ldFd6 zHf5C7Xe?GF&@vF4(Ud#Nc__*lV7w8NfuQk_yAxVwa0bKG>2UadfJ))~W1BxD7uYX< zX+c+0yJY(trqkWF=?h|XedV^!rl;h|-46)yzz==jeu2>_)=z-yQhl7d9}LNs+Il-~YIc|Fv8Fi_Mx~ z@vZpg^26+4fYqs$uCh(BSR1upaFo0yM&(4hPzmg2aDR3c>TJ`v z+0(<9+0*rPXa??HXuOZ$9#kmFMEIV;`jSQ~e(IVh4}A>W?ZJJ?!PhsX(9h7_E6m_#abAqXYy z&>0Zs$Wh)Jx1f!4lIPxss=r?=63S{eXjO>*$Kr-ejPhgDFE~bx$l6-&TALZC2{ybs zs_orqo*CBJf6;7j-o9wqz8C#`=B4d+=S9<{2An(o|?r)#&%B)%5njEUO%W(?HD#~Mz#$e*rIF+c# zc8W>3BEe&9;X#eyNb;l18WhaSn3H%kcj!%?7@<~~GVYvdx)k&nSzA3*&k)GH*;92J zPgWv7s^Wa|Qts`;|8nk-ZCYm+n1Xjd=M!d>D^-K12b7n2cxe9wdmn7PBk;w_+&sJ; zrl+A+Y>mxjw^F0qIy!EQ)h2K!_$Vid?R0A4LscQey_$Sq z^E)|Yx^2X(AnPN-{gQkY@f`H(m|2oUWmwmykH8>)h1NMdo(+SS7UrXOlGXyG-p(yt z2}A+EM{Ia%L{txO+ZSROM+mMjfyw<5u%#w2LGZAQ?=k{&z0$gjr_11izYw3`uxR4n+aQ~6cJ%l6@dURmR|nzm)o!FKmU4rd;jU_{_~%a=dixM zz55D}%AYB)_9n|eFWFh_5s$v!{uw%7|7Z`&)6s5cw6~gGUwvJ}WXV5=dpqw~LE+Cm zSmo`c%-yjelrO7P6V0=Zj@V&~2LC;36udj5$@EnH1h*M~CmGv*f!L+z*SEj*AMdZd zSeyf^euxD-vd1RG>s%ngd@%Dsg56GCvC^QP(nXndfrgO?6D}pv3I`Qy!n23r{S=n> z8ic2iN~75H_OOVjxlI%PpgAxG9eo&49GR^ypB~m1a4aA`2RPN) z&mZ~;!bwWO1%-7WL5`fNYBZLR2tUw59f0RIyq>uI?y!~%>k{Fa(WLB%gpMX)| zn#eJKWA_39nz-8F#;{_Q5FYOZI!hF{q`{lh`D~T$MPR-mEr#m-5iwpXk0{Sn_5d6a z>RHeIjkd%$Ntm}l`R4d)+6ydv_jvv{MMmm=wHu<;YM3?kI|Dn7yN1A%buxg*-Z07} z^DJ&0R&>>MYp$7?A0ZnCjjI$5k(z(|1eX(wF_o`A-^3-6uu?x_B1jGG#u%WVthEJU z?664~qfFwQ%q&gAIVvH;8VZf1KBtZ3I%8A>Pbz-uw>M?AH4HsGyS_ZVO&-|ihHGd6 z)7mScT1l?cT@jGt1|vcQ(Fy{GKlsvJ0QdK-KFH7qIRAzri4F>ci z-DF49xEf2MKCiJQ>hl_FqCS61fq=?9{Q{LMW;m$li>zQ);}t`&0piY)zHPAptkJ;= z9dnn;!BytNpOR#dkt;~dEQ3MWg{`XkQ&x<4_=S-Pxhffj$HMQ^(*fjo1V6VJMAkN_ z*$1SU9bC4AvmMrWrB|h#p^O8-e-w*prju%b1(ZmVo*RHSyl@Y6-i3cURgpk#PSw3y zElGo2bF+fK^Yz!WyA{f6Jg&cvuFoF66v$Kx+jsf0f^6DHjp=BE;YC(HB%Yfav8F5` z=cHam*yKyKyDCry6BR!W#RcuWN#H@2H>5bQNANI#b6dP32{YMj028U3TC`i=a ze1-PGcLVbeF3z)s$wdLO!~~16S@qVJ(9!jAL!)z?tC+T*{;-e2CD32^k4`3j#nJc> zc#>}KS8*s!&*MCrp2tx%J&#jpdLI76rsv^FY{{xBQ3I4aqpK^ZglzOBZLx_15>u*x4ZdS*8B zoz^~`GH2UuVpBLK5KXjdD&pHFYjTk?g~~E&WxuKhxq6D>MgXMBx`I)$T!sHu%m$jm zpx3RJlPP4d3-6F7k?0zR+`BtrYmA_g9KnF9+;R)(ew)UJsS#*E_M! zw#YHct%e}2gANOf`7q65a&xC+2uWjDHTAR3Kx&D5;(8?&rS51jyJ5S; zy2U9B2x0rmtpP^@8Hac`?Lw1)$AftVes(T-+B%8ew2}1@U>vMJiKg;QU=o;#SRbl% z_I5+y453(>tGfupP-&#hlw5cSd&8N~fX+WSV=ANFtjT#}o0z|5nCxmIXISFK`a{HK z`CSKNv=ykEigI`<2IcMfNjk2P|Rbid?4KL4K z$~ru;^D?>h3PH-r0qM)J9116!eh-gErEAE`Y6DHtVwV~isgTroTmu!YpOPO{5E2of zn424EWh6`wS(2T8-tx9+V8wr-e6x6&{Jb@<(NLff3F+dQECC({Bdrl=UA-Q@UUl@o zMW*%Q8X_6i^V?Bi%EjX-?6iR@}REjnX(n zgR0I^1{q#y#>iZfMW;fBihvbu&bvOY9?m{BlWF0YrO#Kv$327aJ05D!C3c@taUNC| zfi+(jPoEa3{&aJ3#MYLJ1(Q|Fka9OHa6#d*^dLk8}xthI4uMT)8X~bPl4-Qy67BWU=M`@cKrF9ZGHrZ_J;;o&vDU z&=^Jci)n7=<`$i~IE7KF%@SL%nE(wFWkDWtonGqbk07ji$p5yNH~iIXh615ajDn49 zG&f#CGAy14uc^C7veL8DI_XlB&jcXKC8t@Pwb%@1;(mymN&=+tQ&-8k;B^(_j4Yg* zwW!ci+6gAlkFqttX&`Y})@45L`lc*2?rZ<|2H0>Ow?=3e`3s6)z@;8D6o5E{p)( zk6s(UZT-VJWMcei?u0GGGf#O*1JPs>EEo*YJA1mABh4wR5c4(&LK1R1bSj%k1BGP+ zNwa&QYwo9M$&Ag;`CR1}`TFUQFBv44aq@oONTK~NBUF)CQ_`mb2^*>s#{`3A7Be^m2YyN3}`NY{@u<>Hv_4UKL zaklSTXAk$Sf8PB=vwqilHOBjGuXuwN^6#yGxWQQ3^8DkV`=KOGk~#Cgs)Ab)x@qgu zNL|Ea?t(DA*Bl!wBtzcI`o0?NTFYgz65Xx-@umtEqKXo?dJvLn-x6VZLH$YI73WsNRUKB1R5mW(+3!bDuULYJW(2RR&)^OrUrysY;|o1@J#p zbO@FP_D8}|<34{^sagQO3ZQ8LNY75)ZmMic@*Jp9HP9F4FR0As_jj*<^C$`4JfKme znUol=b1vcsl|{zDtnaVxzEEOoSK!ObKvplg2Zl+=PzbC|mWMoZ!%+Y`7+#Zc?^FWS zWk@gsQwqTSW;MlG?V@T>L^fKttyVd*u@`or2!RcV#udLQruvb%gLXT+W!~H`>Mu=}1EQLEgmJtw_B5x94lyzC=z)|qUnKmae zJocSG3ix$w6b)I}3l*!%CIZ&DCXBs8Pe>GIi9b+Y^hIiS4A_hW%xb&@ti|!{5>R7o_NW$a;jXUPj}`Gmst%7fn_9R1?*=G}?z#Au_hy+rd(Eo~woHB0+Zq z7G))<>su?eg>O_iC}2_5-+^(h>H#P|O*V1ItCD~7M`E#X&%k4?69xlh%+^5&B|nWR zrQC|eynEECWlDm)-z3+PVGgR!%5mTg@b5rz{CsOt3!{7*demz)bq%j~-gxO;T%)X3 z=1G~&RBAN5LCZvz3OGi*C28VqLbcFZ9YnGrEEu!?Vuddwb%-}`lRL^FZZ{cMm?=Ru zUT>Q1foLqC&+1W-3*gx6DlXeJ%$f^@l#GaNh$|2HI(TPDXhf9R+3(l4XBVvqtvcwd zRvxM?w(AtZ<7pqN^s|~^3=_VElE+jAT*|U&mfgw7WHUV={S}IUscj={eiV{Z;dFMP zkEKxQLxoDq{s7+;$ShkBRgeRq&sd3vFqCMm=_rI+x)Ujjyly^BSW=JPRguXsE@U8g zDb+9nbJalP9HdM%v{E6eEbi7wm2{3~@^R=$6%9HrwYgPr0iYo-d-1x!3;_a!j4(Td z^wjQb^B;-w_DfbC%Knaan+J$Zht)$azQ0aK$V zwP(;D*WO<0!9=~&vtQOHcYRh6^E?h_Z&Yxa^X@<$3*zDyB;Z7Os4bIQS8k>-ZFA@F z0wqn-PT1TFCsbnv%h5i>mS9Nvv}u~KyZJB3@|iK z=RdDbQSbF|TR(M={kQPjSm-KUN2ga8s9v-G$>sWI#-XqujYTO?$x_{w739{z@-s1l z8n}jVpMXkqrc|@QWn4^#!`T4;7YvWpr`5?3M~Cb{gjvnX-XN3{p$>0-c|ShmzS!)y za7V^2oILq9J{J4UG9|CwPw#>QPwt>+o)VT1OyAa(0)X(i!DLU5#0h;^@AP;y>b2@ z%+U0?@*rJziufrsAV{x~RnlCS@PIfJzREX*Gf0A3tTYDjPO`K`8UXQMf`^(hlXX{sk(hT*l0Q5t zO$QmsrYo9USBP^FB83~OgubrqVi{EFv6q~JZ+}s>KUhCnKj8t00K8O4hpQ7(I}NNx z)|IHF7Thj_oAw9NhYB?aPlfip>h(NTJC77@e&$ja2ozNK?Y!0qDhz`@?(z_`Mh{E0 zFjKfVSjhu`E(lQ>tZfIYfM}U8&7r2A@G%sc^?03}Bu(E|UldC8@*Em%8Oi5179IN?l)ZHXp5jRl4Ivw$mtdV!kz&Bvv+0y&^BL*qmrur?Wq zV9QVg_DDUPg6x5RWVJr|$Lp4wx-mG{Qi#+85seC9FRjz^c6QwF7yk;Qa;k=LUpvW8eCJ@ zp&uO17W<`%2p^!M`mhi890Kmdet_Hk)WhCTFvAu(V;W^IUSJrfSSPE>;lwL@509V+#4pT{yB{bFz3PPWCk{ ziwJK0v&ExrGgB#ckAua<>UDKR>8*uumCrj1)DFEybP1dN1ndZSUdZN~tstbH4B5@{ z1Vj%GO#cl+b-+3MBvgv*lgQW3KA}c2>Ax@6jhx)xd@f;x2pH*{GTW#bxT{;y==#C@ zHit4oWqv}b+?vBMs;Z4!C~UKK#lt z9=E||B(l<)&FfHUbIzeh?fw$#dMVtBRoKK`y2cO@>Md^Bb{)sE+TTHN7~hgBqB{I~ z_xO9wia>C@aw=|Vd}l?eU_q+0oqiLl#PH=8D6?N~uP;`GGOcd+dG0$bRmHNd zFC4z>m0%zKz;`s%7`v6IMZaNGO?KU}7Aio}5Q}%wUU*Wzo??f@K>BS^zGFPB(0*cj zcy}1KMxiMvX^v*NOlOfl%9B3oq1kZn>hYnoi+&fSFnR*PF0k-6wZ_myt4QR}bq)6xF_iv0(jJ?b8*ds=QVeqdaxPbA7yIDYNRKuoZ~F zvDXnjoq!OkC4NAAY+qu~LfkTb?F$<}kV^1>M9{aTc$%O&L=HqU%w^Eky3P7GX8I~r z;{g))m_&vf-tlhJG^(RoS12oa&KbHqT|cWsSTY1A23E70VC7m0?hrm}MD*}C`0NN} zqoL$@u^6*?-ObrGyT7a&I=*Q>D;8a%@p_{GWLzwTgW~pfss;u_pRnvqkd$z9{(Ev_ z#m))u5Sz&mo5f|w%K;*qJ3cZ>m1Pm|Uy=|c<}(@XO~rXG9)_`4P<_$Pz$ojk)G$ce zORb1i<(i6wHcn22=$;O$(zs&{YUeI@5W}!s%m%1fh0tILaKJld)&WV4MC4*X^uU8a z$a)4(XV((V=IhUktFKSjkHy=k(?gJh$@CX}GzJ6o%HZDi!p)JnFNImjMr15b+ z5Gnf$#M#DP-B=h>R5-zx;~SMRN%q<>CM1MS^F+~@O=Ci?*fb_e$7~uC(#WPUQ9x$X zn5ZGMX-t%n*)#^)p2tva0Aec4vvH=EfV%w>Sf?UA8(;SZQd~{(57`77YJX(fC6zO2 zDjTI|F&Hn|SECSOI-q%y=-GNN=xZGqhK zH6M<~G?d3Hzyxar3Tfd8CQ(y0oY!pIWK7r z2|N^)z+G=u>rYr@qW%d>IMhEG95p^-=vn=jxP+iV)g{zF;S%bfXbIj_yEq$HQ2!mS zAg*2UI|M@g6Rx2CiB?emh)bw{!X?C|t}dbe371g+L`(4I;KkXvg8J`p1wo#R-ysm{ zpKt~BPqc#iM_fYv6D}dBe{~78oCt89xIWNw}71V!+D+u0F{0@Oo|AZ^3f1(xC zKjISVpKuAmx~fa4f5IiyKhYB2S^v)BY+Ql5xJSFuO@aUax3wI7y`^$p5Sdi1YaiBN zXd3y7h{x-1A(I7{5nmIt@=)?dtxXy*qx*%iMM^KzfTp62)7nPNP(Wxlt_r0taMFk% z#<3Wo#>dj0K7zT*m|zM3Z^W5s+O?NEH9Y^af_rI-Aqw z@(B_M6sTB@3grNJ!V45D=%qaNI9Ms|R99$vV$BZ(fnx0VZ>`RoH{_BMnxC~(a#V`x z&rFj1I0f(*KTgs5#g9{He(~cJhhO|S1>F}vP7(ISk5f2(@ngo$XGaQizMm-L0bww* z%JjP(IjRS)j4*TFk{vUh#0q)~r&|u}jpO zMxhv+BD!8aG{tJc%MSsE2&|_XOG}M_F3{6_iOU8z1^^&n6 z1HEMIA2_k3@w{Z-KX7JQu~O;Za!*w7+Ho$FuC1G?KY|KtpvpMRi zhZvC4Va+>51-pOyM5CiL-acAAt?$);V|@|2W8#>_U?c{VB)KFvvw6p)a>HYevfb+o z&0Q?6k^2JKzcK&C^?H4`nwDbEAho#C8m;_tJ^h$;=Ux9S4-_iw%$q(UmhHEeL$7(z z(fZ=*>Fcw@lqu@=oW>V^Sl|C%O)1?g%TwGj)qc_oq^B#R1;>Rn4mEVE440vnyxP+> zBaRMXbcO$5mQ2ZC9VLH-(If|M%A%P?7wUemd;ETzKjhZ2U%;ewg1xhwUplK}#I-qq zs*iU!KSqpfzu%p+oNzKqs|5sF8SIs9((cf}`pjq^Cd-g4U5;g%tc6s;J;(IdRtlM- z>jVdSCfm*B8M?d7kH!%bl7j+IAh#j}XAO^F8tNo7Q}b9`z1;9+hfK70KzWQ_*2~AV#djf_Ldk)%lkouIoc%+1>-s2RJ2ZFs+bp~{7pPOWY}1OdYy}F!%>wCt z{NYV~oeo$FvnmS!S0xIWH_Kfl$a&}^_kUGIRRa~Fp06KvFg#{6Cio5~5gn#(B-Kh{ z7A@(q;yBsi={O=*GesM^JDTRAEGzpP#8pj*M(R&%Gt(!JPA2Fy6hn$&_TU>v9`=y) zREt40>LP7#Nt``}tr&F`*(Jy`B}$Lv9s@g7O2`s)9i*9c>-38lK=uciKp}Hv_T}pO z`s(f>1d{Zv@<61RJUeFcf-y5x#Ae}xXD7qJ3H-p)XAw2h*=hY-r!L8JLn(I|AFMib zGbvJTu2pi+1@o#b^S(v5CqixYb;#2*>rL@&ar50UQpgPJR82xcYA2Ub79kl(9H*SE zyS;;z7=rgFqzeMUia1P`#0{uMYNd#z#I4Z=NW8J`_Od->qF?ZvJA!7f1ahW{a*VS& z7d<|tOrYZ`q&AuM-zR1q^Wkb2xkeqVG)Ry=LS;F~RR`64P8sA#Oe`O9G(`^Qa@+pV zEr?%ft$@jH#Av!lq_`vXKy?usp7$x|Sd87U+Z)3`*5*Br-h~wQ%GQ$())GLn=ps4J z>V$TS+cz_rLP(lZzVd;q3?}&P?0#KGJ$7MA^a)jLx-8Ko86Y>PIc36*I-)bxtg2)$ z)iLX&{lYO4CNQ;fKV*X|he}o>uZTK=BCJ_m1Ti9q*^F2FvQ93(q6zJnKD$ygBNLdA5D$dkX#KusV31te;sW#43Ygc_w?Bum0(etbNfZ2P~45B8Z< zSA7FL6mQnoDG@A<1s%#i4`W%=bH>ARQ`Y9bcT&THShg(?Ba2(FF%@7Gq&>b}n1P-s z4nM~WyEc;2k@vH#60SG{3a66tpm203=#?OC;~ajf^TC3BFRcAkQ`^r;b&RoSrEzvq`n zzoE)Smxzrwibh#{eW$Ez_+@aFWYMQj3TNI$o_VQurL!2!7ZUMu@c}UqfoAD08}D%2 zfVU&4u8mmjq7{Hn^=#HFy^%w9QxG>}4ZDMB1RAE-aa7Et42#JLgo(vT%5LzH@4OgW zeGuP#jWEgLg&7SgHDzk;hH4{#SlM@=J02^aFc6 z6O|F0hGOj0SztVy-Tt<|Z+Yg`WHwlkusJ2&?!pHJ{N+C&PC;L}D|S2f*O#7xh$MS_ z=@Do`!*^iCB=^*^OJ7=?{g07a{gLQ}Ly80vx)zv3qmaaYtV{z#T zCGz^U;Yq{V@T6gFun{%PM(a`idw4?4(=|NVaIA`oY*>Y!HLOF=8eT^p-T-v5_xhb_ z#xVYu;0{98utq#-SRqp%M~nJ*Sz2WMGiWCD59~{gbFxZC{TIn9>z~NJ0f&D5Q(!>@ z81bY5ck!eFh6;DBe-R%wU_50ike);gH{}hJhxT1SD#r|__BPfVoyFjlJjpD-B3lN# z>Fo9u!52nahRT##p$j9<DSs;6S zItXy>g4ohqWm^+XepEM{DvZQSEEm#F(rp9BTnK%=bvRpo96AEH~9#w72m;7pZ?h zr2J##jy?XpzSB7Q}G#<{HC-)=%Z3ZbFmMxz)*oJ zZw;1IQ=khA0T4tbtvC0`P;G;;ws&yUKQXJ}ru+(`g97lZoV|MwR;jyUz$6hfvNr6M zMcYs%KrK5EF2T+n#F6;TjgC}Gb?A}lP6vJY(34sp=#lEYRggG9G@PMy=(hzzOH#lC z?RAts%jAN%Lbj1z5F8PNi#ie{?nSDCOP+6?*A9l@q%Y%Tm{#NIC_^XVSa3D~H*U3J zO`k(H6e846a`kA2;s#dP=M-(f+OX&^et%eAp?(~C+e}t)xUuySh%Ym3nG_N>yjt3e zMdU~{3ZXS`E$ExLC;7bnxYk-WBvP(c|^j6YTsZqFLI1 zvz)X#9-dCdJv1zuFUGJ1z3Tk1_ruOBv;GAYs0gNE8Rq(zgTszR4b)1@yj|L+l>sfe zMGRIdr9g?A(GF;4UAcW2#tTR^Mds1ID;Rj9WpjaiMjlPl+ki^(uc~ImBIYeB?(g`eU4?ugjunaR2 zuop(nJ*1i0g_^-^0siuWcD}?c-;sNjFa=hAf-K?V0m>BV3Xt)5RMGQPzr(SU?y^G3 z%?!>ZS`RR*vN>OWJ-dUVm!hqF9jx3Jy@A9X;FaAiZ`-HDoCG6{-Kf;CS}qrnsO~tF zQEZ7K@MeRBpw|V9hP|!hCIBTFMWz7HXJvbgE(b1~;pKEDiGIGmTR&bsUj4c*q8A54 z+y!a$Zjc^^QT&8;)e6F)Py3It4^IJaVmy%2*}%9s{IQ zX@FhutT|m$<3?T

9k`kL$~QH$SbsMyK|A3&}q>PPZQ(&mNy1s7L9{8At#*Ms4=s zRbsb2@qqXhq}j%uq5s;|XVe`CWDiZszf&_XX;TF`xlA52#IlR`e)w~VZ125!`);S* zVMr&Ov-0&iNo&8wM<^}@+{?Vb?cw)t2ctyJ+i;B>tv>z!i1ybAV-{WSyfdzynXgK( z6vhED4dd9$6mdrBLMCyrV6|%pRjr##Dzo5_E9@2GWuE-1=)a+k7uN`?kw%$-UGmpg zB?>=#7w{3Z2HC}$k#5^RI_UTn=SLw-ArMyeWQ7R3vSjM@8p@D#$A$FQMfN(M-7%J1U^#-so9+w zc!{i}$i?=Iex_tG|5O?=IzvL@MULqxv|6D+C0k3jHI-aGWC;$aFrzNwA;~p|#X5zJ zgG4T&O@eko*pU3%W`Ihj7yFflWt&{aB|#${-K81NslIhwU4ILxjC#rNo;ySrQ7rSH z0h!fHu3Z^_K&S}tr%Euq?4_AzX$-`HEz8#=%Bs=s(fj@G?BO8?bQD!dZDUwVl4yNc z6CFw_;m-VA6PGvUt&Ms?Z*(15Sic>G^<&LJ3&HnEpZn-`G(;b@);1OZ21f%Kvn%Ut z%cP!Wa0U7PnAP!dXsYyzR!3}Am*QuR5hR8q2*Zp)?O317I6b!<0}2iGr>Z4z z_3rEl5Ka&;k4-0;WcVv=7jA}v@v?kUyw?{%7{Ry*3hZ(@KSBw+b~$)Ls3O@k=L#N2ZeI)dI0n*J}ic z6q-t;ZvxFh|6Dq@I)pXIfPhtix5SjDrpa3b77$@15BI_?<9AwHa>>|$iI-TA$#m-(goP!c$8!ug9%khJx$p# ztV>vzv!#pT@_owpDZh-_B(?*&%OD4p*G~u_0aFLAE6Kp#OwYAdy&IZ>>n&dX_EYkw z6kth)PTJ`DMtL#pZKlgw4tIW=m`QFr6GRFUx7h3$>2_h&NkC;uvBFSKHG>%}YDH&b zaZgkt8b$85@julF{$p#;bHbCm3sj6NZ-X?)j>ux!74ci)6@;s_=xQrq$QVNeZFp6_ z9h2VS(OWG)oZ<(&SBmm+6MtdL!NSHuM54XvX*+J48}E0E_hi6)jNwjlKP>$o>Vxs6~w zG0F%OHc)EXT*Dn`2v3erRxO4Xv*w4aZ5bhO)oJ!nMS1Ua~-JUwWkKh+P#6efnZX?k+Z>;xsgT9ZY2C~5+NUu zO8Sc`FL0iclOigy6Sx*a^w8-MdIZq!l$Cm3J^Tj_FBfi>rc~EfhW;vS^%~Y?sfi7;6|3Mh48)w|#4PqjM|?Tj3G}>gj(BN(;RB5X zEt?R1oihfG_&k`IX^k!&JDD5*1EHl%Gsbz$KTe?!%!9-h_DrJp;r9CR?DKklcJq0i zszm!HU|KAmN1ecc*HNs_r%f&Q5!!Z8A5Da;DQOGQd86t^&?a03rrm!y>Hb`EVv0gf z5M}CucFO%S-YLg_OF*QERn2d-z%qFRU7V z6~E;)4hJ>UEBkU$Hx3NW1fx*DGb)n}(+mHtPEG&cFZ%)n-13Lw5k-&PT>b zuU?i(5unk#IzXIGn9E5t0lA#k?FTY;L%(iYKIztSFmWGsX;NmI+oa07kzz?R0-TkL z3L;=2!+sJrf+$;Gf(0pK@|hvMxy#3U|S2`RmsYy=^Cqj?axjCO;1II1$OgYLUd zO%Ho{IRzh@ywOkMWErNN1VU^c*)Y%O*8g=5(8oHsk<>sqLGqdxEos@Jt#Bng#>X zUx%=Jqlz5r8XOF_`GYdF{{mNnM&|5T25x_@{?Irq9Qj6_+|Ay_ebY@G|^yyHi918}g)EuE#7aWN(>%if3U zsrHEjHaZ-@hM)817oW&@z{K|bRe$^2YY^G&52yzz?iBaaOJQ@_4|7% zRyHl0)Iuoj9Sq`%r8NgAHOTv?l!PlALKssnH};MI%AWd_gB` zm*7Y)>KKT`LV$glI`XzV`?&;FR01tg1d|RZ1&Mw#0z#y_*_tj=m8|I@eL2B{W5Lf# z3E`!ek@WLFlWbr(y1jmq%jIoZTTU7)ZkK#IUK4N&1~XyGu%5MWBU*sPQdcD_yq(em z$0(F$oDxzKK525YZ$0z{ceyhPW<>I!jIz%N}Sx<^eIVzsQO zcUAq0A%Rd5G?`IygYmpN%x1P45_E`6NAxg^>1i0kspFk423Mc29?!1j(Kny6dH8S7 z?jP2}k>M&3~#8YOyllS315;nLiwaosN!b zPMGqSsaqHU?_iaUxd<-}8F3*XYSlVYB1Vb_0`}*EzWG-c?oEtkUnuqab%3Ya!aFXM z_O2}LD!~!!!JPvCrd$2vu%%y7V95TIcgac#A1_$kNO@r!a{+kwhrON7erI>@?c3e`H=X_cx9fk~-5>dVpa~INk=EQAR01FX ze9;RUctfqKKrCleNwL)d2`A>z#}gw~03-wFVodDPgk7RtxSad&u9r!aI$aYM#60DF z6GIn^W#}U@9dC@N0EsbETaa1Q?*x)M=#7#Yf>HkHHD>`(o@arW)V#Gpoioiv_X1dr z0ypzfcUViJc*SB?1?pj?K=Ycaje+78QApDUT_XIqjSP*jh54R{!S2iOUAK?Y`6iST z8>*Ra7pC{}CFDW{BTr`wkt9H^dZvF&|G!Ve5Fw<&XJ@w$QnP@$xOj6P2*Crx9Kr#LJD%&2M?H_ zU0XkB>CVR}C4mAr?aADZ+=4Qi?G9#!VI&u-%v5Nec9>zVOkqrSt{yl>CJeG7H&SQP z#V(u%j}xnBsUL{GknkA`Ar2PHLC7uo8HPQ%@Z~s4AYe7WE6^tUM$hxR7V0L>iga~J zc||)g6`iaKWdW+`mEh5+P=&SnA7l)Ef>MSvNcU8Bytqg;Q+G zBg{643b+$+cDI;cYB}ON-^dWT&l70UT9-FYueqk&B$OfCB*YXl)E!Yf-aC(zvyWZE zP+uQuW-bH>8CFzMI(te6Ww9O*Qws*A<~Dz&VI_Ilrh2v8Y6gXwsu#rx}XF9m5Da3KID!vN-uTN}akc828z|xBx;w z96f!DsO=ibNzl!oT7_u^67%JxBGd7r9oIHqj6<@sUk;WqAY*8-aNh&hk5^%ol|Dn# z^l7{)CXuXU=>JUMykkH0@+z<4YryHm8OyN}?HWeL@le&sxepmH#frRT`9fp3`o~)+ zRVxHGoUN|cH=zX{je1iEfe1}4K^XO%_*Iv(P>4)Y7G70X^f9CZ5-8!Ql`<&FLha-h z?Dj_hV{cT0E|g_5(T*lc9Lat?wWW+5ML_XyQ+-f>lnh^P(L^ui@)4N(0q;-3&}QF? zPSeBP11A#d7s#SFaILU_fE?*;9|AAJ8^A;>)-$nwtc#e8gT^_RU@IYFMNNM!H4XgU zJ8k&|{V?es!``QVD$|E(VM;%00-ByTZ`WX5Y)fOqDUd31rri@Yi9V*gsIr`COJ$f7 z%Y^n}XgcnlmT-{+a0hYNh#!PvT2~&ITQHVo_t3adqsB`K@pQfZ<>Ku3)dHC%_xQ!% z>UtL=i)hw1pUpfe#_@qM3@;>5Is8}*--AptH2)5YmxmDcWw=g$*WBuDXQ#(;X{rmq^p$*(z-dGB4BSs39xFA z&z|WL3MUpI$Ro<61+a$z#awV+eqb+x&tRAcx*c0KG>ny6f^BIxL>miQU|<`pf@UC1WvkQN2$ImwP3*Va*kJ}4T1KuGxGXw_(RD9ABw(>fcJL|$#-7HlEY^7YnL z;s~oVG43nIC{QZckVXxLkoSjH+0(+7)H$4e1_MiDyts!&mce7o;?%37vzy1Ohlevi z6dy?zn1~PJ#4GCq;pFXH z#u`!`=)UD4o34h^nipBeGX$q6Kjjxh-tJ9mm-?G*VmiEnbQi65T$dVt{V7RTq=bh z{s)d|nIT||6^o{?6ARVFw%9?6ai>=ok6%{%W%mis1^*d+Rm|w@FvO1xH38_zVd$XT zd1V9u4Kl@w_E;0{G%9$OX@tE86M1W}yp=S7oW>aG#6*kZUPUm_DAJ~|ZI~gZBr0N* zn+wFwL57hv1=3SK*n}g{&ULn=Mce!#`QLtl{BO@-gI}rV!~N6Uql^AELlnU3!K`Mo zQ@lrzE(a`Mja}r8S6Q)?a_Rsqd;oD4$f`UhCgaman)A9g3^9QV_kGZRultTW3%R6- zVJ3R$MKH09yc$^z&#@aLse>g;gR>`up|zvn8}jNE`GwX!*D6U(#(mnCK?H-?q^T*l zBAV0;8Yv>wmU1$K-Xs4~P~#$IAwpK72LVNSf2pJ3%vndOEF}rA2qj_GNNw;I zB%~#18agL)S-$Fa-K#}t>#za%tJ7|b;40*2N8Pm#X~QVbymQWKorljRi2U$8w{ z4knD?O%;zc{OR!)b;z&IJ&Dtka4iTTD|=Ko)U()u`#O@c=2WfpLLh19e(cfuqla4B z4D@iArd{oHjo+YD`uX~zYl(Q{8~#$w;wF_49aNi=-V>rCR`n?*K`ewH<`Uj)LQlyp zuoBmtJ8Zu7J=>RLE2u7Jd5u&tk@LjDV)$_trUD&##XyX=PMKFM>WCKYO{@h%$!2-ds8)^=$oWPnKxJ$76 z>>GBHc9ri9Aq55f>g#%i6!#!;o)GAO$VAW8>dV;!MDO!!x;t;y-yYHBV$SH6^5?6S zo|J^y$V|!foY1_Eu)h$;(=nQJAyf;LmsnLZ-FH~cue%Ec#A(TfB8kiv33iXYbI4Th{c1M zHoRUTQPx>!i^E!!6 zAzk?k{kk=_M+@eY&Lm~}CX=XG8yFfc7}$tVKejvyebhv3W< zQ3<-c<|Er|jfUn`F|Aj{1q4a)PH9%-yv-&G4x-431)BCE)(dy6(kE&3tz2Ye)o zW`%Uv^@f3L) z%WV{8KvMf^eSd#@ze3qGL?o|Q-$BMu{N)Pn$X5H$+yC@WHplDMKel?)DJGqQkAa*n zQA%NPuwvTj&bN2Nb_;ol@%$JeGx(onOMuR3No_v7$?zD?)(@+nPEhd$lo%8gZL1kT z-`yIGQHBuNdua|j{Y<|@H?YhH=*f`iYewH3s>q!Hg$78OKVL&hz;;TiZa1bkw=sYdLxl6%?3Ki{>Na2@&ndza?w|@y}yO6+A>e=nU2Aj=Ibh zYhyN^JWJPmlrQ2F)2fKX;~DWyfJ(2zmFBlb!>&384iS_MYYEOfeY3Ei^l4lgN0;3G z`KAMC&ZpgxdHU*#ddB7DKq> zp)Hi5)1MxXkb?m`$7m&i8yB{%%gALgMg=~0EOU(Zr>~I9f76>RUu})LxQ1O}Q0X9Y zlz^{Ajy1kLwpuQr{c(&qjNZxQJ!nG$As@}_ZYjcL;4Xv9tV945(>Ut(bp?8yI!|O4 zPY+lv3U=gyHAfT0;{o;}2x$-4wI*t9;Gc0D@Gi`{$a=@8M&|4oaZ?8; z2(Iy?#D(gvbQoYp*g3$agG}4Z;m}5Oo#XzYtlDY!{SYmGa!@Y7C{4@e2YK)rsEJbS zE{a$dkRPn1gNT$VE<&OXq{@c~snOQCP3)2Ip-6xWl;*F$Dtc?~QTA3Mvf~9&NSF z6?IYJj(Hv1ky6_z?Xn-Cl8;7Y>yp{acCMjyuJM;JBp0f z07ucB-JWRMlj(t<9;*e50>mu@`i^^c6mhuDAV5+xh7Jbwafu*sRFovaIQ$zXF+(+& z#vB24M1L-FvPQw6$|A{CNg1Zc6X&dUOW^T7FhXM2AudUG0$NJMZ0`v4I1U1hAT-3y zF8Q9G5Tk)T;~88GjA&cD=Y+dksJTNw;1P=I?q;AWk@D7djs$ttOwhYM`+~jOXb5ZB zWYZAh_+QoC$cytt6gxScqW~h8k)vk(O5vvJY)PU>`@!PJ1hSETtlqk_q6YMGOri@) z7aJNNp|=e|7cARgLxckP42kTWEi*%CtS@7?xWWZta6D|BJo_9s5@w>J%OREnROWrhOGfFAns8xK?k;8;&XZFY-u?KZSKFvtiXWQcK`P4 z!TQnc-f~H?4f)a8xB0ip`eqweE*y>_Te?DlZz#paE_)O`aP87KTSyGr+X9_~TORyi z*xK7Q`Pu|X@DPIy#NGEt-5CTN_OckFPTx*TrSB7sBM@@@!AKn5j$Y1qJGjx)!>0>a zTJL{2H#`;@GcHlMX|7phgu#95NkwVBO{hfPlQzv$?%LeEM8R#udkgmj7z^nb7L<-3 zXSau_{hYK&gyNM>%GBGp@1RU&G6RieqyompM%@W*NY!2+2V7x#nd@qM4}x(C*uB$rB+*93x{CxcTet)kW)HU*jMqQ_9fP zH?#T^+uvIM!p*bCaK`2(G<^VYq+J3OTtbF?E)eIS%@yE(vIwXKc$ZxPuv5Mg@s<|I z1#K0bCN&_3H>U7HDa}6Hv(KOv@y$t&gHWy>+FG%{1K`ZP9RQFXpROR$w%0uwfcFFB zZ)7{kX3a3cE|g8ocak6mZ32@7lOnr7c{ykpj z`t|G9zg0#>8IWuk2DLf%KmMb&j{`~DD3NkDZq8rM0;Z=g#g$E}Tx<;d0()EHFffTW z1{LRsztgg45^*1g=hQmE-vy4h7-#~=&uHADnt?jI!3&A>GKlnS(mh$g@;(_af9A`y za$Ov@gqRGM5W>oI(2+zUst67)AwQG!ZgvACshJ4xWYbA|QKzWbWnhSS`U-_9fsbf4 zgIt9>1+=lZKr0ar6BFd3;@!!e6TjnZFT_(`MUY<74-_$kCI^n1@zXd7i~< z<|yg>_2@k;965R{`8kK#@03_b{xt&QC-cgPikZT^k1bX)7=Dy=93qCO^W_Tq#QGPJ zXGs^*44^mB1Vq!4)P)2cy}vZ28_14c+k`ZcPT5^tyP?B+=@#;#tdygX9?Hx4W$S}EZfLLQjn!wSy z?$A!9zm(ZJcI@l@+XjeRHdW&zy#2;g@V+}1Q*;{B28!>Fiq`3%A@S& zVxA0sd66zZuS-K=;|)tIc)eEEKg4o_&?UW&Hn5+=zzrePXfhAU$*a~Zh~Psg=zi~I zJAedA??HZX_yFHU%X+E0h{k$ce>JTapDoWGe%bnZc69@>JX|}L4u`T$=fCv7!m7q1 zz*g1z7-nc(b{L?yE+1Bpzu&F5E^p4huD77Pp*7AU#~!0#a*hckm0-_gm*@HzyqWaJ9O; zKKqPQr5z1jq%P?7Knft-LvBm2nU&e7b=*=NJ5Pj&fLQBt$__+`3ua;|#FwE!J=WAKB{PJz<&mv-{i6 z_h(-*&HbaH3#d_xf>xt%6W3*;@1K)#L2y zZr#J9@$nXY136YKQy^(K%qx0ADF}YPFo=*nwX!F)=entcPjW9^OwHh9`diH#Xh{SS z?#VkLe!xF43&tvzUuL)VKG!{8pRW;g7|X_bfR{Gd=Qpb-?2GsuZL}V*6Jg=N`L|W? z>GE=YzZF^?2%u@>TA8>m<$)h_JK!N9EHn2>|MD}U2hQys0xeJ|_hE5TlWhW^kV&<$4y0O^BJGbb7~kYR_`H5x-G5tQHGrmwz3mD-{e04WeWNw%v$DUL4C;PLDlpAvuWukKK; zJ9~)ilP8!Ik&eaqy8IArf~`et6NA+U`I6fgZ^D?!Vk30S(dKGbq4nzY>IN@v^|1L? zvRA&!-Uo37`bF_gTB*kjBoy}{_RS(<fU!FZ(KjvPLURv`GLSusBHRD0eLu47_ z7QWp%^E+k(vpW|az}(yOo3-`(LhO&9p3bhXF0a;ts%^wP0;s&xgIJ%NN#+{b zZ3dFS#nU-Yv~YHFwS38dn!zrAypT~&a6I&i0||=0-4^AHSBTMpzjF@HFDMca2~>hC z9QcaBESQW=<_ic3+vkwr9=@YQ__{@ocy-=_JkjC@2uj)ccW{fF3+PjvPMYnCWtJ#< zAUzU>EJ#Zq0oo{yt5nZm>M&)W-m6D7?AaL4fZEG7(*xHu;IU*k8Y&G|2PoY-hqld# z_MBPI5=4-eq#X{SYM3CW0mO1B z@KqMKrhlBv`qMWILN!^E;M=cX&u%VYRJpr_7>r?nCGL5DvS@7`?LcCIj5I!0$OuY~ zioHG|jCF-wtX4O-R}>BLClqO4)esg4)^7dTmb0yYzW>W=4YA?#`sVz1ID4Q2ljTWK zfJC}#J>5K@=N6*Mu5WSo`?q($Qxe5$5Q6jY*wRmKjADSmjYlBouu?9uyKPGI-~DI3RxX;&;=Q;8-V~`{TfgFB zUjQg96TAf!?ma#9uYVb#W6;*>lwzvNK#mY5G$1;$?l4Gq;Jj}~W^%aB4xowlh-o>z zZo}AjA1H#@w9LUIBHDZvn9Y$-w;~G5Fj6#OWWFVy?exwb)+`{vH^Nt}UU$q9 zgY0AUo=&D?#DE(b@(FcIhXb1w1NhzJ1s17(smZMTnl+xacTI0NiQ18PJ5C?1ozfhH z@%)r26}WzBlf;WR(Bcud3i5=n%%m*Lq#n%R`+}=O$HhPi$5mqH_T^V7U!T8&!tn42 zT*vQ>_Rr8;*y=cro1DJPhy?#`EB|F6G^&8Z*@ze}x?<-?+Z30-ySu-AyoFW$Ut9e1 zbxVJ9Q>W;n$Ge*PY6#v;aA2fBw(WEyj=;V$xb4AwgIN#>g%E4_W(#NT%*O6Z555`; z1(WW9Zvm15IS(6PdA$Ffc=xbcf1{EHQF!}@AATS>`0)y9FDP^HxZX~W;~T5XryC{i z06ZVM@C6<$jLeaA6)%Wo0?-_&SR{!(VjX+?;7u@;$_8}y=^VYl$`=emAKB!5{p%KN z8y`bvtCgxcx$clvP;;35KvFpS6X`QPFSptRV|x2s3K3gJjAz(Xb8 z`Ga59+>`Np1kXX2RV*9=fDFSi9%LVor-l|%3z&zB0S~E-wceEukEZ1VG*%?Lg&!JJ zA%BjLjCCVH;_>FsH&0OI$uI!^5;kVfEG!1b0|+1I5d945MmDG{;`)G(GWd|zH>w`G z3A5!K=CcuBWbBc=8wUXTQQ|~5>)(EWlODP-{@L6AVFlNZ@J-00>y1qv!>_8}JqLrG zF_6o1dnZkh3K5@k$Akny}n-GJGtNkGen`Q%(XJQKtkX@0Hr3LlMMkdN{6Q+ zaB+2sK)lr#fqnq}8If8FZpX!MMW}ARRw|dm>vaU-@k;#gfghdD{4Olsk7xXV^m9C! z3=e=U5JSrMD8hxgG2R<(h~*1Lh-%m7l>)=RcDIn5yVm7(@SdVa9TN6>6rO>J8({p~ z`NKVO^*%kwAh8yxDHPGPh#D5LEK<7;%!^o!@jG_58P2Ox5OVRlNU-BL%);iUK=K^c z?F#D<&e=!M5VB8!62p7Y_=9`E3tz)d70Ggh=I5M-hhMZ!352V zW%5{f`kFSf^Sj?Ulcq_e&xOtSJRuYd&14A0zff1Ha7)HF=bWy%f!<_mCi3)1F9Xof_g z3y6L)Ge=_yuDVA^2_cz`H&fKhuADeI+;08?{8JDGQ^`+Bf>_(#`5Dj!jLFal%$Hvk ztWS>LA5TwV<9Bwmwd$dU%Q0>t;35hlC7Ocg>AlM3ji4ao>p*+b4C9N8cBYzuG!lAr zIr$Q_8_{!&ez5LBC&v`x9)G(P=89k93d0t-zNqJ+_RJV-1NY?483Rqb7^=C-&5E}N zO*MhpDGWSaz0pUBb^+Rp&rhsrvPa7;MBoI;l5<*jA63jqwQ3$otT`C}`7N#Dwu?^)lex_nh9%GR$xVNA?~euUu8 zDfJg*iq`7$_3bBc;@nk%FnjX}eTG3YT3f)>`$q;)1S|^2YijYQrz&}BNdleU{>P6V!1`TH@(#J;RghrV5lT*`HryYb0oM^3aCkXlyQNW0) zUv3c2fO(I%zpUuxOtZrcT4Y@e3kE5rNM)HAB93Dn4H-rYG3owR6WvVqw%6jDRA;1e zF!P4m29n?qcQU6!a@2;A3Fl3U_ttF4#Q!=fK=H{Dc$=q%i&Hp?mVb&jf;2)lM$9Ih zj$hWW^c4p3%;2cKiG%aeG~66^Kj3yiJcIdTj3v$$*-R>ajLTA>-&M6&HWqS^q{4^r zCCeQtR@fyd_aa~iTW!BxPCL7G0$bD(6r7V!`;;D5G<`ehe&E(&WB=h*OQ`+t1dfk8dpN)Puh#$j>QxI9v|izt*ZIeHY$tpB z^zaJ!(8Jn8>7st;sA;I%U>IUt2FB@9kOlB}ghb#w(0a-j!z#U4s;!x7C?p;~p~vn0 z^fFU>W>fU_LnxWzEh{oF_ynvlzBqAqGmPdg%^n7PJ^OaCzCNQ&3wkqNx+)ip88lLu z0XjK8MraW%O_M1NKhUnnJ%~{g5k*ltm>gDTn;1boxizW^iz7Y%jT%M;kIQBpetUx* zCx`=#X$Re-4TRzI87#w~@}F<;n*k7fOVE+1*@Z`n@qmOZMeh&wHAcjya}XN2B?nyW7#<)Em8+2n(9ofpN3Nj)i zGu7(0_?f}3_+XQa%&h*vYX-@PpfZA_NJeI4e;5O(Tap38n8hr#FpOEu!V4{CF$*mW zLx5ZMtj1c*Vix`bywGA6UU)Hp{rjDB?tS+?zDGv3;F3Cn@4fqZ?m6e4uY2zKyA`EA z_@J@7F}#hP4HhGtD@RnvMbHZ|o6|f(t37l~h8{WA3|$>N0`&H+8as4#>{pT`d8Sp$Vc~9BbH#~Qey;; z9a`ZG)^#M*%iSw0umQ|2E)d9ppmxSdKSBT#yjI*XOcIUV_mUPUSqV{0Rhm)$s`znq zuwP9~@40Ho^}+st{@sveh1elxa)Bd7RQN98|GP$NXjx3ECfxdIP4TyE5Npb!mk4K> zz?_gTI5m3RHo}eAsCS5pn7I^-8Q7PYo@jZBh&S;2jOuiZjhAAnN6dowrF$^(0=B}S z#bE%3moK*Hj)rv>!)tE`uK2+XQ31>CetOK4xL+8&Rko7%mBVhqr>(+b7XS61aV|yJBVAFYb zb3VHU_5@<0h!Om#9v`$!yEmGW#qy?QGN+VulyQ-v0>p7fFuP*M9bVblr1dugFNRSG zkWtB-w(p^nTiHURc1i(2?EDEfRKS>g#X>4Db8&q^EWQ*iZVeQ{cgP%f6q$ia8UGE& z&BWud0p|eIeG!0)DQy9Z1*#-KcRn@`s~&bTsEf49GgY=(RTb^cq)LuUuKW_)x=UF{ z$`WHE8L@L!#fYyP-whUv(hqlk%)v`ED%>7I16Un3DT_@Xak;y9dwaWL&m_Y>ZtUh7 z=dc4ZFkOXr)lwPz)yGh&XqLj+iDO30QHoOD`aqps;=?*P{~|UTWqaKw9OOu!01ij5 zHpfhxBig1bTGGJKxrt5!*EAfgIJ`MsnH(uPsh=E8qs)u6dpABf5HocH0V+(f@WnPi zt0>4&P;Bkwa8i<2Lc*9 zGARnnG9Bd3&=^CU`hg=_EWE^5>{Q><`BLUiSB!tq?4CAp$Q~7NsF;1=#G1F$0ino; zxvl8*=}aAs>E{m}05UXOZOB9lm^9h{0%_W@ zcvxt;6aNd&GpGY5ttexJEhrgzOxadQ2mv$-Kp+kPTc=NAEE^|cy-`qvLN5}!;6mB8 zBvg?_WLE&M6MqMD`Xn|oK8e5zlZ;z!N?n3Au-4?+1LpGHwgrbvv^s+9V{`r^^gJ;= z@`PHl%{eXkMHOTRzjI={M50H;YT@6&Yr&~XebWRWewhO}qaJg+O3Ki(p}tcTwF!q*YNcOs9Z8Ll3+VbOS;4 z;?V%)G#Z?HgSrx8#9_2C0-a{bNtI8WREa54BIh3IR4d~pBuz-sAytwn#rlpT0xN6} zcvN4D#YfQ}I3B?g4^ixvAp|)7ov0Uh#4vZ{^&=b?L~X=QsF;@$w;EsIYy#4rim^#- zPedemnz2of;6Frc(tI%-kY+@K5K(6b$GsR_B695UWGP}9i-i7T>5ZP`dh~WNS&gBa zTnOnwAYw=wXhK&jm^D#T1=z(H8s)OUQtRwkB6;9|Hc>%VvEA=L3x|1$QQC$WE^PVZnAp-ur6E%v9_V3488}6})(NwC6de#6U&Fc3+TWz-?4BeRG^ z=`wA&!`TiLc8*timSgWv0-KT05hhchXNAN`+7X{TYC!-U;@TGUi0H_*MVL(4GPzwQ z$|nO?HJ}4;O@*u|vB%)^gHetjXNm;Xbe*{U87Zcrd52aQJ+Kv6H#ul?j47*A)HU5B z6_5vH*bs7|Oxc7-c)jTS;w+77JIEv@ksp%vHQD#cnlVP4*`WpAiN4(V;Z&wZrIAYF zxKDH?(I)I$ppj{EVRO5sBeXjl~Ls9mQt!nLLHBZ_LUE$q>;^qg)&34aqDK-MQDL@u4cDXwsGs{3-Mg`t>7_I8FES{TQ#z>sK_fD|j)=Z={A0zpK2 zZ_UG!6vQajq!`W!Y;qkzfuq<%g+rm~V;q4&QP6K%4Oy>ei0yZV(QbFu|=he>=G78R?ou}Hl}`+1uwlmIPEw}yX< zKm;oZBNL(BVk~JtF=J5FORPi`cs{lfI#~axCjllBcpfi2v(pVn%n;eQ;tIH(ESDYY zf6Aa(x@d5V4A+i`OS$F*=LQh^zb4z1Ed+;~CbwNy0s#ExB?)5NF9Nj3P9QQTTTbji z>#CYqHW+TnENB>s*cMKyaQGkzV=Uqa&o|-)Co0~${Fn+d#HcZ0;+UeXE$I^${F8=k3>LCWUJOA8#doNX#JF~LM7 zrY1xaFLB0qii0vAyBG2D0@l%QhXr6+&z2~sON?Pt&9qSoN~BCeIFACcJ7U1`)24^*-pjt4EZ) zQXaz&KSz4~JWF9Z8qEw-8jiNk`(EI9HH;v-!$?Ro$0G`oxWXjqUe_&;P1+()bQ|N^ zh))AWpZA?F#DT}|>Of|7*h171mn&zay*NVm;V~18FIQ72e6)noz@~aL4x2H zSntcWT*7|xHr^-Vp25DPM~pVa-zM%A!a~F;cvYzui(N6ZRbnXIErA3oP>M22^99&9 zqNdeS3=2Salr{)(>G7X>9aAwR4t|`aT%t^=#2MLnqAecHZm~DQgv6(kJ{b)4;6x~n z6$xSpiQq6p+ZBnIb}Zt-*@;E)F*DCg(J*Ma9ec^2@i9jw98bp-5H8a=J!AUKwGo-E z&>483qd<`=l_?y9x}c~Zf}dT6{UC;a1hFL zsD}s|x;WO?iZDfrcS-l`g0f=-kiA6Q4VI2EirK|V3;r7Wx$uH{%1Q|SN@UaS-2xy5KB!V1YY)$wcxHpD^iu!=s3bU+Ocdgu_S@k&%- zW)vx@!3h>wHV(kAM_j1ESzLk`uqmH+APG2zY)dX)CG|dPkQitr2o!Q*eVENjqbB;0J3z-wSZ>Kv z%!-Z(@)QlPtMTqBtUk!RLI0_!TH-Y=Jtk84rF6VaJ^q|@?#zemj6ugr_UVkiy zrg;mzlTjQF79%Fq2~Jr>FfL1^xh=epr4TqEJ2D{Ru`6}L4bsbLM~}CWl9cYishiI7 z7eI!a<{FlWPYlON6TjVK>}iIbV#Uo>T6ry0;%oKi4D*jrn7h@N%JaBNPM5ya%Dt7lF}nN*2w_+*hfRDdmiWhui+7MAyWDhXF~ZlI)f?Q5gxa1(F~w6;G~jj z37>)zs3fGH%bDj2o>Ao+@UG!cau~{fZGZ7I?b8afou8qh{QuX3RAm&w$ttstslI@& z!)FvpJy%fE*HA$|DWOt&=9x8I6A+h6YygA$8BTHK|Ki9E3Y!bAe?@+A=}i&>h$v;J zLJcUv88dl<;({?0WI~P};6Cp*AzFhAtUGk%wUfOi?*C-`R^8!3**Mc<2Qwjs@HD8$ zPbX4B@(d`bY(k#!911tx?@v>jwY)))ndZNz6GwTi&lq3^3v#i#9@TVXhiXxKHwQ(D zm57cB-79jy>K9MO;kpHp>=|cF2_|caPpttI((N4j&dYc)K1AKYxmjoa&Q!nPA-4ef)OfCA|63Sqd1!pjDGDAH&STmLq-Ex`FX7yuXG@OKbheC2W z^!1BQB*9n^sXE=hEGkWk@NFRur3FJe?PYGQMTUZ?OaeGV3|hnMU6j6n|7uEm&zOgz zv11=lQIz`<2SvS`L(%9rQ%mrStccF3CmV`w%m@!)-Ul;`u>l^_MOrUrYXt>i+rXh} z<$H84jK&@nqAY%88U&=9TMA@XlzMqOBNb*f@}dvWRPk{kR!dOZC_IyTQnFNU;Kd#anPuo zCOn%_P?qck!$}t#A}AO!sLf^*hZ*{PC~0*2RZoxs#=?mqn89B7`ON@VbZe-Z{sjUv z2QqjTCd1%Yq4mV4cs)7)40+-%mY?0+FcXsug|HDjQWIHgQ)X=F<>g9UF6IsFtyDRI zcDV3tZD$BnQBgcaMM#|}XD(C=;q@nE1oz~4msx!vo_^y~hWRqgM?Zw8UJ!!rKP0h0 zGG{S3SVUcVE*ABv3^@@v&0m%-Yg#CWdLlU=dZj(d9kkm&eX{OLqe?8PzzKD*bdrGC zEks#A>*4lo;Wng8BrHtRBh5$+ct&5nVlclfiG=-Vi~va+n#IPW@j%LuX9%vP6n~(y zTy+ojp`LA1>N*-(cl;$ksq#FMU&-gCS_WaQnW=WFrC5}Aqot|~;&Af1OubnuT2F@MSJG?)G zG{jpvM1)FQJ$x^gr{rTAeH0%wnG}sRFqKiJPu$aS@n_IMUcPKq$sCQph~ zd^zFoQVwl%wH+Uo10?EK+n{M9!Yl3Vj4S*7)4lRKD(ew9VP7uKl(gQi^Gvku95iE1 zaPq6s7cVn0jhj-&7*LTQNRiYgu)u5=)0kG&dxEY?loC5=+m39fj`}4>wQ*WvFp@Yb zYV~>9Ot3b|Cy_`*kEYoL*FQiLynZ`)$NPBm6QQI&TZjr3a*J9^=mS`xUdC2SiI|4L z zQ%xzWr&ve}yQTZEDlU+3=VM_F@EwMr0AV7_Di0?LFnDL@_Xg)U$|=`(Vi%M3XNFY` z3gd=0<~7T5yC)2ENVxcBE| zC;7<ERlMyTtOVA-54F#FRpOF3UWa;TZG`r3nXx!2*EVH zoeomdOXvE-TIoPZhTV->j9PFOkC(AX)YWU;==bxVW2{?BBM1s+y3zZVXSZXK;;~iX zHZhF4bNEHvg*#zINW(a=d#AxR2H?If?)P#QSA9ATU;3T+tOF6Fxubt%&hDq1H3}j3 zqowP%ndX$K&jyR7E9C*pkkZ${R4-<7@80qSvmqL6fQ^-QG>M}A&5Kv7iS$j$h>)7G5^yARXRsF zCgZkw8p*9K1_1`k8H3XL&s)df`nfdr*yz@P64--rZT3%p6AJ>#U&9{9uEMb>tR1zo(uTq|aPFo6KyM6bcO=xO>eKi> zGYfrzY^5`RaRmFiS3Tq73368Krzb6-!9O0C8)xv$;nFBL2zU68Ojdu`1Ze7uTX11C zZjsa;ZVX`y>qQO+*B4eq=LN>@nX=3-Ab>1Y#QS$0gud-nYDl4)8FE=UGZ3oZ>Gg2t zz|jfW_{$uAz*uV?f^jD?ix_*`OcWR}uag#D)1M(60@>@q6@!ucDH%fgSUZji>evEl zbD?n~`$Q3X%5*$>ax;Q@yN1Kc)DS}f_dD{fIojma987zrOr4qNktl6P|H#_8pSF5u z)5#E_q&j)!wY1e;fE*78%PS}g2;9;oy+_U8po(>7xL#*Q4&35%dU0_*Ltt$YjEsbh zrvnO(;clO?6o&l~(d9PZUNwJ)>m?gi8|TZxYp{KRnw~iU?}ESAp`P)Sk&$_`hF z4QQWsDh$McpyP4v0K0;2^c!RjoTJtZgZ0CH4GB{ENYZ+7QAfh1m2`hJo6XO0%1r38 z2%9+!MKLLs(B?X#5!A}8C1n`m+L%LuylILI2dwdJtepiG*}l3z|BR|=mDB_5eW0Y5s} z&*MiLS7Q{+oI^U;%%CjGo;Y<YIk1jA3~+ZX}b*fBkyA!1DJ{( z%JO)332%zaMUb4Gv~XX}DVs(Z&*J{@g@y+zoDiRU@|T2OMn;ybs&Q(F6)(oGac0 zIvjUbl`b=b1b*eI7qzln7aGXfBFxHCvvZ2ZdQ!NDTh7+oM6;$7W_P+GPR+IV(A8xi zl)OTK?O%I5?&L@b>aq2xO4}c`lNw}cIha>J>^6{Z1hIcI`l^chW!$nzX{vw63W5R) zWVfw%+8sCSL}V&h6QxlbdTLFc=7GhjLu;%fPvRr>dl@dlPVR#ct`Kul>0M1OR;BWR zk{u7Vr#e-Da(|b_0t{21@7MwUgZ)G%4+FH6s}6Z4Ef1%aSK=kvV$#TC?1(0hUMaB}HYV`UgI?jcy?Maf$Lj6??RjW3xi7@G?2Kw%;~fI(nSCek}POH-ec*Api4;`+3CUA#2& zd@zrn(5khzu(%qNXqGUt8MH^K9kLdAfil9?^DIFLP5%I{BV1zwFOmp+1x$>+iZR64 zfKIu0g^+C-Fsvy&&+N9Wa4gL1p0UTEtpj6-9>qlA?FuV@{`8{DBMT(c}5b6pOXn3{_Rt1JZmn>n|Q z7Yz1r)PrObNY^Og45E8&SG-_+MRFe)p=MKdX3EzIPf0psVn)TxuhE_&$-7Ws52D?+<<{^>>YjZr@IVhAMxyL&G$fk!9Vhrxe7R~EvWFC3Y)i1?+w?NP-jOqOZ6_2sMVH~iS6}Jfrb1Es2(#+TJ4kwmu zxGKC=+ijXGs#cA%HNBxoWqXmmWXEwE|3)PQW)rNb7Cn7C#cty0T&WRQuufSdbE7}r zLPCx#yPd1bYtX!8!#(X#sV=l(CgP{;fVy|P255Jfll8g10%LTHo_Dd>4w@dBNtFpP zk~tVO!6^ zZm`L&S4;Mt9`+qZRgG6yLStB$vbi#Sx+360&=X#@KdcXs*EoJwa#$le@ip%HQK zc8Qx!dG$g@dK3-H2I5R>Yg+#PZh@As3q*Olg{u(EDF}@Om&%*aSP8ATI(*4E%z=_K zFeS9Q4rGpnLnv3C=8qwmIN=6vmz^R8`DC~55iaW|XAo+ho7{9u<5xbaBavhL9{M=l zW1^8s-No!?vK-zb2l{;xf&>*3OS<`lm$}83&7;^EXvK{9n`?9i`1nP_lGqtmT`Mfj zVr6ohED#VXHJw8HBpQ`%7PbL|b~bTEAmNps3el(4+DAc-QKT3X5!cJ1XkvFWIxrnI zx3J-~krR#}BVGtZB|X`Msv2CyePwx{jap75WZk0DV6ycb<$)JC>e0`Z;jEQAYVvFd znPQ5eS>yD$7<#Rzjpkm9$3Mh&i%fK7U7~Dkj=Xi0m9m@XubyrOI%0E-L1K3Ko?%Co zG{0?}B}}81GXmG%F-|9UehD0G2{*)7q#N(odX;pO^rR4j{0`wGF_6|1SCt^;3-S^- za<}*m(6H?*FLEOVyEN0svZm#g+?WK6mcT_A?d`dWOKLpfRCO(Kc)#s`4%Xt>tQv{&Y{|m;$EQrtc_aZDRN~kvNdcniWXZo&GbT{;_mJqtCCI{$cvUm z9Jux1MmTG9+I2(@RJSbh{O_=Z}V&+q1cnnHc#U?#+vejgaic=oxbA4Q(%M` z#CSxCkzhOShX~Bcg9*(nehPyn;#uCp=_~n*7^{JpMzvFIu7Ok`2!s@4vxIm^C}ev0 zjXZ+}zy`FT8drfDM{<;yB{vE3n2o*pBwUAZ+E zq<#^nDVA!1-e4hy-Y&ugGide>Pmd7kZE=SFjbK^uWN22@vC*r+s8L zbDRY5+(*lk94Mq8857XxFz^Vt&BWr|lFjfQdtpwr;yuQb8~S^XdSDo7L8qK&mvIgf zC%be{c~8#SDGnM*%xIqGp08Tia)jbowqRNkBWKOG)TOtVq+IPRy$#9kB8+lA2l{;P zjM)w)auO-?H5B3*$_Qnry_6uU951D4Y>GCu1;Q7Tecp4KP3i|@AlyDDhE4C=%iZW2 ztv$N87#XI{J#l;F6m4=xY&{E#<~jnYTx6`81edskC=-gtf?yfvaPpGT+LdMsR})(j z@Nf3Wf>1n^f}lTV?zT(bk!HKv@8yd6#ga}AYULG9qgN3}HO`oeNhS=g6RMMg6=C5u z%Bk*k^8{kK`07Gs)T$C(>}j~uf5irBXI+E=Ycj7L{UglK{WN|Dew0Jy2C!{qC4A$a zWhMCUo@FHn?w(~;g}G;8)z$7_9QZig4dw;CV<(fd;fAW*GA3m+0j#JjO779ns&;JtLj(e_HqV&vRe?2qX zeiC<4BrW6EZ@wbQfEDX(JIr#pXlR0S`rUSm67``i@p>#`;zqUWob-I*CCi`$j%pjB z6_x0DM}KjNwh>-2wq^iei{**Wq)lWROM3%EP$8HpruLwI3E^#mx1n369l;F0TwZrF zj9x1&!^;k?eYo!A2nwo;SNBhx-o&{z!~^$UPv*gy8_oi%&02r(2bAFt04>A38Zm@0 zvBEuxWnw~wyNA+sX zyoCcBV{qI`QhwZnM4}3u52%b6CUVJADkOAOooxavK4%FNcF>z$ zteEmm4uNK>lKJI;!J9EL8h}jsK_D@4pd5+~%{zMbGHuc4hX1^HPEi>)k+rB%vCpqr zP0VU<%j#??eA{#82n=q`l~>@eQsqXe2-5;iWG2M6fSw3H%FIIMAV)i^h$?oFMZuPf!zDQd^pn$J)BZ3%SD z6+1F2Q}J$|Ett@Y_11kK!98C+Hyq0d?rUW?2<~fTHweIMWjBbvYh^bH?rViNiMwls zw+ikBHQs0R+XeT6PJV-#Y!ciHdPxiJo4=1;Z@~xCX}OfW=!b%yD@|F zP2`Enh1MNfVk}wQ&XKuca(QWq954!%N0JlmhWH#GBkbbd=k3nA&g!sPWY%&L&}*a1 z21*N?1DEuIhLt*do_DLPcybu6V=I^n{PW+@;O($P6xz{v469Q~*ll z$CX{Lu(V%6BM=2Vmt!PG=3-_Mlu7u6t%^x?aFpi6nCr1KD_LEfPgb~kk^ySqwY*rW zk33yrkhnn0D`Fc&KmiTY#`@@wB>01kC|q(x)m(3rb?etCi=Xgv&FAY;ELzR)!@Ov{ zhE*uGHKt;8bR@WKm= zD)s0y#Z^;1-Qg=Ix%h)$7+AHEgrKHrn_X!5gKsI$23?szV~fV)db$DY;bLMBcFWY! zb?o)*Rl_zChf=Jvfy|ag>HK2W#%#FXvE2E#Wy7_Y~RWVXB+uh{Yc;2gKx7JgvVfn(vW zZ)2did2XE*0$iRc*~VewFXdE!uF!TaNs0Gf2^Vr>i|(0nA-}E<9x%q1IYg6R$)#OT zAN0MdoXn;?bYs-(kx$TaV=QJ4loLz}-D@N(tedfjV$;#%qPPt`jK~pzG|gY$o#AqF zIN+(>11A#_NVuzL^Esmw!GK&#edp3Hv_-K3p@Tf8BULw%R-N7iC7Nl{?k!o^FCwrO0MTgEgGm;n> z0xTu>g;BqLDM)bnKuP#%N*qNH%&0p%pHMRG-^G=@1C!jlbRE#V$QDrG)8oYUh{a+t zkb#^vC6(h`J9DFOideyv<;Wy32*yjvWRk)0UNzGJ8)pxG92&Hl9t8{SmY>=7ZaYqh z%o{KPm55?EF-t_viJEKFayk><#QDjzVZzNR^CXavod0Bd$fS)vC(bMf$MOoNQ7q*+ zcPbL{Pa`8VE~{>y6bNhKsgq(gDOD=nZ~nf=O)LjeVZ0yl45ts*N(Dyg)SO~O&F2II zG>H;^yEG1Zx^C+Zq`LyK(3JVifDG}q2TGoL)I`vkJ3^AbE>n@?^4OCIN4Z9OT3`8} z&7=4vX>M(;>uQ6KP|xBa>A=zsoX2edNdJngEl7@Sm|Zr&<#=^ErK0Hi0blhe2vkCL%AvFKK4IQmvG~eu zobv0Lj8TKO`Vt_;arMsX^-Rid?A_dWjwVyw0++8z+5YLH!F_bwy`$!w*ijVbYPjGV z_O8Cdiaw4_1?%^zX_Cto4^&3ct zN!a=g4e890Dk1+q!Qi!V&8)fskhU4pBjWBe-6Xs+(<`I(X zS!kclxmQ@W6&wUMQU{SyC=mUl4n<}n8Pt5PH!@GmB;Rx6OqJ=Z6uWs-b}SkofkC4v z)#kpPt+J$@w%umiQ+tCh6aA{Ub01YdA0-LutKrKuksg@%#iEI?T^eX zBfJD}#OUZyjuF--!%IA7e=yuX4kH zL|QVrA!ARI*7dxWKOWPb4i{tQkRfs$w`xe=EydP=NgKh2lV+_}W%p@$*PH~laDgB$ zjXDFrJG;F>IL9^=I4O(;NCxcQ+3}A1Axt27SmOdtA=U#^#t80FK3T>4*GZJ_G~c;L zcS%+1>&AkdGAodC4@IP^@?r=x@Gf#qBtvge-iNOQr;C4p=op+~lE3EFaR->Nyxzc) zmjcDd_pl&YJxu8}Tq#uAajd;o#i{4tK}_tvsbj7rBqlp5+{lZWfFPbT@GVh63FYB{ zn=Mi*peHHNcF9f(z8|?%90lK7TfR58wBP7#9v@GOZzR2KknsIplukAUs@VqVLh2mjOsf%Jj+pkyoE(H&JJ5BD>XI}9kQmphZjmSUA0og))kRwOTOqALSFe0{pFOWx;f zuF|xf$sr;(Y#)+W$pA=K=Ud6suLXEj-%{S7oLZnTW&V_1<$&W(iCc^Oe46Db8V1;mYJH z#Iyr3oo6MJ&Xe?{dUR&Awwl^^TGxW9B1aXnsG|heF-4;KcHzqqy;%6N0*Epw*z>GF zp;%$xdG$f=o@w9VH`rX@XiEd9TfUQTZ0?~@K(rwi3YhlYSm@?Xj*4UpYoz7kp0l!p zeNVFK6&2V52OM|aJi*jIt|U!(sr6o!u3w^G>JZZD1`u^H(d6}I`AN$N$8gNsgxMEU8SA{i93 zJ;zRI+;HrsvDGPH%M}J(ZK_nX!*5QkU>kcVEEOrKx+^H`BuhCA&CW)p>oxWXyCCT3 zCVDm+1CJA8a_Fm#bK-*3{4xhG=uc4ZsCnlqDm_U<*x!Hni*t$tHgjXuFP$r=$ zdT>EC0k?=6&fvCY-c(%5mq7|rx&*>NdPBy6{hSS1hGpC(kQ&^GYKq=EOBY`Ne<)u=94_e1>ZNqCjXct(TA3Vm3P@}N^9UWvx|urYpiq2xrE*qJt)dKda@$>T5ni7 z7iHlx&t#(E$&&e>Zg0Q@$-t4*Q2D@iGOU){UT=9JO4f3s?PX&lom|t#)pQFeqna0`&J zw7fjRHd`Mto-@*YcV!foC4jcu_j%d|ax|`@$4!_!@~@a6?Uz{~Ga&~y;tgezGg?}EJ9^CD3kCmYrlO0qEPKSeqQbD z;C}*d`D@V55$LU#;!;l;^RT@XBOAAtk}F%bmXef7TT6+3aBHdkdyQV=GC>VwnoQ-! zcrqqW)mxz)r&^2sve>3I+9>NrONJQGJ2E}2l?3l#WP#NtZ5q~kk&LlwXElbm~f}Y_Mm%1->#i<`?l`4`3^Rkx-e%P;9}|Z9&@efmJ=1i z6z{@Tn~#NBH?&KBQ@2;JxT*HZ6tBR1hYY>yW3DSS3mm9}( z!q`2fj6GMzq_x&jdce%?mPjEUAjQ{LFg~t&SSw?fpUja72_d^gGO{5t*oEUvyBuJ%4br7!`ehl5|fJ>r{GLsS73#*QIn*vUs{9JrqStH!&3BHK@6vqvdCb2<{I&rjx$rHO++pf0Pr8 zF=E@+^QSYP65^+j0h~0I-tV90o<0z3losb^6<*tr9)d2L!(w8Y0ozgQ_ix9z?sENh z`S5%LTmUJntgf=gUpOt4_F28omvbP?L|V+8u{?2*1`1h#xiyzfQJ;6d$?;Bi54M~J zHu^JNETz*;*G+(^G7F9?#S+#@nGVI`} z078h7!vN<5QkM^jL1A-Nwk>naSvw$;;#^VH4f6!)V2+1~nAbbiugBBNmEQRn%src# zN6^=~S2Bc=8`didr5C*vJ&?sY_L2HK{+9^a!IO#G1q{*YC-<&UaZ1mPYw5uf&-$8Csjx6AX@VzipvL}$I4yj54pU2AeNi*B#ylX#93FRQD??Dq01 zn%%C(b2PPxhL`v~9lS=IKdc>Z#v|Ox;(k`1NFVBMYEPRT>B@DW{z9RupcoDqN-4en z;`9t)MzxcEcV|4G-rhW7rw>b`nRNf@Xs_~}-EaHH^H2G?^qo@q+a=#k7kUL#Ozl;6 zKAw-pZ$9}hEb+@v(KK4d``!>*5dHFYxLhrVSJO)mg59_rP3H>m)w>AY518@^2PTKB>u8B9W>6zq z&Mu^N{Joe)u^W1$|EksN_olHE$&)WtjMKkv!&HKW#WZk8;Nk*5hfKFJSw zc6b$(LXqR%=f0F{c0Yb1!RKxw z#N{VZwcSNbkb_`S@AP)n#2TU|P=EDKx-`_~3Jm?+mW%mtFqak#X7OPhm!j!UQ4a9D zT3)?Yf*9Zkal9BWjXV}JZVmBhvZQA_nZ03EvWmF1q1}-C#Xxnl)#Ye`8=e+&!5Ch| zqv43ShAYP0%mDt%UUZ3<9O}gkmo>$}q@as>>$!*SVGHz}tPnsEenocXA&v$NXVb|D ztqHPno;^f}VO#6+lHuh_fIptwTt~wM^V{p?*smTX-|?HtaICpHu9mAQC^sQ8 zw`PP2S6opVTTnD!T)!i6@gArQE)Wqqf(YP(l0Y;XkruCpf)hsjzE-odYX<|zGQFGS zYf$m|2!~%iRCfKZ$NtxosLpkm<9=Idm*j~b(HKl@IUhxDU*9SN#e#7BBiw0-Y^K5q z4Qq+&ejI$KB1qJ$*JQEDw6l{G5Wq}Bo>fOyB_Rb*{qe=3wvHy{@S*cuizp3N_)mwWiYbTr3R z4hzD>;rU~78Td)x#MYGi0IZ8ZC;~{u0uui4c0qnarb>t|ndeBDvD=b}*RsnrN%DQ& z%iQqVOT{hTFqM!?r~bTN?;m-X5J!ZWMnL+Q&`!!Wy01cH_9WK`8yG=WUlBg8UoK>Q zwiuI%T>`QsfeUQ1!j=S(U^2tOHK#?qT#Q$5@N`b9m3^3y7rL4Nxj9AUnrF*%f=fwP zK;@vAYzLF69|pNn9#1aI#wHyBmYi{{GJ&E5jc|UwDhF}pDLFAWKUo~)_s5+q_%|3> z6ByqBxd>0OrQt3W482$DDX~f!o8>4T&aVk}G8u;nPvd96w2LJ$l`%w7o5_QcmXx?D z(BL{r0wHRU3d!j>b1&~0%odUEkt1kb31r%7$fzWOL)5u~h;lqHh}h%%2qNv1H8}($ zz?S*Q*eM%_93o)3SY9s)03ZyKJ}jnDmGdJ2kSG!&4Gw=_u(~GhfZLJL&KH-l zu;sb!WXjT}s7cO`8@wqZ`eYEpmA<7+HCIjvu#%2UGKEkb3N+{-;ZiOWx;%j@%N@-edEDH8pGJ2+4e zu7p#&)|;Kic%TUbumRl+c!ZW)_>~`zt(J-|=Qvhp)*Ihr4C3>pv4UoyNlijF3M@Nz z0oAUeOa1~j6Rq4}unR>P-(&=Eh_V6h09hh|4fmYW)g`waS4Y*bY) zM;Re~j{`)khiI6f3H0@l78lkENq3i|JG_datYOdb4Ob5QF!U6W3IZbDCjGTS9_6qC zW2!uhOn)OAh4gW;NR(tC-8$is5*I6BDD$_YX%rB24JzN9J2_c9AxlOsA{2$caUw`k zl`-i%sBY^Kiw-$h%tIc4aUea95C#&3Ta)(k5R%*)zH#m=ue4&)knCwM z;Pff%aoDbj)6a|b80ZCKC^67!f#^U5PzN|%Gy?Bp6Ii4h2&4ETugU$f4`L3EPWT20 z1;2{$Z{EQSJj~UX(2c7v0N?{!@=IeM>(>hf5IPkQS{Gne*chc3E7`w`&TlVbVldxG z3^RX(>up5^rJ~yjl*>6Ajfb=OyB%&Ik8ou)O%-bIO%b?iZ!ESCi}U4s3+%*+xGe?ZD8+7Z=xq%Vp`~(isEKPft!@ti?&i zh>u9O+WX?9+kaJhEYEG;A$Z7Cv85e;_fNkgwcGkl`?&j;VS6sM>1Y3slx6oJz=o`%MCMSy|7ZdiY)cdiFvkgaDtQ$_GQhe3OZ6CZG7_G)ewd@ z2rx<*KmSgN4I+V8r$f4kV?K(Rho}uR=y(LC<(deo3E>aT1_W&-2;60-oP^bGKn+HJ z+9_TbRiKjMIjf^~sSF90eezkpl%7Pu&=GXs3HE!a)g_O;xjnCWYGy* zWD^_-NFNcN>)_>EX~IY61LD)w>}pxMTFs_QrK!_SU&)FnaMpb;`#-~XoB=FKp?{+ zkL7#^EaD$s<#4|v)pipG1%k2tQ6&KGNW+`CjK=K~d}!#Ha9`puKh zX}3=qXRaYj6)B_g8TNz{bR=z{#Xm{{J_7nN)_y@!`WgrIu zc8Go0OTv$o0x|-Tin;mXa1P?$Uq;TUoDrN25Hb&i18>qkC_PrWGlLYRqc#MLX52h! zw5umlDU?0P5K0O$Sk=eLq^A&=NAzKTIAb=rPLqH%h=TamPAnJYhuJS}%^b&i; z^ap`cuV3v0%V3SgoDHzbC~g;*#7kf$Mk=_xxTIReD;M}rMTQ1tOa5ZJ-Yn6x1uOAL znFUxAUm}Iqa-0T+Lalyuiex-axI+93ZoU$I&cv++cF(Ablgu&F)hIFme5QN=uN_%Z zVq-^(@!icNvpx#;t^nHw7P%~Z$pQ9aAi5%Mc3VF^`*`$$EY95#)jy^))Q+Z#na zp3FbfSwSJ5o3`by8j8T}aFDZeXtQ);A^i26mfH*q_Q8(@#@Gy(KjfBZqR6&Ak!LvI znUtWL@n|xDtqDG#!EjvH6A_%aVjqXwICeS_3V`Sgl~bf^P|TqU~9g3kCPp8$E_3( z=++N5d1rc&P`Fo)gwS%?h*4Sy!?X~D6)6o!+o_U0T`J}13XVEwt?!hJwUzNQ+tN#N7xz&7U+~f zw<%AIX2UFX_P7c-GX*zz(rA%*xit&buxS0+|e=us(l*?9=S4mI$u0Okks3!g3A9`@$J;pDj^YzUh&5(jzH*@Kd5Q3kwix=S2uf=3x2+w zPiByDq??|>LLRCud%0TN4p$=QvG*7n4MO@4drcdxShe7a(epL(5w?9jb84VEWY)D9 z<3G8*IUg^m-@reER$z6A5L=G3dp(AL@|FE&s!Yc1{^k=yHd@RpXi}P&Je;4DI!)YW zfmE}|%J~rCE_9JIsQ?cuG*93k$3TwjrxhDNA3H}!HsN}^8&k#Ii%HR$4B<&9GK0G0 z{KV-+C>uvd?FxlBZJrlt;$kzvu}8Du*<3nRuvys?`wN%+^)&+K5Udsyvaw;v6%H9~ z_i#-|2xP|ab!ug}+_g*R7Uy*Ep`vIZPAVSBNWT{U^ihXCEq<)w5|CuR0r)UM`KIdz z!b9UcX~_)Bpe@#Q0IMVoB$vJA1B{0zG3gurqmVK1xp5xW_H_7}aT30Tp#)%AL+vL(ZGm@s9&Q?sve^AzP8>2*5C+GIo5BDK-yyrL?L=Qn#Kyld~XxPKH|2APL7IP`6)i zf)V7dO9(E%E>jn!exDjHC|mXM0yeU{n@(G zp(FS%*qv~&+<_+y%nv)u@D!V5*rPwVU9RxGJ^*XeTM#v=JHKN4#2FxtC0Kn7*=QX- z6*3;|sW|Ag_mn54*%W!r(dP-1*mL*_=Im2s66SEk(=!1M2nX%wve+0#2XQU=>*NY$ zNyt^otQ83O&2Xke>dPOjDMn@!#N>p{eV;2*(G}tFB|xPG->X!SM1KKDjsQR71ZaR$ z)r~WYF4#j_epWW%>@M>Z3FO%$$wGb*)eUZfleGrOrT`eZNNW``fWYF_dp+`!`(lw5 z&$Pp_nrx8m2voc)m@RaU4iz}>(`Qm6(=DRf+}@mp;1irr=cvfF9H$|+Wyg={+Q9U&yY=O=^npqA^= ztuZ#AhyqcsR|jugqhu@`!n;s3;ZF#wMU)@kkz4|3khK|U5>5<4UaA;|q1f_DJxD$r zZ+3Hi%JJ{{@hh`%Yz$kN0#tFk7$H2adVI)sm9S*vM;J6%Ku@yV1>n|qdQE-jc`rJJ zB!P1u`Wg>doGxrq`b-%<_2~@$3HJ>?^Xc%NJyAr~_4F;BO?h{MeQz!p=XQOKJ$*G> zEbT4IKDVFx!5%P;C71RFs>A?X++SidSQrk`W{-$Q3}@9oHRunwLt?O)z6z>bR%#8 zoaD(9YeZ<+g}ENXR^h-tdDw;k$9RB8 z2|9Ytrp@bTB1Wpe&hu*K+4YYKXv`MH0a+H1Cz`Di3IP^Y2oJq}qxOY;YxfBwP6)t- zXn}|J%Z5W)Bckr<^KSJxX)Pd{6bWccsnMiJMo7fSK)Q3&Ok@Y0L;du)bA)5IsaeBb zBe!XtBm)5~IdmL4=)$`7^7s_@W#{hIS|Td|S&ht&(`&%`(1SExZ^AJ|EbY9+#{3eY zm*^!&mR3m>LWvs(QSLnlqE#{@(e^Y3zo=hsIWl4yE$|8B<#X>0hZbOW3f0Tqnij>P zELJQ;8w*ibUk64MY0-U^C`ow(LJh0Qhu>V^Uyj6(kzECB<5dGCj(8SoANfmaH8Oyd z!805j_GkRzZR})}1}b*pE1-9S5>WBE0*0il)+I5j7$~MA{;25~Z<|WetiDw+0w6g z0{8nsrc3D(vNEn%g+c|svaqyZx=1ATl)2H`K9DbtW4uYgs- zWK&?(IsrCSz<{I@yO0Txdtn~=95HY3u0r0x0|a%T73|1|i~v8&ZnOBLs3&8#q5&W> z7QeNj0YhPl#Pl{TaSKshkjQ~}WXOJ6sQXOnDrx0UAt7A9xEV?`fpiV6(fm_g3hb^5 ztknF1MdKwMoCJa3IrhEBld9!sr^9N#>bH@v1AJc0kTekAMIB^-M1GLC%YS;!qXOJu zvFzfdt7SSnZXS1!iF8O25^g!6xk`vled5Q6U0l4wfr!D}gazj;$DnalqjQm?J4O+q$w3`@OeA97zRG6qYt^7=yw(&aN0KunH3BcFYk7l@J~;2G zK7r=-2elV%2_BQZbw0(2yoWnlmIV-^)g5Oxb zj91TZ@j-IP>MQDDja!I0cL^-A0OXzrmdImkgu2?J6VH*pZ7&*CJ0#-^`3hOH^I(2H z6ovRVrv^a=5JS>?G67v_`&1j;j=GeNqy{}fS|tk!2sTLzBGGBNU^}zE0xYp2$#EQg zfwqt$z)in%$P!*ieq=!rRiSlGN){Bz=Rdk%csdm z3HKEKc}%u?K=U<(b;IEr1Y(1B1m5M1$mf$4J*TtnHrt|9!Q6oFdmhv72<9&vB;TL$~$2w8Moi|c0; z=wFrMn9W{~s7|dOE=MSwOGwY7bW?7f!zy~>8^{0 zXS)hbSsQgf5f?JVUXN}srZF;`jFF{gJVMS&*5oPYGu%~Ij&yQ6G=)9Hkj`%>*T{Jh zhp(~LID9X%!}J2@vfU&02K|k7O12Ob#dB*6^B)4Fc_xP8Us@1H_m(PeNEKV@?8CqhS3F~qc%mxnjoM722gzka$F)GB~G8CK9j5HYd~{=^;MC{ZwCF8#f6% zHSOMN-%k6Of^kFiggO8?*83K7oQ{kQ;e$yQ=^_aE+OjToYSaxCw}xrQNN*5k4Dc^g zIS=$LRtiI6Y|&V9zl zKs+MbtKl5{t@n<`;QIU)!gU=-MWa^;m4cBG(rzQRj4Kjw4Z)tDL^b;2q<+Yk7sKRb zquoP{Adhy+moY*@;%WREn7!1f3)b!+u>hoMDS;C-7y|6d%u@t3kW$O&(@#Is|2J-W zH=JXzb1lY@A2+}r4`H4#rz66_5v=Y;hnO#31|!S^pT-yoGJpX6(VJkw$(tMb>nb2> zmBv)vm)P0}Db(FZ=I=(ZVBFzZ2sSs6VH0vV;g3yD!D!}jv6}k27|98TBGV=O1mady z5kK_`3yf1t=b%DH6fCX$+5CEjm~ak=;KSNTdzh9RqniNy&7CUm>)gE?Vr5n`VI1hz zPAG0@Gh9Qf!?L4bX;}WrQ~5qB`*?8Iy(3FzC88wmheo6j&aBiwN0ck7 zRuBQ_TRh}g&N?38)Z^#30#mw44D1nBUqjJY(M<-^?w{6AkMic?h=DF2cFF|Msbw?D zW6NSr=T|cR)l9sEeuP9NMl+u&{*QvOXOZk&y3hnmeZ4qnMfTw!L2QgqPW$nT>QP%k zp-^;%EjN<`4!hQJ7lyysNO26_-3{Oy2LFPT5o2u?-78o#Ab{{uOiP6k3qYHU)4;BIN_nh~dWb<_W^laEZq5 z-5$wTNX=SKFBi}%x+5zfWuP{xp?20j!sc<MG`F}8Lsm&fXyb6?N6Ulo(IGwp{*DTiDU$$XjIa@4CO~o8K0w^SK&Cdb z0o5S+jAVs-NWY+i1eLKkgi8j7!jpz1Dg0%p3^ZIAasE8OwM4E_cffK=) zCC&v}VSF{>p*1;Cf^$DS>L?AmSWGl3yG0B+R?a6UM-MQoXbMY-fMp4BLw?xNH^$yt$R$oCY3m*)a+Lp0#u6_0NR+%7DB-hGTyu%;R(){=^Ev@kAR)4CH;^;YdW^pWN|}{Sk**; zM2b3UxXl=!k-mig%Gc}J4B@fz^5eJ%Eps)yp1mC} ztk}*iGFDwuJ*1xcP~U!1`eyZ;h*bUN;F}L4q*Gar$FI@+oLT>@US9J%ap&jp+0Wyn zpT{RZk9k9S3;Y==3Q!k;8S|_7nzbKc{A&XYK7Aav!$#WO^-$rV{S0##B=z4ICtU4QqZDVF%K!l^oIU^8GCg%tv>Cftb3)nc6L5k>5 z{UR8!nz1a$*-7uLL)os`Ku5Q8P=7EHu+TJ^f^V24dwuxuJKu@VxOP(6MwzK5Z!;A* z(B5J^d;xxQ@y?4UBPe;3scds-^Za@+eXVWAuq9w)Vy;kRMcw%s6n>%yvi9N$qof7k zj>&EK8<{@R1IOdt!;i6~a^oeiK-TY0&boi|N2_ms`xn3ygU(t_Vj~*x2>d&=ILt0~ zzVVG8qU?_!KK$VhTa=!$rx>otW6n5+57+R+K!Gj$2!;;YZeU9(?e0fYSwgfpxSn4P zHnymX5v^u}q+%D5cE2t8RMGt}ZbSlsNegHIpF@O2`8A5len zdC!%ZEQNg81>pZ*mjrgQc%a&WP%UWpca!&AoAjCl!;zISW0dD6^8NbZmUUVY{RnU| zl$@Ub0kl(xb72qfIX?m=U|iDDov@58_;>Bromlb`?5dgQTi?~B_l~Un~=PjXf{f}8AKEfiPo>0w(2?(X6 z_hV!2brAP%!M=b%D<1HTDBs2B;N!4`65y*DPP4}n_h&oVJ%=3bM!KuP+ct`cW!!or z>4Ufg!!?krU|6Eub6ijs7ArA~QjT=%c<-^;n%X{fI7Z4%?8=w@nFP%lb1hv10|z*_sC!DB6h!yi*(q&FRG{jdt-?omme4*Y1rxu7vo zb$|zQd8PKR?7TDmOy5w+lk#GT^HQF?>W(K=C1A>c;NuK;HQ;X5PDJBNMmm&wV)&3> z1@+`xrY735{^Y9~2IZ$HElh{mnaszv+w0c=C3s-#ly+r1gboV?%wuoi-b6ADv=iCm!s&5gg9*nqs;#KQ;4)UQm%(_WlR*=>bMY51f#!q+pY9e$ zD$o$JO2DE+6q}ul3(QcV;#WePO!AF9PUk3(y|)vd1d*}X@%WfQVCwFsIYm6+@$hOq ze7(d_b*BB(KKx?}OmIZgf@N$9wTGXrzoVHI$U#y*M&_~F42#pMmT}$<7g*L932@YG zc8s_T&l&b0{{gKKcQx(bxvH*Gt9^t^Re23%g5WroYt`TuSlt~jFKLOiUiz=P-!CqW zAc$l@?UPMKyA9lK#X;3>mmqBl63b+KU}5&M)eGj>ZUE>oGR=Vdodfz@(tl0ly!16j_9F<>A_x3!y;ZJ$(mNV@=+&?2v;-m*X# z1zIH{Ee!X%tzhKl=vnUr3yX?$W=1y?UNJd_eR2kNHm-|2%E_UoAeeh<=qK^3OBA z^Z!VVr>#fm`k6FX!RKfEXP+%RlY;#9n3eS(zLO8q`?DG!>-?v|e>j9^(x41UyYekm z*^K^kz+X@J&r|-xR;!#&l?_(eV3iG4*H8xmdgEcl- zV}ms|SYv}VHdteWH8xn22J39F&Iapju+9eSY_QG->uj*j2J39F&Iapju+9eSY_QG- z>uj(t4K~z`CL3(B z!6qAQvcV=BY_h>78*H+{CL3(B!6qAQvcV=BY_h?oG}vN;EjHLc* z0wtVeUg91c7)C+DM=cno?_m0x4zIx?&fWXQX&>)lIYJ9mULpi5Nb0OuTx}vnaDb9W z5_5b6e(?~==`ZG=d=~*bG}<#!!^0iE|8@z-hL9mjpz)}ruYRFS0|QpRQTER#U_zz6 z$K*YKa5JxArI5Rflc=k>uRbl1@i zJ$X}mEh8EaF`~!2(NNSl3?7$2$@j+M4S*-O0CUPk{MKw#5Kv!3)x+g(@C`wUQWlN? zlD%g-s27}@2NH~y;p)gb0yejcDHn?CKa9^WMiBsrxk=B5lMw=_hJ>#N%nwSqRt{Y~ zeq61V9>dOb5yLt%9TJ8&V_wPz9~A*mUBNX7^o`@^CuensRwXE|g3vlY_~;NKBkK$8 zOBz5DWa%6}WDpIfy^HM$kn3aF#zVY#f~}_)V`AJm;czKE-q-Q@xjsI?MHmajz#>Fp zdO?q~j9-SdPa+i>C0U#zgCFRE!^8!Ctb~|&mCD#BmTK6Z!oVTm2lXtLZ-jx6dEi=U z7Um6uvbf*RmwW@t!-GKt*`FIM20y68~UIa%bVsrZ( z{o}`#gEN6Qwsu8wB*-_U6tO`-S%=DjfE8qBBbcmh0n%=?{OLJKO@Yb9mNJeLqtG)@ z5fs$sv2p-JCqr+gE)O1k0CwD{)q7YS7VB}oyJS#Y=%+s1dh^r`~{9RmOd%rrfAI{U3rZ7bSq;;j!#dRA-Ymt|I(;_ z!B4orI|7!**H}mV4{TG}k;++zZRU6bKN1{UkYO8m%LmBQ{1nhdP-@>c5ZyN9g^|JN z56EA4@aNMDW)latz$MB@^o3{8Cm0-Z;tPN!U|JVcNMSd62_s-v-xwbyBQri_tc?Nf zx;)HdYx3eQI5EHp;Prlrp#p7`Xof>_L*flTD-{A$_7tt;v=|vBbO0dpNVXSgrw210 z%I#M`Tfk1e;^Yx_j}+4S*K0EG>S_XIAI|U9yU%3#B@p}K0s=3$a52h)kAwcOr)n-= z%t;4rGH>ZII!6Q~N*j$KR-Wuq5SgQF-bu!hB{OKZmuKP`4dj4oAP4+_ascaqFi1H< z*9T}0dyDWGej}DQrz=6mC&?;+Ybv+|x`du>Bt2Ru2&JcJNQ{)YhWQndL$+n;7q)WP z)%$2=-^in)U1%}xO5BUNaxhVI0sZWNujj&l;edJb>~e6d-a_nICU>x z`U=t)?#>oOi(>yuTS`12uJSW6O_9uq;2jG1jJjkHVLY8dY#iV&Nu+;A&>^lw zMnVysp*U*S+e~bZU8D@z)|W?Qm=uni^+Py-njJ~?E;lktKpqMrL=Rz(5!0aJ+6w?4 zT$>NP5CbVG0Aoh(LBK*{cwEXo$HmW-wxkWw{2_W`KZt)ylByYk_c4C1t0-w29fuZ&3KqT$vl3pUjIZ>rt;p(cRMK%&|}*f#RJH;l$AV-XVwyvO5r5yG?#h=Jwu!gvpmH0w6FjOb|jG zKOvzKANCW_PiN8v!;>TylBE#u%Tg7j2LjzSnzgg%a2){cT05V9`Y9Hg(^&)71l#_7 zpp}#(zpAA)WJIj>i161y7eYBuanPx?ns?ar+3Ja^3K#hoX-5L1Uw2% zw!f4BQXr;4R(b?B3yKQTP%Rl;k_`C>%QI$ezv9L4jRf;DvOG#E%FnEAD9pI|RvP4g8))ig6Y70HoITs!*Ah zORzBsCFZb~E+m)_=qWp>=?nnXpj@I8TyrFn)8{)kz!nL8(;!@=cmj*V6$W$Q7Jm6z zLAd9vxF%Ev+09@GrOyx{;0tBkAE?;Dmy%&PY{kBD8-uTZr%ag?B54YrkY$9Da0;Ka zCt*Nfn_NRCKc?IeF*KN-(RU1kHttl35Zz3MboG{t*G`iIzF`7+VcEtX00*8QTiTe4MC`IRV&{$Kp}rRam7KlsYui%NLre^+0QKKd8i~E%3o*Rb39pCeC10~bcb?(E%h_77(DnI`(~NL z-`YOcS19)i_rK)&xcB?Nt?L-Vm+c%#KCw)$cJeE-*Y|C5|{cK=TF(cjniejY4;i1)j}dpEv2 zyeE9}#{ch8?;qnkzwv*3{_pIW&){12JcJ2AFQXe zPaNj^|NHMoAN^9=`RX5is56Mdx&70mPW8c8KlooBV9`oe_wGN8KDv|d8JheT55DHw z_;+84KKdJJU;1Smv#t`#=9)^wA@EFEskq(+3~=c0YOm{wm`S`u_R{|3|i6uDzoJH?P0=kAR<2*U#%+ zIImxSHTvj(0zP8GIS6+@cm!^C(%bgN88`iHMx8c{rX?GY<=9k z{x6i#@u$}fOz)#V59am3@q-WD*!~jVbX~swN57ry9KK&vi~c?SS9?(UqmO?1cYgKT zfBaj2^5LI;`M)Lqacw;P`_V^#iuq;mHq}N$zOT1Ic)4}sI=H#uXB6VNTO+>zC-@&= z<#90l_CII7mGJD^{~E@ywm<&j!Pf{gmif#7ROtr@<=Xz?gXn+#^Pt8mxE~sV*pwSU*!Dy z_U!t4g=girg6}^G$N#7JM!w|QEa5lX_;b8}A@4mM)E@lVhnFD@e)yk7AH9+?0j<{_ z{Id_Q9(;{!%65K)G9&yxRUEE9_{kAb`uh)lLY9MG@s0Bc_jkepyf6Ao)a4x9*jSG5 z|1IACkN91(XZ(r&CH~iX@Zm4N^uZz8uklw6WF>904*&ae{Li()=MMho`nvlE(MLbR zGy1wfHNkn-`!{(16M2sofsEq^A4g?-pM?dwmfs5Y}3v4 z2)}Lb`{OUg!roHf8}dEC89aym6aL{dmoI##Y!W_G#t5IY<+IP(@_*ZB_8rcjc;)AR zuJbS9T^c@q5BQ1h0O>hoVUV3O=j3n~KOGPC^1SQoedk7b(82Qm0WOBP_R)W-^yt2` zU#@-l9G1V&_bhIP&n5I1KC`_LclYsqC+Po2_Uz#DOFWnGJ^h&MAL##;e4~-v;B2YCoF%IdFHq9d$#`jK4-_1ea^yb zTmRYb+4bc2kjAg@oRTpz->-ANbBvBIDWiy7_p2{`aEl%5uWH;NfAysrg+csR3d;U} zy?qOuRn>X#o(DK6lCi;v29u)>5tYXb3@{i><_R-81H;TghXissGv^F*!pu2`^MDzP z5hEx@w3uR|y?8G+rojdqwc27s8ci@IsT#Z`H<*}|B-lWzRa25kW0L#-ueHCuzr8tV zdw=)d-Qw*3`hV;7t#5tnvCmpLf_FQ%tvqdP3y+&MDELFLdzKY;@6GEO{xrhvSnrQR z)H}Ij;2KpN#%FlV2c4>d3HR4B`AHLv?;(F5c%7G|_rw{(4~4?nPE6-jgkNg%!8p6j z>Ojg+9Vxk9GVQ^*N5Jnz-0-|q;%~Xcse1Vx_%)Y0Rd<)*BM4*qZ-Bqg@TbpfrTp72 zbE+0YM$cCz{vmL0oFP8#O1B~L@$=ADHCC^z1M$nieR$Vv%C%?*=1;zUnp1V9;i11? zt3Tn^fGee2#@hn!u!(2PuYAz8KZ)D-A*&l*FDc`3a57FYt+!k(ajPzub*f!wt;W1r zxNdNbw!EA%Rb!jP*c8**0nXON&VMDp{RlrBacEAu6fYtDyHLYb_b@8^W8pXF5bm^A!$V>uXa8#uQvzTZUna|mZSbX!Y$ z(`S4A-m?QqFAGkmC*e<=AsksadM!ykuv~9Jp7t#9DaUth_msmT&&-#?;gqi}As-HB zep;Wz1xz5PBHaeO3yuPF9N|CmqreAXcOn1VH0fwr`9EPpOX4k>W9MVk9pkM6rj3OA z7rBSPMMGS;eAL0@3-BL2mDcW!AD9Zv@VAi07QB0N@$yD98D}~YkG8GTvEyS^xA-;6 zZJO)*If*BJL$H=dlAd1?z7k5|@#5Jpzs`i5oO8{!X!@UajM$A4EmFIb*;l@FEg|3tnXkwo{5 zPYm6gIl%Rp1Bhkym=NW3sd{Rqc2 zjDN-cw@#0~P?w`b9B&Us+a=|mz8K>k>glF!KIHgRH`#7+ztG_mow3xPxT}*4r0-Ls!w+7fPFE&>FG#4TKozi4{orf;( zu$zbQqan;PY5D&j_?^mIDu3x))Y%r4U&p_%M13@`(+k_ku@929dX_#!T}U|J*&c%H z@$Sw8u9tZLxrbC4L{@YvnCUPqT!x_t0`a_!e^Lh4m*I~h-9ska+YgA8ubDKF=}0_G z-%J?=bFS}}aha4+I4KkH<_3Q}5?Q36W)!j!EZAj!xLBA@82S~2iRR_nR1#oh1>yzpKaC=o^4Ml|MaAZrk{X6 z^kJv!T9dv%o=BQg7dcfsRNfN47vYO+xVJ}P%8Ky%65&&?5x;8p?j)T~gtsesDbr4b z&o|+InS^@|T#c!Z&qW~1{NMP9Q$^ds7f%^)xki8XTQ8Jur%g5Z_V`hM9ZI|inD#3A zW?2~?ML2aTzC*&ZZv1fgW`xsL!{Iy55WXAXrSjc}@Q6*vw5#NM1mUw(ILpRzV!~6k z)DOq7o-p4dC0-x+$9>+|8RJcC!nbr4F6nJSc)bY^&T(jmjK`-E|0Ml=kY`(k^>+y2 zS|4HiXZ(pDa-5@(i-h7c+-bJ$r1fdSL6wrPK7)J&;oQg4WgBm@gidJ6vAY zaXf+jySsFkTelIz6v0p1j|;gxdzr`oYt=Bj6fMKBse2TF=b&y#se2 zxK*JvrCuk&x%Kk=59>9x&9l8gcs;^(eMMi52&eu9uL7=Cc-X~~?SJ z`(B2RBHWGd>5AbmBfKh9Mus0lcxR}L)El|l4quPNoi1isiHCr7nv54ND>uipkZU!0 z_SU*8NB4&-AuIZsN86Z%&A-71r`hYajT@;2ZJY z&V81s?fcA=TofGsfU{$s#MzAS2*UOLAZ?Iwc7y9PT+r7_ns0%hVR+yE7_a6!->(oi z0M{xx$$JRlrTQ@A>;q@#UEbJf=8@!1g6lM6Zm?XEZethv4F&`Ur!0SW zTi}nH`XJ}l!Sh`V--hrSTQ?I<-7$PG!fhWi`fi#uWu+PSSVr>4!Mk;rxq+ECl56O3 zs&<<+{r)!*ShqRbU@v5kKvvtbgo6&u?}Zk?Jf;`f;D=f%qV1rA){@T^&)pHlrQzn@V5{?bcQ%e)2t%al5aV z{^|FTQg(6}6SF;#4ciIBA4PbBsw>OP@Z$)N*m8OH8BUwBwXPxE(%u7}ZH^zR%J;iesox&5 zKFq6nrS->x5p2pK^_vcU6~eSX5pD}Os4KulfSK+S;Mnf?U4hwq#X63^e&oCPy*gzo zKW1e_Us2#j#L@kSayt>u_6xTGb@Bu{(k}3QCSLG2Uo0p2li=;|W^Gx@|9DcRaLy{H zYNv_o>C5E9css#u2N%wlct#!i?`nT9i+Bd`bd#1pCNMmTaF&(zB+dd?hvc+>WBS`6 zw;6J9GvhSN zz0Zx0i~_%hmbeq+UR=+|uq_z35!@P+uJysRDTePx_+e~hpsri0ww`s{_}j;AtGuJ) zGv=b7~S7I^lVQhJp%D%UzyFQ9DUG=x74ev_$3 zunZBmKHWp`kMEMlXiDa~rPDY7{(vb%>EF&!Z`(e3`WQ6BEyEFT(~VARwoKSsb=z6D zkKZ=#j>^we-05nys%#SNR_f%8I8{Fd4!3K>P45`^y?8ItMrOQcd3L0os^eCsuYZqI-ZE*T*g|C%b1bX761GtOd6wZYt7oqbkc0WTyO%~}Ol;koV|B-o zhv*GSIsXg)O4wG@q{i|=wz<@qvQOZjD(@6*rcQ*2DbFzS+HZJOp3PRo4D=XiO(%av0PzTd*v_3WDFQA7ck0p8b%Cy??&;2&ow+7z^ zrCytmMz`TyJ@+Mzo?8(ndj16ZGsP&%SNK?N!^(x?}uj#@$`n zG-*#+#pPv_W;DO>oo^pBzmA^R^v!QPGYM(1yy3BR%0hetL%xf^MevWf>W{s7gSE@s z%gS5aA6kdgVBJ%GNSc+~?A)ux{#Fl%K)(3`>!{l@0(=Y$>lu)> zzvppnA8ofmwH;;n%)mdUPh5M4tVb{(NcsB<7)- zX)C^q{CK>J+#00E_fm57$&42+bKfEa;^CO$>f$=8g6WVeAWfI^eixlLKJ>p)3_cJ_n=}v`%M#b~?y=I#M7!Joi5&o&b|Cy)4 zxC@|41BYq_kF&h{-wN|F-nN z{~WwvrqBF!dB&>-WPO?cF_k{$8$$XM`*vX8HmE3>ezwr;qnBdEPgm z`F4fL^WFi??+D>H;H!my5c(pHAb|bX=u`EV$H7kpo&i@~=JwC(yZrc+=NiC1)z05} z@4o(dzk%h;oNs}@ADH8YwC`@kv;4gOK;(Z2`7Z)_aX>C5%qczcnt!iJT+(eJmq;`g2+#Wd>t^yQ^}9_CI~+hdkJnwnXJdlUUV>8af#$H2sD5S4Q z$X>UE_-!FP(Vd3SU#%>mKi(4|<%@xz27C|!Vn4?eZ|b9P7V@tFPj}_X^WF$aZw&nT z!0jr%KE+d>_eqHS?T}v$%z1$1zg_W^=RFf5e=p?k0d7_DhZIkF-ajGoUx57gfFtNf zlK!h_`#j}&FNMfI2KiqBL&u(c;T)f*Jny0q`L9EM448KyNcu-7`aI=%FNMhe1LWTX zeiZ>CfB0OVr#$bG5P8~1?;;wjIw)FO{9Sm(u%{Bgxoo@cB@{&L8_ z27D<3IKGjuj`;in@aF*^ba~p_VZ~Gah{D%F{w3hOt~~iIm-y+e0lyXaWtV4qt1k6< z%JUpH{@^Kd@{o@M-wh>Tz`8w;D4yxRtne$4{}C|#o6IL_r}^noo@clv zePW*5)|h9t1+%=-5BZq#JgY4{G0$gf%=6hA^L(~orq`)3W1hd(nCGoE=6P$4dB$2}{5~##H-#|IRcm>krPi2dr#0SjRS@%RwB~s>T4SD# z)|h9bHRjo9jd?a&F!lS^c^1*%{icL{@!Yb=Q=dGmtns!G=DB3e^DMH)*%0QrW6kqi zvBp?Tdf4;_oGG>s_7~NbsPqS(3zqn2gTENK0Rdv)?TTl8cy3tar$T-OaE+25Ry^f- zu2|$*p6PRZOrGb7HQ%Q&d7dxU{7!|*^Ng|PkA-mIt{}gKi*fT*JF`mYgXfJcZ~ZCH z4QtGEyMkGt8l2^|Pqp*W66x`5s^#taIZ^LpmY?TIHNRb9@;qOv`8^7g=lN32A5fV5 zL4{e~qY9Jf`BNSLZH37@^Md@85Pry!`CWyx4D~0r^G`dUYn)Q zVdl>>nKqKuU%SHOc?MGRQH9C#Or+*_DombdB!wsDxk$mL|IGI>d7g39d^Us|-fvmf zH&>#*JUb}ynI6v!3TA%$6(-Mff|@_5FnOLE)cj$E$@3hc=8q{%p63cRKY4+V$@46s z<|7J|=h;HdHz-V=XACtzq%e7&Bh-9BVe&jzsQJwbljpfT&F@s0JkRlIes>5Ta?fV6 zy>2bhUOa=RlV z|85C+p8pd0AAtWJFxQ7NU*vf(;r|8v3E)jG&+=V*wa*i;QJD2fgfP#IAsCPK?*|nA zHPU|;nCHhtKR-}B)1Us~VEkDj%=2X?d89u9A9Y^@z7_#eAD%H2{zC8<1MhHoroTt= zEI-eli9Gi9okxHdDS3QP^?1r3S9k&BPXO!vzA4uP<#|?3(qGK-0N1+dGd-SJ6Q1Sk zQPLJ`o@dxJA5rW7>rtK>V7otH_dAHKyv5K-0M7{Fsh3%4 z_7CQNxoS`5KV4z+KT(+cPZjP*dE}A)#q)GFtjtM+p9#$IPwb~b@l22B?L_`&$X^4j zzYp--o$z;pUjZ!Z6UOKHJK^!I#90q4`H|;2JmDV%e+w|bJd6GDJf84>1OA>6&vSXg zKL`FnU|l|*&lCQ~;GY7HAVAXRIX&V39sEncvlNfTxySz=`~={oitkhWBz&S@3C#0* zl0MJvN%|iIe=hK-;h46MxZp~B8Z#mv0?a%Xj zHqiPLr#XmuE>H835N=eM<(c{+$)ei%NQwN`DEU_Kao`A|h<-LJ{s!>30L%9*roT<` z)CbS#;SZiNXC3740v7*E`CW>q{BDJBg#3fRVxN@Xr+CWqY#;vMDRb_G{MUdZKrAoi zk0_qmr2KgTW>+f|u11S8Lz@Gq~fdENwVvEl+J)RL1`M-wz`M{i#iu`oN zQ=VrCMgD2X&jg;XGQkv|)6F9A0y`N^$5PkEkC6!{DAb|J8qk1C$>JhLeBSKw`BNPef{DbI6^ zB7ZgB?gw6l04e_)il;o!Hi~>Z-hKrr^Lfhi%%jME9B&r`>-MZwJmq;7QsmQk zn+L4hhi4*%r#<#5%=kPbsrey=$@9#l=0_DK&oh*o-=#45|EaLae{oQLn_9naMoPp? zP`XF+}Rm^P*P5)~BE_%g1x0nm?>Cd7d8?-qc57mTyK!kmuP^ktg4-Fyr$qspb<3 zljqq|&2LflKMaPr5dzfD3E*Rn%%AM|HhhJRO?~)#_r5-OCRL}uS7GMQv#FYYPT{jA zV7-9+^?Z%k%G>rjs4%`|JH)!Zrd(;IS-xrD<&pN{nO7TT?T2Sw1yi4WD*lWT@pbO6{~&Om;(10^c$R6gxm7KXIcUV;c0Rl@x+F9+qZ_3Me|fUNyy71?ZflVHq7=YwMDMSe5n+ko|Yzo2-^^Nh2|-vjwE;9&%aeY~uA z%JbZ_$Ug}ASAg~U;H2Uy&-2hC{}|*?0S_TS((jAmM(pH)2Nd3IXl zzYF;y@N@)7`a2a*d7h~jd1K!SljoUg%~vZ-o@c8yzeZv5JYTK(BMOt}8EefqUhiY_ zJY%i-T?+pg_4{zho{6oz^;e4&{x#%@b^mHqd&(*O!oO}!4nG~10Du0Q}V=sc&t7OIJa(} zY9|kQd8B{yoVpFO{hR001snTNnC-)J>H(gc8Rn^WK8bqCBkA+3x(zGiZ`GU$!0iYS z`|MP_X@7;cL4G+fr|gs`&vWdO-si!O0T&d{bL_%@3H)oojV{mn;Kw)~&-8e<9e?nY zIr|_#6Zl3T$#0M14}yOfc*y0M-o%xDe5S{M zv&)X3X|tKcg^1y!Vf8X{{iYxZ2OC?-(khS4xX6)v&^ku zR@vik!4p@O`}U7}ks~($N@v1E_%mS5H_o;!H0!(Pg^j)xX8KcC2jv@g1o4oy230E&;M(_U19RP2SD>f3X|u(0Gi(v!lP6C_PGY-Bi8_wX?iL`FWp! zk9l&twUjO8M12$TjlK_7&u->2L{R6^}fPVy- z0n)#DAA#^i@J|BEc*~+4RQ#vFe;Zi;o}Tv=Nc`Kt{|H!@@3`Xc27dyW_Zdk3yu(1^ ze-8Wv;A+M5P6OfpJNOHMWq*g|;~fXWKMcMOnD!;<^PU6Y9|yl8#PhBL;lB!g40yX6 zpY7wse4hF7?gIwXf)7A`9B>wh`B6Trc*^r01pL8M<~#@aBJge?DIf1ZAWQxT`165f z|J2k^@%U}CQwJP{w8Y<{_}9R11+G{8KE?kU{8OR$yc2=BGyifNQ9Bn{$8S{pB=AkZ zYalK4-KBVzcelb9K>k5s-k%`yiG-h@(T~EHLB0rl$W5O-?@YiSJY~*R;M;)p{y*OYT=RFC+uLpkzaJS-lSAy`Hz`q2{yAwp8Cx?BW`r=&)BEJpt zWxzj0fYg_FB?x~n_*a1Ux;*W7d)nuj9`8*M`3E3>5AbnUo;>eN5dJT~j{$F2Jnu{p z{&Dc*fO&_4lz-8BpJ#f!H$mjT4*4cvNsr|_pm@sjE(MV%AIbTcJnvG_{LZ|O$@2~c z;fZ-qg2ucHLGVAIJdXfhivZCl??Dir`R~~1W2VP@5QHb@y$2fe{sF;^Kcq0_d1rv; zYi{&0d7keVo|tF#1v9-(YWzBc@*Aw?ADb@n$G7j32L_GaX6Mh_)%eZyb}Njt5(aNJ z^49-F?(y^gDR^T1L3)@8I&#@BbsdipxME8;Lkaq&uAe&z0AN!b5c}IZe zuMXjzAzZ7(o1ZeNaEVBf_R(+kczFEk;1gU^NPM{MOQopmVR=R-WP@NBR96wX1Ocn!iuUq^TP`E3GE zJl^nS4)yz1D1Bnkcq*N{A%7~w6C2vq|Jw?G4)VmheNSF(rP&?_OYC3pehe#N^?Nde zw}04={{YgLN7|G3X4o(rzhQe2KcV73R3d)6l79qz2e8clsoy@uvpsoNhR!b=!kuNJ zAN&35sLKBvCGzJz89KcoIw$i~JI|Mp=Y1KLxAn#EwcMxL`C$op-kYK24=ed&CFFTm zhL%66T355W|_4tc>GfAvH8=fUgyAASrh_cQQfhF^y8xauI!{C1=KHeG8U z$3pm3Res9X>pFms({MV|y&A|7rvh#QH zy}(a6*8i}6N#MKY+TVkb-vE3+%D2nS?`B|ms?ol80pH*XoUk5x7 z>G!zu8-cG;?QtjY^D6!>;2o$x$1~RNFM*#_<^5Y=o&MK>yRNbO?=0^Nz~`&<@$JEx zQ03d#L=^lrmESbrSL%I!5%41o_V<)buN(NhYkmEG9GJgHmGXTO_!ihNG37^r7rqbo z@xql6-v<0%Ri6I=j3+V`|6zam3*ZT8pPj&ze;l|=$v;cJ*4Ov-#g{6z0pk z2aNGw2fp`Xe*ZWJXM>ibze|7N|Nh`J=pP(!DL)(dezYg|7m4QsH+7-^p+dwT1%4j- zt#Rwq1$+tWEB4(7j5GM2y`_MEr|>4=m9WoRH~sCv_o?>1A2>eF*YD%N-&66w1N<|E ze+)bZeH?Jh^9$fhn(h80%lkXvT`K*vP_flYpYH>{7xkI%mS-Ap8T1!%@f_f1tDUMn z=uk|r33w~~1Jr786gYXcy)S|MI^bTF{-=Sz2>TIz?*#r8>{s;t0Pu^K+5eqc#(x}m z0`xP(P51)(*y-js2s~Typ8`Gwd)wmj+ko#td23v}6IhQ=xLd%xFR2>+_pg9|1%HA$ zn$h3W!1uuaUFpXEKJYVGU)H<$r@&<@|6c;%0)4W-u>5ZWe-Y)0x|oW63F*mrHWj!7 z_AdUg4*10;zdv6Ce7Q;=zSCKa{*Z9fTLt{DO5a7`t%xt>yBYWf75`6wKdkTlc*`Z=)N55?QTeg(V&`jzsZiwVhY*fZ2;{NV+_oNu9f0+%^g z0{;&4acRFrz~`YqV3;@hS_ZuN{r0>T{pm{JdsO~e;8)75zovdS1HXy>*y_gL4ZK>7 z$6o;+8MFH-l-~#3q3Zt~%B%kTXP2XW-1#Que+K@ki~augAHXm5`2D5)B9tHY4>g$b zPXxXO^BJkn1;D42d>t_ERPg*y3-C&Xdx7PN;3Wy%f%(Wpyt6zvQy%Tz;NrW0pPJ$0 z-M}|tz9s$fOTe!v`}ivGlbDZ)ef}7Dn#%um;FZe%j(@-7T&4IA06(MV3p0R!g#IZ0 z19uTP*PuU(J+%V=M&%y`P9eQV-SQ`aUsUD!H1H|tTlBXRSe^)8z6kuii|qbC_3?MW z_gsbjXt%$9Hzfaa;3n7?zxPmnEyh>f|9=Pmlqz2}6!Rm6rvpEN`Q;)vejV_|(2ult zEAZ3h4vS{yd&_}eLI0Na8U$W(CE~l~yAgQ#_4fNH%d-RcYnXrTbJPEG;1kMTz6_j% zed3QP|2Of1J3u`9`62Mb@b73g!=C`Y2L2}E;#0tvsrEY?XUiT#`y6ul^MQSRR63XN z>=_#q59hnHfPba(`v~x}3U>iNIoqF)tp>)OAYS=40?QM@%QoP9H~Ri~2k=uWz1_fF z${+q46s`OF-+^C={?&-IsK4(3--P~t)Wt6Yug3T&{`D8Y4@2K#pT7j|LVRhTau~+_ zz+$f#1Fy#VV!E4N9dMf}&qsmJQT2-gKajU~AhSFl2mWVOpHBj>_?Tbb0`L{8KkooO zf3p4l!T9$9e;f8A_Wua*ylMXUgS#i33swFv0m~D?%d5Z-srkfP!0T1}jKkSLoM-pu zgOh--Q0aXDcmnD#_Pr2zq2fD%--N%JkGxpkIIshM-H1Q**BRgsD*2m%&tKvDqkDi) zU_LOzjsFGUB})FQz-3sk?R5F)fmbU3{4(%$O8#}=6YxjUe(Z^3@DHop_>+N8sP?!V zcrWTd1fJ!s1AZR!eUWblen!b(5B%^I_J3EM^-TdUQ2E~k+ywhWH#7R!3j7qtU(w$^ zz^5=Dm-_x0@Q9l4>;Zlt>W}A-0vE9#xW@Gt`++M|e$N5R!`GMbpEBroGTvFfW58Q6 z9?f#`>%hxZ{HjYZKY_m$`@aI0{lq{15pY?rudj=MpI`6$<4b{0z~4*!dBATff4l@( zo(Nt(MtS%bzO#Nw;Av=IY5xttzJDF(d;k>a!1c1^h*` zoBs2_DD^#kJR;{|)$-g?{-@0rUK!oqtt2XXEVQ74Qd(-T0G%Ux9uAP5CbZ zehU71sLbL^fxoEiwHf%{JA8lJ4cvwGg4ll=Se^)8iogq@zukDJer^YT2KK@XiN656 zb+x}9eH3^E{#N|=bHMLc_WLq0cH$%aFX!t&2cD<+UjtvL{PhPgUu(zypRIp|^G7wv zAO4p2wK4w>0xwhX7XsIzehH*cehF|{vv1#PfG1z+?{{Q@zpC16Gce8zc>2B*_%7Ao z_5iPjKcU-Z`dFv<{!Qc{B4!~e&BH^uk^=5zz;0(=VLzw zo`(J|{_i#5UtxVF_Ve$+6N>hKcYyW%E%2N0CyU(tt3QO7x5^^y0i5q#41AWV&urk= z(0*dyoxsy_zWww9kGs(KA78`z;d@x$On1}&1o)TK`XLAW6O0cLm%kOb3;tHx^8sM^ zIIq1Q0{(;2$KL{{(BDL#-vYh~_9WxW4}j%~;N@R|lBJe5rmui>49T+CgAlbPpd2c z8Q_;xet!;JhVf9!`xNk0#s|Vf;eUhg>KLY#;>Mwj7usjjGtOOoH5mS~KMw=L;d8jB$)k%_Gx&h*EJV~Ij0mygAZqs~BPBs-ieBonh5 z=GV?EEfgC}rBktZE*Bq*CDVo6m@|kHC1Z)=2=-7=v*bVl(d%jvT`@7lDg>g<&SVR- z*A5KKjORvXrZef}%w)VjHFI99e&(Foy7}wp&hAg8XV027dpOlkx!D1^+4E-A&#EKs z{eO^WEQD-WkeoR(doWv^4TaP~$Hja<)Rl!=@Ro=b^EteZ#8YYf8OmhVL69x0w4kWbc)!yU&n2Q`Sp;<00EviHY+TmEkJr=EgkZ+% zNDpSL1nL$PLQg87J7uyhnRFsmNM+KF>1(lMVF+5H9V7-4u*(8aYfEdaH<`~vswcU= zn9LVq9lbp!cv*Cb_ud{)Cx(;IVG$ND0yYrl&|A!Blj%f==*t7RI8Zi&M}CD`N#2&y#ZtKT5?E z^UI6LV$wAdx2A4I^8Kh_(pP{DZc7(OTG2~Qa#Y?xCYOZfQ12L3)RvC-4<}nPBO{q~ zN4nsJw?NFKCmKhbbh400Ztz}WJ)^Dpft;~bOU0soi02?I)dB8Hkckgwn{5IDW2 z)rP`CQN}bpe=^~Pw5IX{!9Z&UCUNMcm_0!o35GR53LGS4t%)l$e<$HZ783BP0}nUMrq%vGut=p9QB z4EbC~!hJ_lU4^&{nv1F7gvq?uW{#AR|5}WOu*EEf4_D9)L=L%v8{}%sMJuwynYfq7 zP$pLhgt+m$;-kR?gQ#UV8P5fStiDkt<4#9Xg>K9(Fl3BEDewXCb*LGDaiZvWQ0r1? zBAMHe%thn*bxu=HcQiEufd6o?$|iHEOd>T92!u1UtpY8>ciKE=)z6!|fb)r_fkJ8n zMzTUOHy9sCI{hd@B9q~uE3eDoGy{OiJN=mq3p9{nYjg0$ACAYJss7GPdTlg=G%+Hi z(rYESd909Jn(BuKh-O-bpbS@>;n7Tc5{^%cdjZi5B{;^fMQRZ8#{U)BM7)rsu98kN z7tf;}aIb^$!s7fgGttRA!>M$#JD$rYZGaQc=aa^H6s-?gfj^>tlMMrUk^@O-#bD^4 zilbMCBx*iu!NR&aHcLRC3l`QkNZ@Ek+SmkK28CZDx}e9Kv4l8SW{>B1L|WItkKH>EPkV(?g+$pGK&gY7mO^fLaW0wk zfO+XoWig2uUI)(-z#U8JOw8zl;ljK}M`O*H)FyMYP{)OmmuGdzXr2U)y4pb4d}knA zr2TLX&CU)V0rzVlN1bNl&kZyRP`GzvsxUC50^qA*Fn)wK+J#Lp@0jt%f~CcgXr_z3 z$OLxA$1>g>D%GVN4vvk>HGU57sgu^Ci{T17(9}728Pzf28NK>a4}z) zgB%J>#2}2Q?n^d>)yeQ++JWaaf7d3{G0Y6iEE!HXmIt>MlZW91LiCQ(lt^TuO?)dSE1LD#UbH5@#@jL^j5<@xqXsz}gh% z136m}lou)(i1iO9oX){CjM7=0PbHkT(UuJbhAe}`VtNXvm`)8~+(qLIY$(i`RX?|3 z4&UndR_8?fhn-@|tVdGWSUi!468~2gdf}y9 z3QM{FCkdyKa0(L(Gr6@dG1zb187N?d5<}Hu?pnHSX{%XDQ)AxBHUT=DgGq(LR6yQL z1j1rA6myymM0I+iEwQFyR65qtv)oR=U4LagbbC{8d#q z?U-|#z>40U7)Fl-mS7m_)MPZ;(bW)ZY0(j4G44S$!@)U&Gy^k3HU6060J5?Rkral^ z#honCiZnf%=e3)AyJI7*Ntm#m6*6yIKz9O!>0(XHj&)DR(r9cjlg&Fzy0PT!PNka`yRMY0BBNW> zs;#Lz*4y#1HirXl&JOdOJK5BvB%IA+qXdnQH2_9uw04nu24;QFUXdHcJ4d5f7Ak-O z>p}`-<)CHg+T@IN@f_l0d}N;1Gg{rux6CrxOyn>Yt##V5z+D_K4i~(7W4*k@o2y!t zyR*X^==70(U8(dhwy zja7B;^`p-=Hgz+_Y-w6LC)U$fr>np|GFJ!mwnbyn zw%%y0YgucX(~Q|_D`u;%-C%1Be%aVsZ-MrY`7WEiAr|df5^Gu36{8K*>-3B;?cSRL zpVsT@Vk^2$#9AG(2VD`%+PZG2B0FTJ@>pOX^QN9Cjer)yro`q;O9qRa9Q(a3Tavqq zmdO@7a_h0)F}@$xqoy~e+-}YR6|l4e{m$TEY-1r~1|g?oPRra_8!F*9J~ayssI`>4 zbT=a|8##^}?rmw)HKGgU9;Cm@Rn9ihW@cb89opD}+f(dN*NM4y0X`r5 z>7L6MpJbHViRGRuQlZ7pi_E?XTMSe40vu&;cSpd@Sbejau65+;oTO?TOj)rGI5N|Y zeuuDzZ33~?gI#92oB6TUrocFDjXE<>7`B5@bI)~jC=0cMOd77og|k$~;QGaY5wp_P z`!9C)!tEd_c4bmC;~+eR+oG(>;4Kny)Xc$ve)f@qDL#++Mm*pM#9^p>9=+@!s zQ~d+#+kw>&dK3orLMmNM_KZ4h&}r*P9B;1A44YPr+xsm&QS?B5axtDHSS?tO{qSLW zJ0=1hd4Gm77%Qf+%;&TQzIVP>9UMj*S74_(3=XedO)aiTntf%q{?ZM0dT08OX$(7v zcXHMQ682x(OCsZd)>?X220FB>DF53`z_{S2n}&zE8B7y1Hsy6~w4~j??S|ukhK7eR z*Td2V!-{ooAw$MT51MCJJYB?w4tF*&qtNrn6)0<9c4KTMVA5FOnaPSwh61MNPJ*Bj zY78x7HVjNCJ(W9~gL#L?SyK&8IgMhyTro7l*9XgJ=4F||0zdNDE*8Ve6)VnlNtkOQ znai08lJRog?JIkd?$Xa!R;@9nKFs|%MNH;g3HOtiSy7r2z*Jo~iwGR7F(%c8#w0au z3tAVk4ZdF4e`XKh9U>c!TLx{}E>2z&FJGug< z&(bRI<<9Wn9Z@lPYU* zF!Y4G%7L!j#`Km9u2vIf*e(pnxDEBGUhdEAZLhx}o+>QP$W9?M2>K&`chg-xLIHMqf#tB7X6Vr>P&h0+L(>d&DR-za9mCF) z15-}px;O)Lr#8m5upP8#VWTdL1}|~m0r*)0pZTs8w`&@6keZ}G|{6G#{}r;p}CcPtjq52R8tyG=%aYkKKm zY=m37j{a)k+9*Uqt73fONN@mCy$${bdck^d%$rYp6KPDxWU#;w1mfwn9j#`YNNS0d z99M};%#T9&1(zln^44NuwJ~@P^fk=uB)TZcIxBpPlr<}t=39U*mYNFFa?M(fVs;_I&EdRr z!ws#0jY9H?oZ0O~p==kl1`(VkNr|B(+e7WdLTuM=0-I`DQ|KAxw;A>&Om(;`VHzFo zH{dZ)*H&z#;PV2UsgZzbo6&`{4U>|Za+nqD;?q~KNVP7o>k^oTxGD^4-`zVi-vI4` z%+r{f7g^iDbdb$x`amFMx6qB&fMkQwf*YSlt8pjme}&)5@W!b>MJG#PWT$_kw)b-7651e;$p;*TzxQNjHZX5*{hs2`Xom-7JTO_aAGB<#{n3Z*dTv9U(f#xo z_oJ#CaHj5BHN0rXkEs@iCZm`l2NVT!3pz5>_&N9w=`6bF-NF4$+0it6H+q0Py>Q+h zjaeaa9!kf7IsFK~YT9*7z(9)L<~Sx5W^7@Ep$xhbKQv)O5q=ZDEhyM+cj(<4rPR(e z`l2_*au+H15bQ4UF;bxVP1&pyyLJP7rd^k{U`r~GUwhC;C-^fw$QV-*^K0vFO=7W{ z+Q3;6T9c&R0OX#U`>}vF+L6Z6mrk@9c3?gZm~>*8UE8em0#7w3xDS7N?=^1IwSKSC<`-H1cy5a2?x{Mn z@?#_TB8b0*oc%i_Z)THwR#Q*cEVF;(%t~-FILrLT)Obbrx1L5MUciqk`tdUy`~Y#B0m`@cE&MJFPrTcaX{z5MMY5e6`=C|*)2Z|Y&kI3;i#0;xopyNb% z1Ju*}VX*s%+#FzJX5}g%S5fW^%@is8zS@0VfWMLl&jdYPj>H(zedM(j7FTK71mD=zY{n4ivGsEaF+9xsb@)YhGVR6hSU4SBqwr>C+67;u5J-qG34hT zeUEd<7xU`KG?f`ShcADR)A$M8yMgdd7!~cR8viEXpKE(~!?~l|-s9Yg-^uH1PJuWD;uMHeAWnfe1>zKlQy@-(I0fPqh*Ka=fj9-?6o^wGPJuWD;uMHeAWnfe z1>zKlQy@-(I0fPqh*Ka=fj9-?6o^wGPJuWD;uMHeAWnfe1>zKlQy@-(I0fPqh*Ka= zfj9-?6o^wGPJuWD;uMHeAWnfe1>zKlQy@-(I0fPqh*Ka=fj9-?6o^wGPJuWD;uMHe zAWnfe1>zKlQy@-(I0fPqh*Ka=fj9-?6o^wGPJuWD;uMHeAWnfe1>zKlQy@-(I0fPq zh*Ka=fj9-?6o^wGPJuWD;uMHeAWnfe1>zKlQy@-(I0fPqh*Ka=fj9-?6o^wGPJuWD z;uMHeAWnfe1>zKlQy@-(I0fPqh*Ka=f&VWlu<|xy`0NFueJB3>|4IYzd=iK~+T+A< zriUXmpBL8*>${`Y>;N@rPm<&B9(4;Ozq0SS4T)m*&|Uq{k@kK8C(#C#d|4!~d`2rCKt{DWS?(5Toqv@BwH9b6<{^xH^7e~|I{nm78 zH2t?D>9H|D;aPOx3i^Tecyypwep5qqpc5g+JM`>l0$P4N|r;n0S?(HOD&{W<)r8f z8pvIOQz?CZ5VBgs(&bntm0l&~1Hjeg>mZF;fZ?~XzW?r!-@x9#7~+qLz@4=%&&Y*I zfX=xVqNPW>qw{DmQ|Q0!ov%G!+4uNHeu#+Cfqz7`Cz&h+rLS(y^)$}sP1_;Eii~KF zGkNw)nMEp$Ul~O#&qa6Rd?sJo<3Z_*TQ7R}mg~QBS1VtPb}W7Q=y$A+<*0+Aj&UP( z#NwL~|1m4!HemE+P>_e}W!wm4GfAN0FC>HDN0>k=_e%^)M$yN>X}SA=kCjklYuiy3 zs87ThS6`AaI*XHdYS9KWTR4j@m>OmjB;w+$vCx&l#F4n6%R|qbOztaVb=DGZcEEPDI=dRq#=XQI3JV{ zPe}Wu@kP{<6*79t`mORvp6g!fBxwEkqC~(4%{-PCa4MajMnmZ+$0)(*suS)x!La5n zR$#r`6qKGUX|iX^SnoE)u=Z!Z53K!}O?Go2NB9^ahWjdMybL?nV`8v@6A398RZB{( z&EHZh2}r0nMHnwk5~2gEB4?y8HffsAfJbMfzpr951QCA}WjxD;92t=3NJJWuzc1uC zmewrGn0`dYmXAmr36#pPGlest=^4;I?|jB1e?AdkXOQuJO|Id05r(rD z^QKxz78dyuEu`ng+P{94DVpdu+CQ1cO+5$-SD|qb>SU= z#)*9LxQSD(4A%1NV9iC@zk^3(WlQ9ki`T^z$}zc~u{`;5%<}7EvK2Vj#iWJvArX&8 zr+&uX-l$1_KN6iJzunQ?&rV7jE3pvqrrOWzNg)w4Ya8sPklNQJjlqmE|0k08*`fNb zwoCOF+y2?75w~iT8JQ0;i1VX^VZW5+DgvKNqAdTl$ix=T^fc)5k1&Cu6Ga(W>0CgAAPciPi}(Seu0z{O=<_R!YpF zmYdGodaQEmC^UzllwD+LiN)}UF+(r3%FsAKD2uF+A^cP1{%{R2(1qGjf{i}S?tF|n z4CDJE3&WgcLFiC@rWj2t4{@F)?p#Qx3Ux8*ayUMIzEd zNk68RM2yC)1&#B&>K$!tJn9`*f!@Utir<+i$HtV>}0p-Qvg))>2!@>K3cOnMlEkyf0eM-pe6x%6)o? z&Lo9bLf+qb=PTnOpfvD?=)m5gE_aTH?yc;*cV&AIui~d9gstG-Gb8bIZeEZ!k;1+U zw8<_~j_##RIj$~uu7@_DObyELs&J<}12E_u9(glFn${m;uvZQ#(gGd%%`?77+V~RE zwT%R&psiW22@>Nyk}ApIq{R((w8MZ^-y&I`e3LIm9_P>Wi9yWlrde(~QfSFb$VI!J zrdolu0^|Cd#B|zAO?6(Ism@QQrG%*I^aibo_o^@gV@qEwcpml>;sgSe}x0>uHIhNLBozT{{0GK=ChCcWH{~75_b) za7qeVdBmuSciE+o`igT^yOewnF|d}fzC;T6$0^n*eL@3eljx%Ix}cP2fw*&!Nu_ICB|%%lyc-Apo0e@!w@-5?o;5y|)gRzm#9I{$ijdcgbN zz|oJu!8(2vj`lcf`MTZ*Hm>V^c_W>s4LC%ylnW-dIYd8bR*ZJDH5*%xoOUnwWI|4} z0>nVk%G2&DPoqa~W9{WVIc`@k<#L?RD^+?meC)M}sRS-Cmj3Gq6TTF1p#tYFgF% zAx4SQ;Qo{lpC27)kACj^)%vpg-akmYWa_;w}y7qgXM;~?hR3&@t|2Y3zODy-YwO`M@Y;CG**;dchao)9gOoTgG!1 zHRc6%kj>9L_``U_;o&{|-F2Ya+r4F!o0bt+*Q?`r&_)Mt@t!I-tE*~6Zmn+;<090W zh7D4o2r_mi@e@JfVVa!)U(bKe}ga%C0bK0&S8 zz{=hquFpfc?W$Kj`7X8s(S#;2T@u=(yj2#}G^8#=y3w5}2e9b$f0~3emgcUsRS*zeV ztL;TM!%o38IXsGG-f$zFlo>e1xUJl@E@ycF}8YLFrSc1HmH9wJ_V-)q zv_@8bDXT*n8=E}mF;0?Xd_mS0t!;L5p2ePQ8|#~mo{I?iTfOtQVTK?L?Xc+Z)=H7t zWvhG7@MyU;8*#+yK+7;!09$Pc;hqndRJp)ujIMr+hR`tC{Eo3QI*@!#u}-j6dR9@5 z?}=k<{Gn6Q1zSO#bhE2Y%F90_8T0F;N$IDh$@iRw#o)ALO{c|9pSfhW{qN_G$|IJN ze^X|i^r-2O^th=`dMxv_^yJdhSf!tq>N9Dvxy0#X`Pq2%H0)c@UGYmWhMtqZ@`qWR z_ZpMM(&OpS1k;!%s8V%pSCg)H)aolgS^u<@S4IoI>)q-6!26*;C46K014w_=K_=fV zr*jrnfS8ijM+bf->v-DZXInD>6=it7tBxmKr}?Y^f8{3|`6~}>L@b@)J(pPJod7HX zD8c5j(&JyR;jD9!iM^>oVjP$IAFtW)PA z#+|N3a+wOb48zY0+k%4Mq`>tUGW@szbX*x<8PmxxdT+$`O?jpNzb#L`QT|_G5!at2 zCXDl$oG0C#gx<((Z}NyQa$J>@H$(<*k7!Tc@;9;a*`u|fQ0g^eCgOo@3lP>{s}tB) z^EQ1SnW@E`zaq%u8za!X%=ZSrD^v<(imm5GE$pn?KS<>0X(0>Rfi3vp;4^~@gnN*; zKH?Yjh%*H%MXFu1_Ozt+?}v0dDzu?BYjZrOdNa5`!z$FA^RDz<$wkO`uA7x?oX>JG zUF){C{jia!EnJAz{i8^>$fZrfOv?e9U1)MjJdwO@F0uRzOeFq7|~eneP3Aq&>dxSiTHE> zgM;-~Nh6QhVZ|EKwS(L1+3TJ>nArCP{h~G5k!52o&I>MELyg>^R?P1tbXiT7aKh8% zq)nObHKEZ{#epq+fe^P)3L)FZ4P3EL31 zjf6Rbxsk9xZBg)E;euq%zaY&j3g%pZE%1c!ubw@g9j%S9M(_e(($dwCHmd{a_aI-< z5&MjeHOKfD$jcApek@JS{}pnL6RfbcnbQ{vM_Qu;XRjh$WGO!*TMuW+p;<*2rEF`n zhrhMC^;p->(h@s92E31Dc^HG=PD;S z!?WFmTz9jF@s~jW$Lv)u!0aRI?g$c$jW=2M!zRMsWBHelcft0(zOK;c{5r;9GKVthS4? z^s#!hXdg@0rbWKt3+Js?iD~jUmDqI}W8VXt&h$DQ%$B3Eqy;C0&-CiD z-fUSQ{LO8IoQ}KNz;@w+w509Vr8J~7B{(ApLO@9 zsocGj%316uuK8k80t-Fp62v483r$43^wu2Ae!7etC+l4=UaRQy-^Z|ez%@pnT$vj- z0X%zfiad_IImq&S0=h*9ULNGl6yy31%yn#6;x+7Pqy-X9RF1Kk@&YV|t=XQRESF;3 zVL34a%59#May>7`B&E-vF(N59_sR#ZA%g^=SD&^+%WTHe$ImNX&O$)PpW_Lwb=sI0wJ}2k&6vb+@zd zx>EMLb#_SKN78P?uRrZp7M?VVg(sD;@XTTso>|1g^Q_Do)CYoxAk?f^=Z9S3|V+_&eQ>VV5!fDH|b)G_>_g8Z+DxQ_? zpcUAOwuzeBSYBICV=>Bb8SY=%IOl9u%DBx*jAP~gMJ_i@F4yI^_1NS((6dT-r`F_f zT1|CM&UD&I3e+xYa-gQuu)->ssGTKK1R3Hxqj)?MVHPGT!#m@*_oQ#aIG6}I_&y{_ zW9un=82uqTEo{Rc((fa-7AhW#aKGZZcJK~Ko1EB96X~7U@$qk5Gsph-pcb?^7BRH2 zcUwedcrgr3Tl^Jb*!yUNGc<$^2pvQWd;c<`G3*SZ252cikC?Ih&O$Fn5)4~mk7F|Q zLWDGBY8;&zHC*4;GDjyh;mzUOM5oURWkL$HfdYdYy+&!{7zHy_py1^4Z%{A=8Vu7R zhKxN?BjfpVbk7v1^iRmT-o>U8x`4vZ4f(r zrAW3F7X7>`^*rp&ZMFh(!wV;mnqd(eZ58FmFnP1=yAb z4br3v+R&C-;BzA`TJYCBY74Fu{S{fGSfPK6O2=4lh{~&r$A{4(62qVIOqQb?c-ATB zTqWm3i{-ZaLS!mR%|Z`Wy(P2>6(Xs?td)Zn-G>&b&p>CHJ>?;%&2-3lMoQkz=wO0v z2z?a(8qtC8+yE^`$;DxmJ21^u6D#K*EBDK5Yvi$>4=L~K$Na0;c#{h4WxVRW<`BM( zOGde0zj}?%rb1p~7vB z$?CJp8t6V*+y9+EmMhn-!rs)s zM5d#`$>y)6*PKo^rc_=#;7rDQ(Y3I148F#a9pEhW0cu=`-Jh{qc+|qi&#_w4;3@OJ zoimqR<6(gvn=|jZM)6HW^)=pn@5l@{{~7@{s%!c_XQej4CF_E0=9w?gLV`RaRfMop zb$JX|m4%HUjmrKT+Mr;|#;Qb&-tAxOA-^4p4kTSu3+LOY7#gzm+ACT^~q80@^_qf!r zTAxWw^}f?vr~a;&h&-wHp6X4oy~lnH+V$sJ7p`CK&PmOnXZ@#p6YTHR`&(_D8T$A9 zoS4SxHXzP$Dskb4_FjL+PW;+3&f=G3=r$7L!i{vg{XB!|=d>=J=VGh9vyM-KZl~1V z=;l&CYEAZ(cQ#}tyH53<;&hvMF@aySsl7MBQI)uJ)9K!A+*!o&{Bn}C8L@_>EsoA$ zX42*~k8Vrqn$yIbQAuf%F(%`g^5jzTyl$6#O0x*NaS_+5|5 zv>@+22HHB_+iL4<(7%Tio4!^u5Mrp6@(KL3_*%(mMGn&^iM5i+cDmP}RVz)#+lF@m z-URO{c-N|GrKvVXom$C^5&~B%O@q~|Rw@L)9~tFvtu%cx;8onh{o(09JpXzt!c%T_ z{Nd>gjjpE3ow8pGdGqZYU4U?5U(%i)rof+e5@n+JJ!JU2f>`(W$BCVj%arW8M zy#g?|S!<;-n<(4U^zb88q&C;hTZ)35rRe<8EB*(q!J}8mx^W@q;dJYeN?3d%HuGWR z-o!cW&TW`+i0f@9)4Rg(1Y>$&bYK$l9>&b9%#veyPs#Z{l=Dr6p2u`X_Llzx2T7(~ zwliV!3XCq;nK52qGg@_yD;p#EUr;VOuxwD)56iY6Wj<7CY|P51V&PTbu~PA*(&i@{ z72lskIn)F%Ae|ig8A3^NXg5OesshwqQe~g*VU$cL6*xI<8)CdQ*ztjXRpMf1xp*E{ zkmn^G{I!x6V@`REibhF=y`fSerHiLj%tZ2TIzEN0rp z%~x$b2W0IeI`G>Y$|hlCJs?x~m~<~8DrWO5k6sU54K0B2?XeH8kjMYEds3SI{DYlMx(UIz1u}IRqm`H=(b+$!kV2K6J9WYG zkIIw6Wa|CUIH{N-sb(8yN+E5xL7UWVNUB+5vHyq6LG1_!Qxy*Wlru0~6%MKt4*pb+ zxIV>+RZ7O#-Utn;*8oa*d2O?@vl$euY@pZ}d zUtjB=zgFufqqXXfzi>1QJw~BTF8sA=T6Tap1w{lQBzlQy2fVYRvL`5&J92PXGp%p$QBm4VOK3x;?8m?bY4a}ol@8hj`Pqn6zR8Zgg%Ozdd;G0f zvl^6rHT|24|3)k|&!ZCaROKSCQff_>YfW}kx$^#ZbvDN8Y|mjQKjfYTPag63v#?a9 zz&A$SKt|g)(KAKN)6m02Tz8GzU)i_R@u&Vj)+CjGuP2bv-tz_5U~dcvqE?gBQQ2e5 z{8RtmmL`?Y@1MuD_dJ!Mq$O*TJ1YBnQ0@^&Qh8Hj*_v0@q&tj{q&uqdyn^R*JjS*7UaNCdIbT`ZFZvlYe*1zx(9hM)~(e`S*aH;nw8(SbA0ev2@sw?kMXc^kekDbd)_R z|Gs6=A^fJ^-dT%X5o%C{(LeAIJPESjzm3sd>66$;(IS_3{#cB0B!&cT%%}QBJ|%{r zH|j^BDc(h6aoKJnQo`UXd77BlOh%K7jSmd|SS(q>V#i{P*g5!?eApHJTE3AOX2WNz zmfg|lzDIRQBxuWeCOq8UV@&wx zR;#?nJb29spD(L$7e<~wgwxa~?b(5f3O-1oU3Z0WzG8Yp$6ft;eLK$4RrVcRuNH|! z4n#peI@fiGzzKe-BhwwESWmK!`-|0^Xa@pRqTW;gc^=PGv!8QnhOJnfrBe4=M%5B%@ z@AppOg!+FI$f(<4n)PORAg;`j2FM*#BP$ zu|BBeWjm?Fo+J0wSls#R(l5fh%s($T6idT5c2vjR@cmzDX`|ogF&1#xLrM;44G9Lt z_f3tr1`pG1wX$^-e(_kLCSYZpfE{1vM+3d^hM0cYDz50cUg+0k%CZ*b6$x3~tO;_{ z;N{n6+YI^K9K8%5m#Eg9&v3xXf>W71ras$7lgs3p=$L|ElPK}*%YoVFW=8=F!D?=D zMF)NpWvQH;s^s!Qr)Bk}LW+M0sN=r{D!h+m=nhzyOs*M_tGzND_QlGJ@UNo<{1o`> z^(4EqZ2Rn`p#*mo(F}5ZUpS`P5}XO{J(8+Dd62tycV|LEf-~*MbY8TT&K!UKS@&Gq zcIUJ1yMMgTHrKJ;Ip6(at9np%ZE9z7!hC$~ku)f$>U*_yuA|)fE>c$luBvZpr%H9k zdB**xA1AF>Hc&*rw7sW~=bg7=4nL3ZZxNn} zuu@`$yUNXp^SCVRM8@Kl!!xoza{{<_{$Au)0S4ZEsyByvy6F(#9m`kcKGjR@w0H?= z8S3ffp(&5mhCJA<<+wfYpybnSJs-HUEI4SHuUqxAnS%NOHbA8~YV#8nIE^}{lr#nvJ;n)MO(8+zn<&)tFW^~Y}n9ie6 zX+h5c{!CASDci|vQcB+tMnC5XlPAs{OK)<1;-1_WT{&4yP;Em^tR9_%csPgAabW~* zEN`}_9iDcv^@F`X3)%(c`Eurwo$Ze4`eU%Gs_pKk>Q7cr76(+P+_fXP+Z5O%G8=>a zk>m$vOg~ZZhL_w8-^DS{Oh~LqgU#mW9#TYfM zrEW)aD@L-Vb+z94tW`vACHs22S4(UC-ueFe_FgS0$JdXIAKQ+O_LUL;9D*5cf;(T_ zzCORtUo^q#=+U$Jt85vI?ephPa9(h--F^7;^!baK50BD|Gu?b2!5UCmvC!sRwywBx zU|kQ!7e)GWnP>AnST;;-jfoYbMHo%Xd4ze|=3o~gjCCd9(%of!wz70*le-Cz9V;j0 zePBtGv!W*%t1KIQtAT?Ef9~sW$b=WdP%t*T*?QE~a;iM?v6BNlC&OX-=V+-0c|_D& z27!&@j|9D`y2;6;_LQ&AhdmIZL;fW`TPO4UCV}FXZQ1D(odb<$&TgCqVR78;4i7hH zzx~dDeMjADZireHKULD5M2YDF#fpf>*%!-_!Mfgyt@ZXRp=^Pbv%aZvXB{i>-Em8H zVA3txj_SO0Ap>ylz^>jTi*%lbEznlk7cr!VYV!6&-e8ZkCWWjh!9to4H%sMYYXv3l8(Kznsi$eY`)utLV=nh1BKBbcH1v`O{+U|4tbjF-0h%ErD6v(K|rXEzDVo0*$p>v`7i@a)GKU2ckBto8Fg&QgKX20y@Q1MKNe zc?_qF?$OfKwCjG1{ttmZ#Jm>PQJVN(uT~2$N!6r)x=YJ9piMk{;09ZB0+83ti>*Vg zhs)~`OHEzgX{%wK?pg*26*7doL+|%$6SG?0?M=8z8&-EE%H>Tc|86fob&}(?@*R>D zr;rpT+JF~YT9i^vklZxbgCOO~7>Cu5Kt4Q zEDdBN>o#TR7H;y+XY#3$?KrzO)j==*QP8pa7|y`w1fN}9TJLKilMe`Gus>fMzP}|p zJqc@-!U>Bv&6YTvBd9DFPo7>*Oouideu_*s2{Wcv<#l^LSYfajgKCR5 z@TDoSP#w~?O`Gu3P17b+ZlJTKO<1&{vF%WI8GXNX!nEY`-JZlJw1xX1liJiuQJ*@o z6aK0J1J1Wio3LvWot0F`PUU}exwOqP0VC?BUQg~54UqFJfz?~Hq1SWqamxnLlV`;` zZrJSX^;B)i#qKRuU& zhozGgxnd6+k))H~{8ent8uWz(cgqzrY3{jnaZ=jcP?76t_o}uzXd~@-Vs%N|oE^uy z?wwSE@G)0j_-5g^lFnb*xxidrq5sH=eY)ttx~N)U_aICTQgcDa6P`wU!MXRkRH_3N z|EGiP>zL6q(Gua>u#Oe!C6DdQ2_{}F4i|5e7U>tmF#vcINr z?+}XGuDG9X+30x*eU}!bgrfr%tivli7$)}xHv$WD;JX4nR~e=S)8P%xY3Zzuo)_)h zNA#9?9pwVEKtu=9qopluUx1aG9sITZ@1fhm1@IxxM~P@LY|q2I5bUO;6k~rIynC6Q z&x|vbcQHTHf%8axqV0;a#IwP}-O*s58{oU6B_*zsAG5Vg$$Ep_mvGBKfWkr?wX&YY zS|-)8b}Rc)N{IEV1gw%&kh5DlY9ME~oGWfI2uW%#taCGjzg)hart%)Zb+lKgJ{Bv> z$b1#>QsZQY(%-pmL&9$%FOQsqBx{-H_lgiLsdJTi%GxZDl^;yH_dGGxx!69R`0Sb; zbWUdH4@?WOB3&M-6{vZs%%P>hHQ-R`M^iAT9U94N5L_Pk>PKra#tM+7`Eu*#V_!2m zuo0d)6(Kd|7IxO^?7g()*cGyMFl3hPBiyyGs>u}0gu2A=(-MDxm8iPrKS6KnT1`v3 zs@Lpz+1~R}*XrinuIe*V)^4TL<)H(vsV*(X$BObV6-j(n!CLv1DfO&OlV{nUmH6!S zF-pAhEAJ;daI=A1<0)I+0{ZiqO)Dcj{AMb}FSW1$W1rM497OxduknJr?Q_pIiTMA^ zYxKNePwX(rt+-2`O}m0BjDQ?wD<&b3i^?6)oP+T9XX}pwj3GAhU;L1!lA3D#>Vmiv zA|%|^|5gUrUqRhWq8Z*trLr*y#V#Gs8z_5OJTs>v8y*aIdWYa)t`txmtMR zrWLA!4_Ch@JP}SSd?577YPy#cZVHZvXPX8$8>Af2hyjhsQ&Y`{k5*&{-V+`Ut$9M# zuv8AaLY-TVY*~tta!bhKV;(Fx_1op(_^xeQu8Hf8-a+&KAY~m*ctH>}`>^XcuQU5z zU)Kspr)u(!mdK3z&%+Nu>>8{SH1M#e%_Y)!>_J`{tgEQR%>shJhq0TmVW0i(5Z~NX z@fVzW$U1sdNO)QEq6ND~wzT5lj$^c`7+(5oj@=n%vZ#VY;QYbR;)+SZ{M8>k&i0mO z1$E&}iKancoVhLMh@A_P!u}u$Z);)gj$T&I_3Q*?=k?XRkq|b9^TR5`Bxp}J_U& zx>vQjZZ&DR1iTJl&r4&liI3F6zqEc)OD1|c(Xx{UB@&18**M<}ZIWo!*n3NcZ~QZZ zFG-W&_rT6?)M97g(uNb&20{H=64HEwbV>=zCnQyhTVPcriG~7GIF{-eRL^J(ln6N; zr1?-cm&$VLlT*VkfrFiybUFAXT4t5X3)J=1m(+>*JiWa;^c@+CzlWlit8o8K8i6)S zZ=Y5lAl+Cns2XFi%7WcA&o)+URX7XB-SA82%bwIYS#r zfOYwzUj8x4N&zUq-r;WRJWSPB25vl~)3{$%qXHz#5SK_4P~m!D8$ ziNJ-Of=QsohwQc>>88owk@VKX*;vOU(=MV*x;I<56DJ&qWp2vIPn$mSDs#tpv}wT!)`H`qso^0H-)*3ZroIcBy5zZiHIt~yt3#eUR-b#E z6SKLTjnu@-6T>*@s$6oOL_efm$vP?}A4T0qPhUm$L-5{2Q-# zV@DD_dYq)1ixVR)Y^3_B6um4+sxFpEj8B%QVgUgv38#{*RW5TV`VyPZY!G^vyoyGule^$^LOu)1nbhy z#Azzf_FmBNKB*RW{bVEF=KZDjiHXc&tU&q#`R`o3s+Qb>8jasW0l@PNG-u&3f)rFn*Z(svNc8HxC^KVc}bo9oKXOe$}* zw_`49&pC>-%dCcdep~;Q$4=Ux3vg4}9_bbD({|Fc-_PB;%JrYF;&NkkN%^5_ex^RO zPg)L`RSc%-arv~_k5S(W5*hffsI&TYk}8k=>w~)T>S}IgT3{{VO=}!#k*c$?I$Nq% z_e96Fb=^0!`XeTTCf6mWAL~PY<{g0S0%Ye1<*217WDwTpMF-YP&J%16`QORu&8Xjs z`rn9F^elm$%az$^&jg><-pIf|Md$ZyF#W!#3~`Bw(;%)nYH+EpBp~!cH0+!TKO?;? zhdK4McyDWt|8qYvOb!!+F`QyB;F*Xg4^IxBY&_%fWZ}ucqsNmPriSFO%DlCYzH#;P z*C;miq04GE_rQ0b%{|QWwi8-D8-;!-s0uomwjl2s(cJ{v0*AdQqJ1)4ina0;=<>w; zMCfx*CQdjLal1eCI2Gs7gX?EtjQrB#3g%nQ2 zf_n)Ae7?s8jp1cjO1>xD6}s<<2jraPA)Lo+4{q7B<(^KGza*6IW3cJ%w5v=*yPmY0U(pE- zVTF+4V;=dFf)UJj$mP%JFogBO#J0%5aO8ey1dRJN)w$vC$+LbL>wkl4%sB@=WZKrg zt#53?PWmBNQkc&9OY0HgAD35P)>nQ zO81n#lNize9Ewee(f!$@+t^CkO?D^wW`cSvaR1;qv_}bFh3)68q_tXnlWE;q<2<1Xvc!g!0yxO)4@D!MA7si!aMsS!OvItdq?RpKU9=ixredD#MM&m_w` z=RdqW$-FP1nnI?qaW$z{)lD39P8FFWWm=i^7%+GC`5W?vCcqE^E+#7&d1b3(+w_yv*IT)+tt zSPSy`Jva-#m)oljb9WR=T;2@al-Yav!93KD9W+K^-)qGA7b%b(_P^tIma*Uj`Lh&9l6-bHJGsP%ih{_ zzFBMG0(;51R%ri?1h({K+?0X+1P-!^x;V{voKn?=xcxXM#?eA8d}H()w#s0>H4N5Y zAa~J$_ph?>g~y>Ew5ZJX5C@Nwi?F8S+&S)Sy91|PRB5wAO}HzJ?O7%VFF?yUjg>sl zR%MM8_J>C!kZ98SpK6mS{4h!CO5iZE@D?e{${_Qw!=_v&CiaaxcuWtL!!86a&Fjm5 zaa~x-5eP(;zSUe*{5F| zo7WB2q!#RJduj6r%DX9+=8z1AbKR7~THZ*fC&Ly5N~?(B5qO!=*9f$p&xaD=$){)t z=*`Hl7gVx88Ph2mj?z8j1a?ZChzFs8;}nTF3EoQ@_}oPY&J3}&*3bQ#=7a|RNLm9% zcn9qEe0oE|VY)v$&@W4f4HA&n+;BAs_pSMRYW;QLr7bE*`1Ae*_z!emWgOuJTg&$F zOYpPL6w}jup>d%5W1;a_tt|+qHj{#vHh#L%l|SLp1;(mJQ=4Df^y#Jvo2xb(jH%5R zHhd~&`%`~mll_%mAFdTxs1W1&O_9{*n;(1Nv6mkE^f7AGG+*#CYlb1HY5sI|!r{AM ztBnktl-Hm0Lz>2EC?P_lg)Um8X$m=$MzGQ2B;+{A5?edcv?AO$Rd<5nl?_QUXf!W% ztu|Gs{^H?k#b0Xe@BwE+cOGWRJe-yx2Ir$rSJ*Y>(E?{z{ULu=I1#g1dU%|emsTJ8 zBRI}NTl*e+ZraYU)=CU+lw=faoL5Y)pEi!Poyv9B3cRtDJ zf7ow&lHsPT91i-wbk}(Pk#o4BG*BTho#SVIRkxX^4K*G}E=LO2hxn#eNq0bpwQ5f1 z(!z{TQriJHkG0c5Ph^0LP&0R)1LjYJnWcpbQG_>z54b*+lKnP0&zYfGLEpymc*3Fb z2RrYx6a?SGY3!sf9lCOT;A|J4)Cdjzc448gTo@im9n!ZjC`-Z&Q(Ib6@RH~KE}qm? zXgU(QYz7KHIItCb04fnapls}r(9i5ZgxzuuVs05V1zm4h6k%6R0j0YO5%L)1iI1yr0{>gQms0Sww zx%svOPK7?HL4^j`3jhuFjL=}yD22wj_P4CUT+?+W$z1!Oi`T`twiH}j zGXkqJEOU$Qtk8AP#)Pgi=2Zx8{dO?Mh04x_g$2m9s3J93?YZP`vg^(%TvIrcIs&Os z=8O|3d$gn-p4#_;GrtndRzvyi!V+-iCxFOq?lL|418`_5IP^JSO%HtTyyPr#FO_+v z1FydS2FqtaKE|)8qWS%l-Rs8ecDiX?C|P~g^!5}qhn;CaS;70#OQ30t)O>e46tDq zppZKBDXP%?&sTjXVw9kb`Ku!X9}e11tXkdmIK#1PgTXybc*PwV_|woOx8<=M-Rj43 zl7IDBZfe(K#B^j+*Cv;-aPtD=>di+U?Ru0NDaI+<7#Vm^rY>tEJDbYxz2LFys+Zds z9e5q%Rw?9$Vae9l+BRY|I=6gh1+Yk_!VbfmcCK7#vVjZbpejXc*%FfZOL zRO4(_4Qzo-W`FLd%|G0+nOogL@9<1)8S3wD9qRAhk9)y4+H-8xuGe!8dNRTX-9JX% zk%8806xK}@)}zixe;i~sKo!<$&PO)}4>}LJ$h6tP4?|R7Wt0(SS(r}a;lI1Yvj_HS261utpsU(bT#j0E|HXdsBNDz9xEA939gJgD zEsRQAuPWov9bwXSUjh1W0rpu68kPI);M_O!Y{Jp5_;YBlE);*dVJ4O{Sk*WHzh@YJwTpSXG<#Ky*BPh z%IKV!)}WT>#772Ig0n4UWIlW=pqZ?`SkR(A%;ul7gUm9Q4nBL2zj!ncFds=_5ZSGn zsgk-my@6R$?g?>6CXB+(ZAh2l+GHz>BQ#+QvT5$cm!yR@w%?K+NHEn!GRCvjPi1)!4ag{qcR!NQjAR z;2Ws#cH9QZd=R+^ZiB=v@vyq#rpBa;v{CgMgFkWD29E%)smY!Y;u{m7Ye%i{2Es41 zGe)ghvYxZmoZnH__O5v%ZrtB)#EOHXCS52aj1v*({iTRAA&xJR^zdVVjy_%@?%Or{hF`N>nGNWsJ+totRJF&ACmwiR6b*=Rkkw+jIP_ zxnX^&zco8-!0))Q6~7tb9Q>w*wN`&?a(FSqiQxlRE-m)A;)HuKnH~sfy2`i{mz8sU z);H-L&u71e{gEUg&sXK+x>Ux!t@?6*>o)uL00j&V_f2-6|G;4>H=$0hWJ39Cpkk6Q zm&-=3x{+LL*T3{Q{0(K#!&Oga-D!GK-NhHY-fBiJRd)_$cbvQzRdpM&yAC@T#w|k& z(b+nAgTEF2hW$}5JR5PBA(&W$1ap{(v0%r-eCAd(S>Oj9UWDnW363Y~P;UJG=Z@ z(@tjJ{lT;Lo)_gD%$t>pPYq!%ILTq|co}E6@?^|#A08-p!Fvkld6bwYIfhX=7BfcW zSd2pDn3t;D{{CtiUXGvPG0dq86GMl#usdB3ZT4B%onSRM&6^7AG*;Bl8h4VyyMwQ6 zxo6_R%>?Ua?%B_`XbaWBWfR%$Y+2{k&|g`J82)}4|C@&(lRR6CWZ^Gj%!KW*=HFC> zFTqH&$M$}!HUHpdcus6)RG@Pba4YtopJBIRKfq~;p8Q(%?;7Qa!5}h>rJu*@P|0<4 z4HEJDCu5ZS%`>r7g@T_Twf}c92+dDafXc5v6Z@Xg7d6D_l3U+sC%yZg*$&xN z{CV^IvAnrYWs39CY~s_SrI$a&c=i;&^W-+(Tz?CsLJ2RgKhzQ{d2!bfSTjAWob3M` z%aifk^7@wYm1w<$G)p}U8rl?m9zbUI@B&>v82AK4)<>V+xO8&q63eN);|suRC>m; zEw#r+aUO}=`&=_{o*epm{F3J`B0l?@@w;*npZtyZy}MZ3p-!!jEMh?%d2{ zxn+trJ!k959+A>mA0x0k#QI)teS`gpU@V38zF0{Hjokrc6JLKi7N^J{IJ*H7$(i%h z{fj}n8HNUF+TsT37DF9QiR16I*czni2%GUtM|dh}kSqvK#bZHuiUIYx0D8FuOZrst}* z@82HdTa0Vz^~>rM&ZV4;@oD)MQ%eG5;_j!3Xh-a;>lt>j)FdaLzklc@9{0$xW~7D<2m1jjoI7wfi@R5 z>;qMr@H^E|h2JTLoDJ9)9>#78I45$83m^Y9H*v+JSBb6X7KLj*VnSWA7kpLtZ1!I1 zHp2#tQVRW&Fxrmf^8#CW)PEpM3!#Z(6tai8LQ5zm`)AVZ#j0DT;6&qpNAc9G@w~YN*+EXj@@eTfSSGO}zgjE;ohw@{6t6!Xf)X zSb6??ocVAJc8rgDd8CScK^6^mX!>jfphXA^q#^w)Yc2MRbMS^0Io*G0xdG4qh9fb|@2w5c&v5<{{9FwfQ(hNcp{os*8 zPrWy5t^vAC3C-XEPC(2H!bIX)M+`aL+>|IzbEEM>W`&c5Wj zl|1vMI(9U!ig{B=(9qigebsx8jgG+J9gBpZhP1;5j<@6S%C9UY8OlF=M1eehY~2eipiZ3_4NM}8NMxBs?}Bk52zb=uc(qE3ks8Lkd2dq>0ZWq)-v6p@6Lqb;shlbJWTIk>Vby#cGn@G^Yog}=x5DdEf;v0@CD;``+A zGJNNRzsGlHtOmZGv8;OZobRi-YFBG?ceR&U{q=37)v0sF-OT>#%5RUmNw+n0$GS8h zx%G|we!DXih_~VP)8NR5WZFSaQdpj?1V2 zG`(y__KbpqscPlsoDN9zEwB8(GW)jX`XS&9e$1-9!aB@{rEHD>-%_~m=U^F>`h;XgkA0kpd__R4wcU-T2Z{YnkcAY1N;s`b+g&t5)KSCMw?7UF#E*FWdN`_=){&Rc(3^%rRTEmk=qgFj~!_?I4I>+s5TdXx` zH(pVJJG}T!sK~5PHS>1)MLusxKZZLJJLlRv+!WjUgw}UP$)j^$!To%rh9`kW)qv-O zJ@58;+z(FVYE9>A6g}O*uhHfn?gua5*6q9%>3pjg-x>1Mfiy#8nhl;j9p7Q9j~%A#X}C1PHKrQR!_^cVTsV$4#j|+Q#=+{gvxZlXo@MQJDQ#zsMn6(qq3X-4 z-QFTH(~8?x&o1P+m|-PU>%t@ZtF9cO5n zr8AaK|9-kBlXIBeae1ZL@rTOH>2}9)(+i#JX`fy#E0suFO6!fpIk!;BGFTVdN=%C; zo24eBXTx8F*nFcQP4)QH>efBj%Mqub_FsoZZQG+-?J3QOQ-tUl4PCXjUhf#@f@A7Sq;(Pm8G#~fPEqs5C z@QK=u4bgmfXIK>9qlZ~nt@c91vQh8Pa`kJ6_b2Gv;0LUIm35Umx2tNmKBssOaIYL` z!Ra{Zs<5Xa`l`!mI9O48Ic#^uVO~EJEmVd3S~WVJK|d>80MEV$pZ^_=ZP8omc?9oL z(`s)+{NHcKaV&^G8Y@C2i0ul@4hNGqERY{6X+n$xcPtI9d#*Hf*1)>NsiV+S)X2kl zor-l^1~EypZmAeMiET#Dx}eVBIl!YwaDKtFp&{b)O)oz(@$}Mri$|@xpu=mcwLYRF z&LUV_F8ei`Wr2aWXOzDsHj9UK% zB0YA=U3?N4@s5tp!FfL|jQg8M-M$Kc^efHv?;JZ)zZ(0#mTPkAZnwWLxvQYgMp=c( zoq#7I+F-rx&g`eJI)bx-ZkltuR{IaMkFp%z>sXliIZ|?j8hMsW z4t?fC>2ogDX*WQht4@&nI^-(*Q?3_scQ|s>Mg)2$qJEDct|+hdfrvfnLvLCA7vLVI z*71k2qT^EyB%FO?K6lOtm7DpZ_LPPI z`rK~WFPadIAv9IbT;5QG-bVkw!gF*j9)DZIz%VI`D@Mc9@6tk5LcVSKcz*Koz6|b; zRW*0cMojJp8$;_EA@9THMSGA}UX|fBuh6oyE1EOEuLxvjP8~ND=fj(p;(Kn>ZhYU_ zBz)m%Wz$yRonlWl6yR{z1Sc=6@#kqd|;E-@Ag7tS0-w9S#vIRlPj{E z_Rmc!trE2r&CMP6R+Lt~Fe$OZrroSHgq^t8p(6#r_RSK+%GUp_7$xkpuv z0{_?Gwx#69A{^{ZqtWI#Wgsc@Bb3J`q5Y2y=#W!fnx@r$eI&HLJEX5{VpMR|W18_S z?h0^CI;NeOQ?zuvCi}&d-Lz-vF+I{24>grVq_&haw(3+w)O`!*XD;4G$+tBPk8mxT zv9Lcw6(%5pu z>vklb-;@@iv}n|3x2P?^yxh{B$|m-1>esVL-yOzpw+P1rIQh*h4o6Z}1p7)uQJ@vh z40?A#lDdxKEu91N4ctY1<|wb@&6umToN$e(-PZ8SD(p$5x0E9&Wt&!e4X#u93R7Cv~3`Xx*0{F$R9)X~b>>>-bZF9|Zg$Pw|Q)I`DXlkGX7bk)GcJ)Xu5J zBKN>H^_)2O4N8yna?vrZ`0dMX2n|PsK||MZCMAqFFFko6A173vJaC%zuhl7d>Q!{Y z`@2q=x3PC|G0wP`t@n1Bv+>CTeZiB3_qSvgeSw{hp8GYPAmW*zaIw12&9zOXv@Pve zaBx-y*EvJ#sxQRKS36ce^ho|HyybovQsO$CY2AtSlba({<(_O{T#V*=thI6yYFui& zsxj*u>f^C)@nXHC$_Q1!_HG14{%+K(+5ME>7SnPMjkaIdR+E8W$WKi#lI{_lV;iOpt2e zISW{?cG)aq-j@;7=FQT&cCJZTrFR`sgR^INP9URsR&ls}bA9_}Tkl`86i^6S^eZtB zcV^WGJiNIkp|QGNbepnEWHHjZ)tqyY-bd^8)N0%k_-2BXbp&q}qOAHR z+f=d+Hrabcr~Z_NzPGnYN%ldq8IgRUBe~d-yrU^v@|jZdr;g;0nrvH1ewUJqUCD1n z%JHF`wN5#Hlw&#N{IN>uf09$misMRY&FAzg{OD~yoM`djgNT^Li2aVImr#3tR%4fp z__z&`K8QEE;hy<eaK)828zS>+2JnhtxeKdtW`CdBNEc2Oh=aYfW15XM?rOWA&#s z4n{Anb!g`{YvKC(e$5RVhSW7UxBrV%q<-on03l3Jf{hv{-oSw>+c*6!A zSMUn#XlGSoRG0;IJ7gSKoIse0Xa>`c)rXt#3`~zlqsoqN)=_q26Qdm*t6ztR@hzjP zVFOPsuE!HDJGw)j<|)?x*o5m_bNyR*&lJy+wn5KxYw=5y0ZCsrJv{hW{lY)VDfs=t zS+3?|%E!SEqG|!zJs?}(-Y_d8wdB&0*}Gi~IHs~xZ+Y7yQs)-h7?)LYm1q==D4Ddo z#ahod4XGRHSm-It<5kxpr;WGI&cLr#f%AG;10BmLuCIR(oFxwDeNBDK`?V%*(lNv# zD0mKI;Yvc`&gj9V#~M~Yhu^=*eAgqNS)tlvdQ*P+R9uUCH_S(bGPnNaO&02ZQPU-; zf6@u{Z_)H3$Z^|pC^eOB>DF0U0THpCIA@P}oYqFc|EJ_e^IN7l6HkQimHcutpxSr8s;dp2{OZLH*zIGZ$^*%?( zWAIOGJx=bSqj8YC=V&tIF8z;k_Z%Hk=W)i%H%EQoo!dC1F6>~oA2&Ygd2XFB+wM&bG>I)?$6uq+t)b|o^F&`>WT{nQkJ zW;WMfa74X1^)RCNDE?H$`@wxE86`-=@0fDlukn)ZB+{%L7O zm2cqKDnQV*I%CiE%dU6u*8_jO!q0PLu)ec0RN2wiy}fa{)aNifz^(@00AnN!q7@yB zt?bSeqiOf4jG9y?H9`2mS1p^fh8fh4rsX)S8Yyqub!qqQ5Tp*t%8q^nk`TY5Hq6&0^u3{THFtIq4tx@b@; zPQjj)dlAmVHm<=FR~4W)yc&mdx7m35@q=qO)d?GMH4iTz^+sXq9o^dwDQ{KuM4@*( zXwyM6ti6L6#lQ&NfJiF6{k}U`r&$LD4^JpWi{4+g||etL<&*;tf20)5t#GvJTymM!9Z&INMWm*=K5Ef!|PAN z^}j@3hj1p`cwoJO^kpN#Z+0wy3?AulF`k+l(;4GgV1_PlW;}45H^qm$8F^m^v3(dD zseSR#UoXsIloz9%(L}I%XfW>{;N(2Fxo7#nK~_yZo)v6{ugfm3S=F=r$JAa$W-rel z0{h>=hkLgFA(eL@Y{ZBm*Aw6xw#saO5>7RnJlTBa-fb4jm#aegEhA~nMh)bQiWA9* zZRXZDmAyp112!J-R=|C2*uGs&d518bIZFb3QcbDPwZ|)a3%kW_M9yKTxqv*m%gI3e z@?7M+rx`tgZxF_(!HG-xJ62>B9T-1nKjNx1=OIdbPS2V-g}FQQyxg6wa&mVx)*n8` zR!(VZ#Ck!Kr=u6iKBS*)prr*`x?w|e{U;558j|p&Phc=+BU*)DVijUM^h&Ny?mG3{8mCZX=N9u>+%?Y@k$iB_@CAsev zR5HSlaTfNe9?WM7bELj!L%4$P4y4DE&lnCpG;U_07$Z=LF>#D(GzQJm+99!FpVa7U_xg2i_Hc8N#k{@izc}^3m+# z7ZEiJxH#~}4XwS+(}cKc`Ik4>&jzZ=qZm_Rz`++2IUC>v zz#9V&mIv71@m@LPx^NrP4o&3Zy_vOdHx4+sQer|S0}hUt5Z;PW;mV-*B(TAygsRfc z4PiW#LRKndDSn<_-o82&d0g2K6o&DEPlHEZvTl-aI)Jp4HRoe>_C ztn4kl%#Q6R26^9(G3m6NI9Ts8X}zI`w&EK4z>xt5*Ga8S_)v_!?--+Jwwt-QFkEvY z{_FDOicvGQ?xQNdF4uZ|jdjD~!UUA8a+iu=+Yt@j14%{3-Yv$?64^u0OZfZm%Ki>z zU-YN4$ILvk%9Ti67MHiF>cUb_9aXs)r7r&8miowXrLuqCy3&;UG5_>0eyV??#`VwCnI`is&gRK*qjVa&7~EsEoDaLjM)RQv@-3;433iv^ko zqsB9|_8D(eeSA|+)u|XayO7VQDUdOhu50ADd8P0-jGG3;Lr~*J)uf?*f8&5U#{*bp zU<|1mgsKJ{oFuK>jBB`-(;p*Xl4B*2duolFhht{I!CFb-SmO9m5`fKwetNJfRF!mY zTa2V_ylOy>;%*s9uG|L-gBVF(jHD$;T=|ia- z@vz&cFk(#h_AxWxc%>k>*TzQ>|EIvq=YKn*$K**(M|QyKzt8Z-JL;lrt7fD__gCdq z#UF^%_@3&P8R?_Lh&CO@wY=M!!u_b3vgzy$SK_(F4fWd(yU!}I&B3E=g~&F>-c80U z4fCe|UAE>gDX^C9wr0V>fo*$N)zwdJ)-Gp^ls|Ca)NRlE4zoR`7m3Vd!TRRJ>lm1pPXOsQQkLguD{Ot-Rk@fJQ`TlT)z}4>8sp$!NGvR)g^3S zGUVV{+9t$BA62rr1kc%*45-^D`#V=7(R{p4x#@N?zIXb@2rp)X&_=a>VflNTT}r5& zW5M(4IS1#+d9FM9cNlj~#l~r`Rh(UlPvF($A@>v)pdYa>6&zHhFD?vhPi!B5-jAtS zcuR-bOLPnrpL1}Xj1DpS($ryQ&*g~F5I5K}1ZQ*f>cVv!v3ARC{HCt{r(=N)zX=f@ z-v@q84ZmGQIH61Jnn62p#?W{)ZqT-62Lf?^__WXRioJ0ufj-&gfu4b00~-RDjco8= zHV~hY4Icb@@N44NyzCW3%)2oS=WG3M9xxJy{%Rz)Ei#HScechFa(wZ{4d#vJ8Aje9 z{5I@(@qlU4tF83zSd>xJW0kK65kAgcP>84x=N?wkwTnfU-?tt9*(k34xfJlf5W_)i zye+PF&ET4m9YmLbHCtC3@GFYE{xwO($Tx;N8WVfhKeicpr|jIiIw5b+uU0lbgF-u> zLXC_A0Xr3SvQekxl0c6_)T0n}z^du8)rbO%cUPNQUn9@h8Zr zfBw36-@o?%R(s&!?}z@Vt8X~mc;x7@re@8s;=HW`ZIV(#X&s=~P3<)?E>CoLNL$)r zv?kI$b|Brh!}!g|rvUJU>2YFI`tbBI=_AuGPro?*iu4jtD$-kv*VErf{~-Ot^pDa% zPX9XnoAieC!|A`K?@ez^KaxHRJoE8ciqFb)Q>;s0pT0W%&Gb*wHL*FpCSA98b>g5nA`XjY@s;>iEECJc z3h_+%er=EVm-t;YiUVSscwW4Yn^b|0FXEo=o8n3Ff_OtL$i6@OfowfHD|>8qY4*k0 z7iEvjzA*ce?8~z+%N~;*$WF@cm7SNJmMyZ+$o@ zIb)5{A@e=sRiks}*vwU;EOUHjxMN1gdNDY2rD&VER-|U$5?(EqW-k?EMJl$DCm9VQ z&S=J@QibpY`C1RnMo^!Cc8=CnyB_c??R+s%pRL`Be{=Bf4((3-yGxs^-Hm_uX!mOO zY4f!O`1gReP^;4((jL|p;oq71quLVfF)ge=p)JL~W%&0L{ynWdgMZIy&ucGeFXG?J z+F$W+m9|=Y1^-^fzqQ&r{Ciz{Lwghd-qPx|P1@VqJKAP#i}o%)?`t36^O5#3K3k#b zE=?2nYTs#XwBI!YVb%`eAGQfvrrt(7P4|kjywmm5^)vMed1vVb`T+bZ)_vLty+F)H zZ7#w)n&xVk>i21*_4(Rm`sMmx^eglSw6S`rzEB&lm+2GqEA@v_udDRZyh-{s`ec2H zR-sSTAJeAmm8jjd`gMAhe!YH!exp89AD?%#evAIJHe0_{e@>gD->%=G->JW>73r+w zApIWwUj06OzP>T=R^3 zjr)upMo2qo_{3r3cjJ)phf!zLT)GBI35v;2$9S0ev3%spMZssAIU%$PQ=tlNZ%lgc>%6i=LX&2?j^m4#7=Wq5+i%go^kQ>KA??08VRcE!UoLJS%{eCTjw z7EH2En%TzY+F+4S&)X;ZEVUxVp=+V$bFmErs<(uOO|!jmRWhN|R{HSXHg zRT4ZyCSN;t(!}xMaph%@J_9UMW=tNBQlfZPMJ{f_!2LfHc?j9sb)kbbsjir z%Af0qx}RwK=`+TSE1N!j!i-6it`Co!a!th~G=gk_Keyk2;pY!LztMqrr-2i@m6aq!Ah_Lb|5Z*SKjl<(GV6HIU-;569r}UdypbA}B5^qY_mar}1 zI0<_sj4%B8Bn`rs14jlhyM*bZ90QrYEjQr_OF%-%_{onkN9+viqeZ?#gvp~!OF$=^j z5VJtc0x=83ED*Cm%mOhB#4HfAK+FO$3&boCvp~!OF$=^j5VJtc0x=83ED*Cm%mOhB z#4HfAK+FO$3&boCvp~!OF$=^j5VJtc0x=83ED*Cm%mOhB#4HfAK+FO$3&boCvp~!O zF$=^j5VJtc0x=83ED*Cm%mOhB#4HfAK+FO$3&boCvp~!OF$=^j5VJtc0x=83ED*Cm z%mOhB#4HfAK+FO$3&boCvp~!OF$=^j5VJtc0x=83ED*Cm%mOhB#4ONa0k4kN7~$m< zJ-m2ZfZhy3oHbi75Y2Ix#-9gG;kgN)WPIFQ#|+^CyXT+yb#XfZZv$=*K0!<1^_{}g z9lsaiGZh~bZ#rm$cZ7hyU|9PXOHin8AhiIr2l06XpU3c7fzM0$tjA|5Uga>uBRm`8 zgy$N3zO;qsO#H6L@00lagwG%NSirT%hr;>cAEcZ7*l|=k;066CBf8E$z#1v%yeSXhY;h5n@Y^PP}Uqs z1+0ACyx=J?*de6Mp?Be1#EqYJtuTiX*6a)r%?k zTnNy%i-@?0u*Y7z$WHY!a4T6gr^bCi@R>(WMNKct@4G38omn9%dcjQmdk<+{cUEb z+zhIIJLS(}USGhxGXsRU3DbpHO-RVf-Nj0n_LpRwONqAq5$k_9;W+yRDm9M+JoX{- z-19!Z{i2omCVusM$@=hifL4xfKK3jNm{NL`FdsjeCDCcoM?k@g}?seqX{u;9Di^0>3}u48ZXTf5ms}ghdpeFdTUU2}6-9 znD97qwMqC1--$wB4uZMA5190Y0Ie=^;1q+=>Qf39{dY*QhCV^?Ai-fn02&_aRr~~g zKt2z@d@PaG)kI(`(t#cL3TpN#S{G0fMxPAsHX{a&1U<2L5$bV@mIOjlj{SuG4uIsV z!C*hB|AA~G`AjC3$%L7_0VsR9On8#{ULE@>nee9!DH<;96*3V>8Op@dGLe*AjjGts z=+r8dT*kz+GLf2`NCD4DwzQN1#mMu#R3Iz@jVLJb9@N2pLGMH5((IQF%%LJ>1lU#@ zlqQnvsLm>bmDE#M=4weWlR5p_uSkNOQaBuxHIm{QbT8o6I+0DdBcvo1awgc$odc3Jd%hK49L@0~YY%LpbjxO3xXL4w@ zCOo8SOO7Bz>e{hHl0_RP+8sd=+UR)^mUcavXTtmlBCU~}H!ooV84Gsbl!4jA^Bi){2eE@T-0+1o z3d!%F4byLeB+} zUQ2j58nh(_?9)C)7oI~>;Bf6U6lEDNLY@>1Yle|;5(UrDU^UN3neS%g3tvF6N~_?{ z#TmsQw)G0pAEcJN+2;V=73l20I6%f#08RzwD#>`EK7;IfB^0>)hX)~m_>U; zn+Xy$fy(QUty2$zkD!UvU;D)%v+$OJMb_7>?918`kV?qDH$kpI z&Lc`2%V3qM5U$l3+a0lOq;+6uX^)J50DU*|bW&)k`+ne9E7-54MwpaocMrctfLTr? z=^{Lu`lM=QK&i&-I1pg?OV+iMCMQK%)XCLg^e(a!VE-KSC=oYLdk0L*!QEMYakQco zwtScA=t%E@!cS-Ubt{OSIeCd(Gv@=4O;h8n`~x}U*M30A|4;mM%*6$oT|co+Wag97zWG|kd&gx4oxeRB?Wva!Mv!YUq3X_);y-PM^o4lOpeFhNZukob50zbmf%Zaf}CQLssC&qG_@c4N-F`kkMe>^WI#tNAT#Pf1u zJS`JReqK(DXLM>6^7C?HJS!8aeqK(D=OkNNJTE84^HPDZu$~9W$IFTFg8mP{xYiHg z8pL}K^cLP*sJ%BE-@5k#d>g{#Tx=HK1|INUu!X#fC2u1Lc;(nNNSGJ?RnbS)lK!Ej z|C4m@bufhP9iwFRK*IdXXNq?M<}TqKA+7cZzBO+Vd4*X`uB(oKE5T#VoB*!CXH?>5 zHi8JePx5RkZ3a$d;d5B16-Xs~Cl#~<8wt;40dd0I$;3Ra6@xpwpqyguF2HTZv&_L1 zFA{fQ@uqb@ih1B$B(){-N;{P#>~cOOs#iyJjAS>NauaC!f{K$&&sg0d8%>Sqxy31uYY$dDqDktfpuFZ_Wm(4H;w;$xBoyP>3c^WW0r&!d zXeWo=B6}m?v|rJ-_Qmb7DHK+t##(k@FX_M$DcTpY&;31N@7Gj<8arG)+7-mL(SA{_AkhBb{bnsAbLKH)KhL*6Cixr}g{ zcOl`+35UHJ%lZr9EN>H8~^*ZI35ihh>85A^O69}eLdBV~d-GoKM7`-XK`~!gg{kV!%8&kKU(|8{P zY)qG8yce+Qm4r?2Rm9IA?D5VfeCxd6{w@}S0!b#p^4tZu0&hn09-kZpi<4t6>ZYG@PJ%{|a5YG1oDQ6bpUfw-4+ib%9yuUH; zt%Mzu+(wxN-ciKQA->4_E}P|c)_kz{QR43)evUAHqY~9MFpvKX$PXI}2B8;u_p#gu z$RoUYWLZd=ruRM8_d((vJ3K_Z-ZOrBlA8-yi@M;s@!_wUm!lm_!d zkT5i)A&iUR%i{yj*b^pAt5`7Uh3N*yDYaa1CL< zH<>)!2nW2qS;y^!le}+G&gV|O){yB-ZqPh0LmyK!zDvO6)O#ic?ItL^_2k);%&V;T z3&P*G1MKm3=MecJ1F+w#5&vUfz=OSS68`CYz;nD06aL3Iz?;15DD&rufVZ?-M1_7K zUT7lTd>5T3-sJF!H)&YAJ1G3f0oNK>b}9R8VEBn(+2Ho&!0;2n(p>Q-YiqNF>sx5X zW_HS7>3N7Z^T6Q028bN9`dm!RzR5GL!NP!O;XQ)RW!_C#^Zo!Ha~@&cyEg;yJ%kPK z7*x)@m$2zgBmaGbEpHYqY|bA9*!FHCe!)b*9`6F;AD9Dm{NB}s<%kG)@230*$&=)L zmNMmt2zfWLMHUgC=9QO>M+k?#XOdrzf-GSSfhEny=Thh);Qi*ZZ%`NSjg$R4!=Iwh1;B_oZ_ip2L zb-mJIC-r|_>L83}Cf@i5WsZaRG;_nFlo`*WHogi_c*nDjn+TiUku2+N!XEET^1MUX z@7+QCX2Jn)K1FUJoa9~0yzdeYiPmhMzXD94ehGxw`{XwZH9F9M@0|wFKOA75x&0Dk z_j2)QesK*z;VtY9_)Eg3_e!$=?H<4$@0qOkj%9%T-WN#SNjTtrfbciuPxAgm_*=pu z--w~b!rVokG;e+)@ZS**dwaD3{CC1x-mhuQ2~Xl7Qf!SS`jcj|$T*o8uP5C~2_7vC$T!WT9WavV)$)+~!c4l2oIb4w zes-8i7qGLn()uCwt(g>L%CE7b?KXwYsfRM0>C2`Plp@n7!3xi!LYS?fRlNBIN=aVI zf?I2Sfl2udh(nf{qs>N^lg3TBQkY#d&Hy6u4YK8Goc{cw@nyIvaEjI+TmcOt@Qb&R zpM#&an$`1J{H9{`;`=A$(oz?o+Bz=TXn(8kJ)pMx6d3IisWm*M9@GI_p?#pFaY_M& zdUH|O{@ngD&7q@RDB68V@*qk61sTzIkueNp+9eb@NMmQM0=5Gjm7cdyyl8h9P+EJL z=O~aU1$o9t^0b$E9sxFOE@%!XH@h8l+-XuWzM+gLK%7Tn%BQkQOjBg?`6z5UO z2!Q=v>XCjqb^n<)gWuCrj$ds_9wddO>OWKS_PBIq;2rEj`-Ow50?EutWs`;WD<_R_ zk?i;@EwPvFO~-tP!4UNo#AjXV0GW`Otqs?@XVXWAO<`Dcr~vJV)L{*4_yu*C30#WQ z;em(_Ur+~bM#F=_t$j(p`;g4K1I+AcH%Z|a>fYJe${W`Sn+r)nX)IE@=tTNon0*bi z!HZ(yr=k+ z#gwPHBE4Kkr^p=tZZqZ^&+=^Mj*>5hyBuro0N)~~?IUxOa>^EHx z-2a75CZ`HpuEFBuHQm_I42*}@bYo*%3>)F$HQm@m*!1w4ZoEy{kc!#jx!)v;+ znQ*|vYr3(8aFU1DbmLvZAvjtgEfJuPCiM|Q(QFjkWTEn!ZoJ11)M_d$qdm_IHRxav zh!J0c41PJw$%W8wFkHNx!i?_ZdMJ`hE_DKE1C?v7o9jd7dY8Fs zk*k9&YkwryyHvJ2a`|Oh?=shLBwPD%GaNVDMFQ?2{H|S?Ae}@Byj}37yj{?09qglR zr`!NomE2+|t?ICya&L~v-A=hrP;Qt1D7Qw*ZAE?mPPzFJxsONW{+)7pyGH5zcgo#I zx##>xxx1CzzLdL`LxF$~_gy)>r*UxwT5}H?%_|1mhb6^ScphnWw_ypX0C-gg-ck+_r$chfO%8RZb3csCK3rbBbf zI1h;A93bq=e5|wjVy!T#!kVVoi|ddx0bGZb7bk#PQt{Ur!{xu40FL)Ear`=7rvDqG z9!f$#KQ7$H{{4XCxNbITe*-AuxRf*w2xx4^aVcpWB;FIprKIsYVSgN#lExvzfjBND zjXJ_faa>9o^@KxMe43Q)qoh`OfP~ll1K)BfX*AwLa5KKlu6^+huNrS2_$NYwHf=r{ zA9lg0k4JN&IpBVC5SKt)YdHf8f<+OQ_*;p16n8p2FerrFi989*kc_9$ z_;X2q_NfT@X_B8uGD(tuW^=y!BGQ=Eko#kh18b0M2XavQj75AhWxTyE!c`PPZH_nJ(ynr_~bnppSlOr%DD%#9Rxq` z!OTMa;;%*u_h5J-5b@4E7$(ek=N=3bo_Jhs(U&BfzqNA@hLk{S=N=3bN%77-7<$Y? z@y=-GiahW>DROVWCz~-GiZmc2M1eVF7W% zQ1@WEA<;%Tl&uk0VvY;ap=>FKa*z&XOF5K-bSPWOp&X<`*-{SWARWqFKa*z&XOF5K-T)A7yp&X<`*-{SWARWqYsq~mD_J^}trg{1 zy$9(~wvY zvglB@ltVd4hq9#{%0W7mE#*)S(xGfAhjNe(WlK4fgLEic%Ap+elRw&_Y`w!%1j*8& zY<*mS^?r~JWlK4fgCohW9LhmDlr7~@4$`4)DTi{9ei}&KhE_b z#blSVn}Fdbf@Oo-yzR$N1WR+JD2Fmj$Zw%ZhqCo6eK;v{Gm|VG%67GmfssguvOPBs zI*A}1%C>SS2kB6@l|wm5hqA34%0W7m?R&{@2I)|??;~sl=}@+nLpex?vaKA-K{}M} z2Tq4N{vaL7wj2?GARWrKawrGsP`2fW2nFd-wv|IUNQbhm9LhmDlO+UJtsKh14y>1QCSvi5#;96-bC08a`S1wP1qCU=F@(M zus_Jnr@fhQAjr+9y@ha6keg5YUBV%85}RiNLE0!p2wjDCNikWd+F(ulXDJB(^G`bTN zjSy+i@tz)1hoT4&`_{lx@eM z98ZU`?KqU<=}@+9jV1ci=uo!fWMaIYMu)QP(LM+1O*4%SW!tN9uK2=CqeI#DY5zoO zhnYr)vfWDi4XJOckqIa)s`+L{h!yNkvdK*ZCbZ0Bm6{`?*2P{x_qKOh(mLJAET+KyVQ_F)uBuz?-?$&AE+!d zO;ULd#ia~)D1H7!xy+F`GFl>3Qo2q6bsi*6aq`WeM0gboMAl@GmV*TCak`KhJhRw1 zG=BOH^k(h8O0d98u;X8mKU;d9@1q^D0Zm^;mPe|<5|S*nz=yX;9i~@1=_HZB4Xd$6r6EcLCi3!OJgS#M`{4MVJ%sU?qy|fcu~z4eG|}cNDzQ#h zFi|r%+y>U9*O)tL9w>M+?F9S&Sjji{qVR@BiVOYH>Z z(IQLj1m)2pE9z*G@`pG_i>${NQmEVsTFZVyUF6XsOYH>Z(IQLj1m)2pOYH>Z(IQLj z1m)2pOYH>Z(IPAAXpyCMg7RpQrFMeyXpyCMg7RpQ6}=O*R+e!2DR+X_np3eZl}C## zwG)&_i!8Mhlt+uKsG~(z)X^dS&Sm4qS&R*hq~v8WU4#^=oIRQaEr`$vp+;<)cvJ55 z$W4(lFOZJ`mODY~*BVwb2>vzKHXpwb@ zusT{~)e%-li>!LWA$Z?S%5FO2tvcr>A z(t(@|*qBKPg&V+?{vkkZitHy#v3F8ucGiPQ?SiLhlzR>@E9tlJ;xS9It^;ctaM}`X zTNUdp$x5%J$oP^{7f9AGBKtM&QWfh0$$A%9Gk1f`EhaW=$d}6^HpqNGf`Bf9BDhmk z`8LRWxCtpT_aB$98=6AY@OP#jpX}E z=G(_Ar8iNvA0%rUSi@4a-y^I)NLC+ub7n7)xy8@t9=VcvFJdtxWZpAC>?-r}o`q6x zgv^_RyjkZ^G%7Oy#E!@U89bvDEiU_Fhv#^@?w#e9*D0Oly^@DwCV}??SzH@jCQg<+ z@>kfT#V9RDo&|fA(;uDz%Bliy5z6L`Rc`Vl-h`}$po|7(>z^o(gHj0!Z&Vzg=UGth z1w})-$5FD^fbuLTuDYGSB3rREkCqSiE>g{6X%&$%l!mt?^-bjDO&D!SE!dRtEXg(= z)d|Z3c8YTg(z#_7LW|SLdJ?9BJX)X_Q5m4B(7J06BY8?kd`;&(k<|#qWPdPyzlh*VnKK`q9ipp)s<*6!rOaW<3J!HJ?(bK>T)+mkuTYj<&W-h^a# z&hpw^PV)K!*b#!ep#0xk%FhaTh39nO;!za)f^r{WXk>Q)^^9khAp47-0h7jdbx)+?PTRbVdMKf5{X~QRF2WpRcW#)n1Ew9%X z6thZ-=?A>*8%w^5h*>4Y^hY)&W|b6k1;rF9F>2=OT@9V!VxpI%&$X;W_$|3WsDg{3k_P0C6b-@P|HJE%C7zDNH^#Uj4OjBJWq(Tg)=Fv#{exlMiQ=(8vEclI`WATVuH^;Hk3?)5e3A|Wnqs)gQWnRlNcSXv)j%7AQ%B*6Ud=5~Rd4nqRhNvn2<1Bk+ak=s{EQtEHvVUk1x(vWCiy)Q%e~?PKO&6DemP z7?cq|W;r2zRI6-N<$M56D&9j1`nToaoc!_SAl#bJ_RtReQ(NL2BjxO2Io$lK2O9U} zf#(t=MJD&aacU3TaVTWivnK+>(i4~`ft(4nb^`ID<9(pm@&qrdc_NQ2KWh}^n0ITE zC`3I|Vk}$>&hG9@Ab5Bpgb)8#ffU=_#ddeGXe6;{B;i;z65R0lZ;wXu|DVGX(T5|< z>fZ2r{}07onGC zFgHE{(2cu7*p0hF*p0hF*p0hF*p0hF*p0hFID}^)Qe3MZ(i=wDN^PI@+QDe+?AEAtP^*I8wDrs zN;5z=?n(gbRyXbn@owA|!fxCZ!fxCZ!fxCZ!Xc3oZ&LP2lq78r9W203+?B^bi^N^2 z1O7k7T?z4Ugd2Ay6diXZG!zR_H||R4Hzu6J5g{IqaO19ocsRn1yAtBz2*h1siT<`c z9D%qiOpMpt@^A#=uGm=pzG=4QeKW*eNkQrhvn_9%A?`{VQajAHPTZADq`o!V@^A#= zuCSx+#^DG~J(LlBID%3lha>KXKJjJ>B&zc{@^A#=u4Dj{8rjEQjV$eWI0A83I0J|f z4@bChSJIrgD>>kD4@V&GN-reaBks!m`0XGMM|6;fBRWJKjval%{_3R=y+EX=-@LahEpC(&IoLr3K5?Y<6ZHnZsi^^RubLZa5A`Z*@ zhT`PqOoVmqU?fLaZz(TBXL-3YJW7_Hhw{Y9)0v}8*FtD2rD0iAjtMe{IJqx1xkuOf zN4V~Z;=0%2x|_0I)ip*CQLmr+P{4>}wtG^-Yrl_=gsR#(|N z;HXll1=r`OgUbrwl>i@sc$y@_o8sn50fD(LXvvk8rA+n+SJNs`*xn54 z&=PB@A7d?L&2ea{Q8Z)5d|h;!=g4!_$mfKCj^Zh#7BAk$!n*_YBY|uH^#HQ)Op+{X znj;S}kr3A@A7we(bo%r}xtwBZfSgIWtC}h95}+zz)S6W4jb=CkZquV9f60=0&~T>#!^0h7RR z8i3<_(lkdHAGdMqb^~zHP1gz6>*El4JUF4f&uOU|q&_TmNi8I(+3bDJW=D`zv)TKc z%?2Tdn$13xvsv$_knuxDof)!d4t_jwEbF_=Q3tp_Yn;;iyzT%ZkH<5#eH4TTVmhw7TIlOB2FpbYEBBly8}%cwXWpl^B*ikM6dKg^qUjyo?6USExt(O#d zFVd&6U78?J9!2VBbmsw@Z$RkbB72<OhE9YCFu)>b_=&h!AvjZD+Gsge`*sakMnQ= zl*FE+*3f4;E>_xFqD6ld9gO{j7X`&jqa;b6Y(X|;$4zBpMv3W9FSw_SnK)rPl6XqX zl1NU<(d;~UWsM^{J53a2z)_^*?xgBbSaCxqTm{5_f^PjLOR zV%}c33=|+5HD8S2WAG3s8nutXL!4;TzNl!_zNl!_zNl!_zNl!_zWG?~JJG0pQKx%+ z3t?m@8nutXL!4;TzNl!_zNl!_K3pn=p@N6_7(B#@M%^kZ8nutXLyYlMzrY_IjoPoG zQ8P-e8$5)t?AgahquvTT#LM6z##FrILio6UbD~lEqM}jzqM}jzW|QYyn$YhXNHtaP z5Z@N6se*_2j*&+N5AiX0h!c(4$KWA`3LfH%ibn0@L0l&qwU5C=oM_ZO1`lzfQTrG? z#EC}ji;70=i;70AOmZ7_EAT}{qxMBbqxLa)$O+M?zl3?>W$+MV!8uqi`=X*z`|?#eMT;f&m5MK?ufC?Vsi;70=`!~_3cfscIPBiM*ST2KyIMJw8 zxhi;wuOnru;32A96+FZj6^+`*;2};lYE@Qr@DSs#7eRi!6OB3(hdO->9^yPa=ZlI) z?PKr|CmOZy2i9wYsw0DkIMJwm3?AY{qxSj9A00fzc;{`F=U8nrK(JSupIkHJHnXw*LWELL>z5aY{JAm8goqfW9=*qIcxo1pO3 zQ_!ANz@`tIVnm}31NQh-H0n-({XUKOAI|_h*vH$r#!tfm&+$D>_#Zq?zsa|bGJl>7 zc#EIGLyTYKDSJ({Ht`}Jd~^7;HfdP954iYoTm`L7b}9QjVEBn(+2D35F#JTYG*@dC zJcK3O+(L7rQ9lBP1k*T0GjKHsjB5*Bx@i;HJMr*bVEsu*cUNh%oe1F4C5)a9Jyisx z0ed^?wj%Hg9w9LLI_Z!I49r0KR409s2;50|r>itT5ln-3z%;sOC!_Q>^5M5UNo|9N z-}-BOoZkmb$`8w-SMwEN`rn_KCpLVWh`7X|D*( zro1vI?Gb@nDDO%q9VY@EDQ}{awngAM+UshSHVhHi#q>1}T^E5TS)VCR8gC_=PkB?F zG+U|@3}hMw8pE2XmMWA~wp69o6YVDwPo@!P$Ob}7U9T~`Xka5ty3vt@KDCjSy2(j* zz*|$8zQswSB|oE(*-ko51a@L=V%+AW(FfO3-tA5rE&U@~>Q0rml11QjTJA2DPDITn zkpFInA2s_2TmBv=&6+jBl%{d5#vmrDW>u2Pn$6dC(XEzvgyvo#D}}}E?b<(KWND6t(Nuy?KnSR1aqh>SN22VO^)NCzlvfN3d59gD< z!bzhK-^B8sQE450b{H-3oI{5to?!X~C!Hh$eZH-fzhnK@I%)Lr>%vH{chczNf2Y20IBE3pw^`o}P8xkYku9^)Nu!TX zp?+_xG~$Q^?j`?bhmJn|6YcS?lSZGuiSplf(v}E}X89jFX;TCilK!!iMxQTddaIL0 z&)CfRe&(dnGg4UoHYd%V(Ex#_algjvz3LeYC6ztn3ypzxMB-r<`laj;=otg_BkUPV zh#aWPc{Bjaeae~)a?;2Zd=;iOjsAK%RwPPtfuvIMV0{~8h{V@u{vlEzBu~{}1#jSQ z9GBBuBxkWsGgKN6<^)QZ={hHkHu;X4T<@gOCVQE_(Mh9C)==I}P8w};KJ(w=q|qij znVzlE)GD|i2-BFX?~G_(A*s}Sj-CswNc@eNZkGx}^SSy^TO2>uY}S<|)pEvUap6`lJ ztm#_z@VAuBczrMA2;Ah@6m9Sm>F+pnw86vdw_8-&#Ef$~d)s>|jW|q!-^l-gO3U8y zJ_MS^dc8iP*&C8d%|6yA0xJ?fV4#BTwXqG%fq3d1P@-tFAk%G} zG;FqvnUYl6ixG4I*90jlZDCfrjIA4T_%U~6GM(!1V}2bPMmo)*V}9*S8+UNhm|w@R zO~NXTWuypZ$kuOV{1K^2yrj}b9gV)gio{H|ewM5NYLa6dfrloE@!I|udv6|HMV0jp z*SWXqR^{eWxk-haOA;W2Ktf0YfdF9;!#oHW22o~IoB$OO1qBh&R@)i16(uSvDk{!) zJ2cL=6WZ;7L)&WO)XwcZw&?r&?Q`nh8(yDpeSf^)^R92LhqZFq)7g8UbM`q^bx!S5 z(ORu3AD-Sz%XLOGu0;2)#%r?H#o*X zlyzC5@d)OLKJ1C(4IlHw6jo!E#!=KNoQDRsqf6}jGM*eMTzazF9tx@z%x5R85eHH@ zj^lL@OXN6?l6J>&`~~#%&G1^;AH*>om%IpPt&(369IE6%)IUz0X^Yda|#A-d^P3unI3VT?&w@shh|W5?h%_a zJ>vPesF^c8@;O_WGd=1h+`Pj@tDdc@D5j6TyN&OF#X&h+@1{+Kg8 znC2em>5k5y=z|sK>5k@1k2p_vG-rCm`50u*^oa9x$34#UI0t$_VD&Su&-9oB z(2DbPN9SR}cATd>I*$&eI*8#|S6mJl)ZGoN#fRH=WL32&b)1?4JDq zmeSW!LI{;H@=K%UOpjgkWg6PD&UtY+$Z>t9M}R1^;ym5ad6}>s=jo2ltNj6cah~qz zyfz+iBF+aR=kJ7*ah~qz{Dbnv@%IV8K{%by(;b~RDN`P22Rm;Ou822b3^@NJTovc( zj?UZky~gT9mkU8Il^<#BGTXQ5pz!K5JVK}!yT-RW0TDh`N?8yG12$)REJgVa=1dP> zHFRaa_%lE(%VHjJzI+z29p~we&R3*+ah~qzd`&nJ=jo2lH-wXMp6=*;N4Pl7q-y7T z!fBkFZBut=YLd2x503ygXL`&6n5R#7bbh`Q<3G;R9nF~@ah~pI&h+S*d!|Rn+%r8o z^0k%lOplIyZ6!R@qhs!w9vySf^ytXfR&b^VEhf6~wH2J{L1a;+3twBonI4Y<+irI; zXL`H{Y^UADoaylzu-EJ^=1h;bfW2XNF=u)((01Xq6;3_WVb+&qn}b>eFF`9zLDjK( zCBFxvD*|(-$D5#Z&7A2`jd`$wudU!r56%EqM{}kJ*QXNQ_}U82^mq@7@!CpY&h+>K zXytXBJ6ChA99>{}%TZLdZHKn*@-D(^f(u}(3aJa0I*D>tk552UYahjLWW&;) z!mAaZ=Htd#`?QrlRm1n*$1XqEQa7?G#{=y?k;UW$qGQRGy{MZxc=_0>D{zZcpzcAa z#nsd5`B!LFx6}7OLZzbp#22;pln~A80M*U#wlss)@HXnAMk!)D zVc-HW@HZIfBfURL(ZY);)>pcJj7VCIf2~BZv9fR#gK%RK5^9{{18f!Xv5cwlvIIF2 zc(q?>dHtd3W+3eE6`=Jqw1={^UK2rEhIVDxjK+@baO%B9j4lETlQ!xfpqI6Kw}^YE zK!JAeHtk+NF@L+5w;CQ{r#&Pb-0m42`)71KBs#9i=y)Vo$D>BaZu;@OaPVG&IV|M4 zjE?6;$79gZpEnVZWaGb=(fOj**(Af}C8fvBibfeXFN>@LUA>-xY7QJdXsm`qK~(>i zvOB>VE4A;Bu+_Eyw^*GIAzk|~a;<#X-pW^Eg?W)P0Z`C=pgG z>aiIQ3jbk>MHjyUta%%MNN$*lUo}7skB3^`%B!|A#F%b~0it6Tga%U$MfmKb21P^% z8Bp>Ns-ap$32|*`VMa-dC^<1yLJ?6iQY#??N{SfR`$#1YfElgivW${_L%RT?$T+G{5|M=ek_C^lS*Enum=IVY+Ex$tH+G=rz+IqY=2cjmQ< zohv}pEjrI(i4wS{Lu-LZT8+8OwZP#JcKQEgwxE&<$b`Y3yCe|pezLi z{~89;ubXTdeHewhD4=b3VR&kzH%aBVoTQCz6G^M_L>9Zn=5yT@U=G3LcB?!;JOQjx z>VBKl{b%Ceu$H&mTm>wJ?Z)qj-yt|FJ>tk?m*9Y0n`;h@U$HUoviWR16TFdU20Als zE_~8v2RJml0!*v=J^0KXI}Y3$ErXR;;~yJJl+dm6d+CY`QKBE?N}cU+A*fLT;2bISKD}$WmaVi^ z_fv5=jAuieRY#tF zxcNdx{|?dLiemkF9M2AitYb3fo-+X?efd{0aUqzEPeDrk&EY}~7c(3GglR1qHCV-xYh6r>s%wHpM}{53D|csW@};`B~u|PW@}=s^V#^*W@~fJ_7=1M z0JCv3`b*WtxLnW!x^x%R$D~^qLqIjiS;E}t>$Rq%cR)E%-?a?Lc0nG?Zm5;KFv z3?|D4hwVAjO^?ml2v!yvZNAwoC@1(V|4-I;=iNWl+? z%W+kQxSXuLDy`@+Dc1{_)zFO|94myjj0c}(6dfyy_BREO6S-9^IF#=ssdesF6dEbk z2Z5|F6zimrYHgavk+a^_9lNS-CuGsbR=-ba^lWJrXey`xb_KWPEPa>p2WZl*e1&Mb z9=M(lHoKe;bkJVyO3&`VUmvDx=OHBW0Vp?A({v$0p1xy{rKTCYA zCmul)sNJG=GjMCDq8%o_Sk^|dF&+1Oy0NP~j+=)dCLvwraolt!TeMVp4Aa%Xwbh;; z2hZP$OH@~T96SxEvs9b2LJu%eO-vqB!#PAZ33x-YJl$y=zUExExLG=Uu`9H8hbsKe>4XQda-MKdsxV)N+}B>QX9h!s`FV{vq8nLgP9E~q$~o~8&u2y2sfxm z4>za?hZ|Ic!wo9J;RY39>kRr4JsE>IU7^~(29k4tWa}4#gpshD5l!Df80&hHRlkVR1NfT z*i~~m-!2APiATWsM?m;&B3yx3PzA|HfOW_Eptl20Eqq_RN^eDUUyN@|{|mHdb7**z z)B72;T{*N>pkevk`cY`en+z4K1*0B}4zOZqJx&8{6lexV6R`<=o9)??=NGF@bn#4x z&5(LsnyJ?&)=Sl(UYk0y#&zIV5z4*rQbUW~lCQs>|zz=g4>`B>d{?=#{9R=Yyr* zq*`qMc+H}AB~0nQd6R0(QBtdYi)v>QmE`b$GP+h0<=T|m#VXwaRO;{!jlKx1JNAFP z^I`NzsI9_N>~Y`3(41nwdNg=9v3@l?MoT%e!!aJjCh>$XiuIBxaTHxi)B=|p#w5*r zEOGJ0l~6y7xH#j9rdxs6GIZjK?tBQe`*P#X&!IbQk&HX5GVU;mOx1{zH6XT#I|pXm zsS$T7d&8V84AqD`qkxOKS}}Jt&>r`qG+%fLZCEwT`oebI5m323qk4Nr^(aw&ZbtPe zQGIKudW@)kovMF8>0RwquVX*V7uC>iVc7^#(h;j#x)JA#>K`(y=Zoro=v6FK>HN-o z2#2m>q+w3Sz_ofa5l*d8Kf@vfpma9=tCOBtAxc$iubF6J$S_8h;-_8~^^aG)sXUj& z-e1tWQxrgae1b|gXFNMalx$`(S+G7$@eb_~;5{f_2PfbacA>4_w^GYRDp6{ATFbvO zS}qbTJ2P4?5iQ?ROBaaeXsLOPTJ$^OVnFQSjSJVCV|%9wdn%$$)i^Qf5FN?i0lDtey=E@mGW zvmb|M4iGcbU}jp_WmdzPFkx1D`f%gfnWlJ$%9*i*L#TxFtJQ}as?L7G;shxys}FaQ zovA|Q6ltj3Tyzc<6;VI(Z8uUOn-XTZ4%L={AHd_gOd^U8JrAY`YH- zIYbc8-Ab`T1xa|*M@_S$hY6DOMiDt&kYaE8_*M(aI4?n4+O4F5#lop_M-W*eNR4|T zwJjB-&h3ZgO(f{hXkYg@iXA0LlY1|bqXlVp=dsK(L56v)V`20d^ì%z9dmnw|+ zMvMk>tRR!zwN$-AMbP%G?ok-Y$k{0eve5l53gmcfn`0Z%{k0pAm7@AgE02!EIT%=r z{7V7k;{`Rqadt0F3Q<{kp8?}&IJTA7jU1Xyr0CBobuE-S zG$%^Ng`&PCpQ`Mjvu*9^NR_sHX4~5P(Lu{+wyix=y2@v^t-U{C&u6x+eE{KvKOi6Q zEW$}&?zLwVF7}yiYtJE^_L*&K&m~;$GuzglN4Uagwyiy%aFx$&TYCZF8lTy=_CmsS zKC^A@1K9$7eP-L*2a(=fz-(LlV8X+EX4~3}=zdF**|zo}q+2Shrb+)a~Y+HNH5ujK3%(k^pqD+m?Y+HLR z;X0q$w)V+{`})kbwbv1D^515&okFQ>nAXXSS_<8tJ2bZs6O$W6j6= zn@B&Mbn~f7`^*_=p2A_!Utw=}n(e@BTl*r)SU$6D?Te|?_L*&K|ABPV4m#V`?@hYS zw)L59YwPt+pV_wdWt30*d~C4gBjx2*bT3w>Z7ysTO17^VowOy{w)VYO(-yOB?fbPY zX4~3-CT#oH5Z+GM^WSB?9?-U!ZEHVBdeUdMt^E+;VxQTzc5b$<{b&pH7fQCRy`ux} zX?$ke+Rstm@|kUG>ug(}*|xUMw)L59Yd=q!gwJeSTW8z)%(k^()VBC8y8V)=*WDC) zc^hnX(Al>3TNlw7vu*8N1TFu2%DjCUVB2T5t^LkbfIXktw)VT%0Z#ae^!NS@c)ZVS zTl@VN0H5YxO88$N0Djo#)06$dXMi71GTYYvkaP>53$rI6c#3QepCX$L8~-CX{F4Fa zgUXPK?*N5=87ezG{x&H5%TU=|MK)_2r-j{Fo@CqFAE!Zy*><726JsFgSqWbPxro}x zhzcI90^U*Ms z19&%scd&x{sK2|x6IL*e`g>>`O=cB0kbpzSUV*nAnVoAVh1>BU%dSx#s8*s6WqL`4 zP_t2LE*d^ycCI}}YeIHzuo*WT_Bex=S^=|j?Fkx3c5cAzTzembqo&NxwI>@KHQk&1 zY<6zI>|8sWof|Ma*Uo0=29I%DFPohkFgw@IX6FXX&b71IxdF3t?K#FCT87!V_B?~5 zWtg37FEDt%6)-#3KG5KCE4aP__`wFxvjS%4+J_k2vjS%4+J_n3wSw-{cZ9)XR>16B zdx^%8of|Ma*FMtlBUZrdTsxbc8!$W9&dJU#mh4=+MXf{Y>z>ltx$LPG>K*ARX6M?+ zNe7{){-BP>JQ*;D)z0Ry2Fzi#vpK8*b6D+c4r{<1Ry&)+8r(xXo5LC~ht)xOT)=xOG#+WPs#MhXz!O`=~VYQz$xMKygX+N988eB~N4#P)eFo)I7=CB6L zVYRb4tO0Xa?VKFe;vcBczEE9`-qw+!b66P}I~7wVti+F$d07Gik@0u64p)d^KMw4F z7|UHP9T{&L9J7@~#y<^?F)oquw!zC0S*-cH1~0>yq37=#9CLs~#s>xuBjY23hmrA# z#xs%enc;V|bYy&P@GvsIGB#ur;7Kd!%GvQpjYlwBI-DK< zWB8a8BocNT9CLz1!mkF$oFI{)B1fP>ZG|~OA|a}AWHakXa11|;1lQnUB;*-9jD&oH z+m?=m4h9b+p}^pnBP0?E4UX65B@zOI!>QsEWHx_AZ9(tqNO(=WXC$N|*CRfx1YbI` zOCk&aL_%4l6Rz0-SAOiyT9b{QJx8u98qY^dG8@nCrtt`_JN0bI?nXY}N*#I>E-MB_ z#pOPrTH~CUisRI0rz3m8n0BmGxOA*Gayxvn68V(rE&jlOQ z8=RUirGR~4pCh7OC~GZ+3#BiOjfqD-?t9%nxR<<`q%CLN;YpS;*S<`zWd!bHN zVlkb#S1O2_ZjWq$Y`|n?JDaQ=Fj?7tSbGw|gu`TIJDaQ=T*?V2o2(o#S=r7eD+f$g zwsVq|izQjvzAy5}jAuGonV#*4RD)_In5=9+D-OZ4=Oat7h!ikc8Ar6}p^iRava+2` zRt}h~Y-f{|112lm*<|H_$;x&%Svja>bY+v3112lm*<|H_$;$RFV-Hg!la=jkvU0#= zWjiNXxmc2w?dKxr!&}|vI$4=D`yld&)a(#C^`TTK!Ng_zBY{!N&msz)s%!cA9<}_^ z;J5%tEx$H6`Xk9<|E<9>O)TQT`d;H`MXUHFnSefy^niBl)u+OxS3gB=fUj0!CuRO4 z4kVICspz37mqI$VolU1sF`e4ZNvAG8g>7a35;;3#1WPZ_+!4#;win$CWGlgR zYCBI9Li3a;*Ukc_*4o+B+JLFGb~d#(h16O*n_8;_JtwucxT%7c_lffRkGgs~wU*VJ z6}?mp51`C!u?NFP*$n)EIjnXzhc#dhtDVhZ4WcZR&0!6g!)j-9SOey;+BrF_#gfBn zFR{B(w4396M~4y(OJ94L_-RriC3z(`AuYWi0Mhe{ua+tf%}=csnVD*!y< zEiJDAq}#m$z=B2cet)6KQN13sNI_1Hs-Kgi>gVLB`Z+nOeol_6Uyf&NlcVbA3C;XfoRX-<3)z8UM^>cDm{hScDm{d_z$nH<%EoE%j@Cr8!K$x-!la#a1C995tDgL~wt-huWkG&!ng(VyP9CYu~p zpUd4QN7c{CQT20jRQ=z`QDur~p?L-1JL=T003qe{5g*Ey<$(^e_FCl0Wz4rQfZ=M-D*! zUM6h&I!ErulqvUhjw;~_U+1V2uJUz` zDt)ifshv*%ER!Erz$&+kY&s}upYLRNgitSbO_BZAkWZCT7Q}x8HaV)@02Z280FK6` zKv(vQWdO05iD}UJ@)TYne4V38y65X0Rl*5>24%h>ob+{$D&b;Z=cp1+Tb+w+>h3~K z()RG-c);cr03Tq7Fh}(;OhA54j;f!Nqw40q0^sJp0^sJp0^sJp0^sJp0^sJp0^pig z0BAAM)#RuWSrqANa#U9W+irI?IjUy>+i7<-IjYP;e9i7^a#Sw@_6AaII5ZQAfreKA z;+%S@!>r24nl-fuUV>JLqUuF9k0L9wQ|O9>$x*!!l!{D_Dt4bO&%6S_8NhPQD*#;8 z$>gYB1}VG(kl4)OI8a>&wD3DPP#5ce0&X{aeo;O);39xnJA;F|fsPI9&46uArJ~r}0NL4#+J%o)wgf%ZAS9{8Uk0 zRRlNY$ou0Zw(2b00jSRvukTfor16E~#jr}Yj`gFNRrwum3(StB+ApK+2CeOUGH+D8 zZ_%3dqrcX$O zvhr=ZI$Jm6NL=D|GtSl(zlSR4%ClM3VQj{EiZ{$vXXBcJoo-&qt;!D6uvTlhAfsVz zj)rwwgOoZ&N>xcB)_TR8+^SQl;8fKwLTybn)1<3=e@0uARJV%zB5GiS&x%&%SV~UN zk~|4bdp6Ec#cwB#LRj+KR2tLGphFL1w z(DXOoUtqX;4H)^~(aV?U@hqZwbY&-tT?@3=eGtQAtM?}m)UF}8r>uPjq#b?m?mNJ;}oL2D!Yjv=;2CFk>2~?|RS<7kp}taQ`-t z*`C?bO=m(m2DG&i(lrwQA2TdEG=bL3!ie4of#IykH}9|>g&=JKvv)|Z>kP_0pw#yQ zP&WWS&A2OTd=}-h{lr=AEl^fa(~nIR&@>&SeIVI8q}MF~Wj-kNO90fZ#Lqv04LB7* zWW)ZAKeyA!S#EY`le4>f88u!F(!znz7}D!*0p(aw8W%${<4r%#X(xiRjNU}m`z*Wz zw6|C|WYoV3O5MBo`4Z&1&jAb_g!aB41usS;K8aH7j1^(4_upwapsHrXcl3m3^Rq@d zJ$?m=jWn94*5e7PHi2-P0;Rn}MqL_|13{@nuUlsk=nLR30>c2j0ib?7fVvs@`JCj1 z0J=BBF~5uDF>!ApG;?%i9BWtx4*PwDaP&Aj3$(0roO+R*s}D%`)h;@>2DFh-+&g5{ zAy};aK&iVJz*V%l5oP`c&40~m?!N`J_d&~Q=R}LcHT4Hy0@WEaQth3fJwWXtqwYaa zo(HAzJBVfrbH4Sun!;%{n}y#1tza+;hm5)pL8%0#F%8jdVNSudVd2i|QWlP3Mw-dO zA)}7z@JF!lkamSRD^CIig)CL6cCv6^&@N=*kWn`bln0iD12A&Dc(Ty>_#4zaiBHWmb=E_EqeQPI-BBpdYr^~d@NmJj0H8Wq+IYX%I z(wsZD!Azud&Q_c&j4%%%ab_t#opXxN!n_^U&s<|*-fCvzrgNrfWL%q~JS4=KsrY=u zpvoz#OPM!?sG0$w`MiW!&CKC;P8O?-1EY`$;?7!iISQ~{43%dhI0ws1Uu+po9l{QA z7K%%nVzpqhwXIE{n|Fk6z?Aa}+O659O`IWv89U;+#{e|13PqGR8O%t;xdd{Nh|BjP zqPBCyQ7f#7?XISz=lqfX+0Om)pIa4$0UO-oMUOWV3Y?2^9gWoX2gMny01g~M@N4Sc zcOJp72(}(cup`7Gt9lUZ)Enl4eF;8=V$qanSV6Ef0m#O1V~pnp z%UyI1RPn8el1z@Jf`1EAZ23JPLPD<--WhwzE^zPsG!EVD#CNo3zxu4=jw>|b}u5=2x1pLfM{^86~rsVRnc;` z2$D!nz*|$!b%G?5`x3cckmABK5iHIPk%@3NU3dtQt%8&mBJeHeMiDDdj=(!u&Q0P# zh2`~tw0|ysoSP$4>D+0~r0CDEUbvVwn-bN|JxOF=LG0v+Y2z%XRS+*ZYVv5jwkSxV zFp06^Op8`PU$W3f*E-V$DK3l?nITBJFiB)TLCOnLL}m(7QFuH1Vt>(ARTv=loC5@@ zDLfT%>dX?Pu5b$?)R`@1`X;B(7-2bc1Zhf6VE@e(vF5@j*v<0<8CLimn{cdk zr_lvcW_%%n8e?8+J*n_MJlr@3N||*!j1yo>Sfd;7z{qkAivAldRWvL%@MW-qKA^># z8Sqx{SHeRH<0Xn3z<%D+#dLixKr>O#d~w)j@_kYr*tEBxyb$mP+88A zK-{SbAkZ~yGWkyn|0D9jO`z1`1GLog zizu}N-DkPiqv7$6jOVVQQ^DWJS<5O}!ILa=3Tt8qgXz&}^u!5D37-9^x3yCd-HxIZ#>+(N*v+e!=WThN#1 z{vPsi_ZEb7zWXs+FX1u`qJz5%+I;sh{1&)PFqU+Ws>TH4UV*Yj?!}M~+!cU}-J7AO zqx&m7O}YDnUgDkr4QY2OyfynO^Q#Xh8jr#(!%6Ph!#@ z^HLtF`4(g?w>x}S?r>N}h^{1Z;D20^I_yA7eF59bT}r+?2%bgV(I{uT=fMxhy&Bw@ z`!3qZb)R70x&^4uuNXgl;e$G+8&nk4sg;d!w8y1XbpkJ-cyR~LsS^pS;4!$aRuhf{ zTVX=2Ash|1pU0#vOf>;wmqeljl!v0y0Sb)EqJB>}6+B0O z&LUhA%%}X>gww%iXdHD8;ZDJ`Y|V2Cmj;&-K96u&@EGfUKH>7Tx&VStnigf*`H~3?E~!3C)gg> zk#7ZMWM9udi3EqRy>DP!+QF5Cw^GInzCgID8wuwHYia)`$|Q9E-%NTkn8dnnqn@JR zUbfjSq!;VHx|MK8%Nau_Z({;rQBvJKAs_ac+@$_QK3?r1`yRq77|S}`tNmI@`hD83 zhpGF1%6P#Ig#S!9FSv#Mx1Df2Sim|xz_N+pkEB0Hx*v>VogN}wU^!eFOx**ZKJO@RP%-Vz8!CTZbyG;$upb5n^N$#+T~Ri|%-=p~4% zAEBZX(p{RY^n-?!Kv|L+t?4RTID236++%>&6CzGB{8Q8 zU2QgIMK#_1N!(MPK)l=XgAXKuVNtmNCIORPQhyBKpXFJkMEZWW6e^iZnP1{PP@fXM z2cdoy8LO+!Q0Tal?2jZ&tZw)`9Pc^WZcd`1hH+SYl*eb0_c9IjiAXxbFrOTI@N!Fi zmPf@?fmdyS7o||~1#Vu{cX^)|U~b{7#g~HF;~D_B0q99?HNM7YJprux2>?F>sNs9u zkqrk_zlHyo;^`pW0!?h_&3?Ov9t$$V`)*C<0X^weMs!De(X~eOpE;s8f%Z;=WqlWl zwn+CqWi)UDHm6sy+#<%95&&`pPpj!~K>G(>WtJ%L+WRxn~c<1SrU%Y5<^#NQpBsU(qoO-ojJ5=M(#;Xi^g%mPR})hZ{!e5 zal((df~x58jJ+&)&X|j!!x^M~t@QnnV#9aRq%guC2AK_CugO^UveN%DqNCf3eriMy z$PumTuC*TBUbGfuYCSbabdnLhyq#$2G$YE6fN`tWmz+Y6&R2hdT*)E~bahNVZ~@u$9$wGe@8?gb;V;cywm<$W-F7iJoR@(mzWW=Q2E zwSXkz;{l8j9`bvoT`f;@7JzfGaHf$nRW%#Or5w4Z%qNJYe3-QCZeLC9(3$y>CfOxw4Lq%L)vDb?B!;s?nrrt*VO{~{sitJEQKjkN01^yH)n z^<%-#TPri;>W-*{Os^+L2uC(7NVT!H>mqHa<@1=jQgTwO#`CeJpSqUUJB`;8e~MS; zQ$+qy;0jt*m zXppB-<=v5rVyF!9>g`}Y2W5Sq0#LmZKR;6STBF8?FjV8Fp!CA5=7`qLVfBlW_G{V3h6+rN013Ujq@&dfZMox^6WjpyBLuKPqz{dhs+ZTe}X? z@V*81Fmmoeu|^5H0?m<;$0lOi_Qj|v8vwa2I;tJ4C3lniu`PKVft8b+gh{#{P1ol{ z`wbH9C2~);RY!hTn>QEr|6o{m7K6n-@jY$~S={WEgttsxg)ehQ%a2mGuCmVO7N89$e!sE(iX zSBAtF-$=s!)K4JE{Zy=4i|^1peLs}|G3S2DDldij3uv@-D**QJ&syB{aQO_7Z^s>R z`FsE@nLf$@-B4@e#_@*KWJtKguQjA`hBVAdv-Y$wUz5TZIutIEd|W$nIZmMv!|CP5 zWxfdmdonSvH?-@FcHFC(Snyi{7c-^9SmXJYw3GI;;27SlfqH|sFCC=MwIMpmF_Zs{@ zy)Fj60b5^MqS(mkEC;f_Zr=ds{s`0h0q6~pe3P!Ed+WyMIliJ%CXCW9Aah)Ur0*-L zs$pSMzUmC6`o7`{eP2<34=3{fC^ka{N-dWW!L3+*McA$M72&W3`cnsqhuKh8t%l35_;tb#sBklP z53>#T&0KEg?qQMP{wJ54xqCRua67@7=XwyXX(&O6}np6fotIZnC_+DbnKhpowb5urv~MpmKu z%fA4F_iN?f0N@qB+^++Gp`RWOfRTtVYf?`bi4#HQJXaQyH}kSojJhYTy7Tp#dbiC7z6Rp*Kv(MU)?_$#67`l6TunRg8zYqHEM z6`(QhcWatzvC@-3;?q$vZgQnP^wZH|qqKKO)=HNd1$%3~d47GC^r(^ggCXJR=ourmHA{NMNNv|7c{+N_h&`V}`_#z2uW9<} z=ocgSQx35lZ|rC{ivh3>JkXp`d>p#G5;Xb=T;II(+Qli+O{h7LsvO2krJG>4RKCjj z)lIaaOG;+4d-D?9IkD% z)oU^!h5U(W+abM#&!y=CFwHwtLm_1(jMza++fzYzVP z`~4E>_uGj}zh5H#{u*(a2QHC*b|#`9HLKrn z{x@63J-IbAfwvp?e0%7>8ux=V?>EN%KEi1~?hn<{zcucEC#?T+++Stv{J$UfFPaj+ zG48Qg^}mh#-I|s=?it{P=nPH~;atbDzyoRam?9`T>^DTG|F-C1zah%kg?>XT527&+ z$W+H!M#N;uSpDCvNALA_xn0M9xR*f))xVDET8(zY3k)U!P<`A4>A)B(oJ9x>nYmc0 z-R;basdiJ;dItAPnM>9WqVeF2#+QY2Dnm~$Ij_hi=N91QkhHc#yXb6p<*dJ%ZvK>s z|DK9&ewtDGvv7XRDBUgbJzyW>_Fph9+ymk4%+GsCn%e~qk2Gq>`G0cc0knXclWDJm z#1WpK-np$pIGk|LfZ@)6;JBXS5`PG|ZqIpA@_XW2dHtX7a;(pqglYad;tO28hp?zi zHRtbz`c>T}PV1t@?%4sK=kB+OJ_EL`~6i7qP+Ks}l|nbh4o8<%z#^OtYW~Gra=qWMSxrNr*giW8sV;U6?m`&tFNF$ms*DBbXiElR!w9jw`${CIw|RzA)FWJp!KQ z#d+L%WWQTvHd9 zO}P17pJwDvmxVo2U&NnkTUu*6^?L;68W=%2R7>e*>VP5}_9Rkrizeb71Zhsn-P4{# zsb>tybPc86Y)_)pmztDmbc#7}i#_gDXakf>Ynp1w+U(t)M5z`{%61La@ueDFv50OV z-j!o!HoGK49>X&7Cwx*`=do$PMAQ5Mg`_$8;2}33kB-5F-t=H^aQh}G7!kpyWgMKt zb13z_sBE9aTj1kmM03DsZxk$Bv>d5N`y?I!=fr){pVO8?a&m%~$xXlqEQdI)c7t%2 z$JzOEgWRWK&BIF#K)j=A3XY3 zS`MHq6k+*CKZ}VNs?LeHtIZ~i(*vI5DQ^j$Y}H@a7lxjbDvd^QN~*T6GgW#{s?=j@ zSf%F@ z2kehTpnaXs15f&-tNkO`d8}Lg)o2{my92xDUhof+x|~oSc2DmPlv)Pco&SA|ND|*b zT)L}whb6#w0Pnv1kYg=XFPeS@-epk(k3uk=08lx)&|I3UPI>ky%3uo(Z#*XR!dPt8|}Ym7M5i%Dj(R zP~ETicA;KN>vCQnQ2wm=PN2TVb@_pH*sl0ipMx)Wqtpku4N(uM-@$+reIA-U4&p&) z;wQc_wlAvRd5f3(?(t0ZYE4xbHHUZ$((m8+(HC zuHy2dmLIkp5#+t8nu{^J8f;ChhVOVw(U<>z#$8O&P1jdw7)HIIfb?imWt zs78VinB3VOdJU|)=SZ!&ZkbhKHNQ{8yA-p>2J;6+*bhTqY6Sf~U4d^w$oolgcFlEa zAi6&`Ig*bC8!SK4#9i(Gu<1L48XFYhqK;!O@Og|Bg|IgLqV?AThJV_)(Ed#Ipm=;*h;RH;MGg(X&yz*UW7BErtGy7vnt2< zFp>xi7HAP5RCOe%!>s|Gv7&5!Re@i{5+aEFY-+#`ka|BG^fInGJ zaG9IIGz^zy;o*|3d3Z~cM9#}1Yg_LAfW6}y2U^iCY7F2!6|Gl40faXfn=&<}1gMe5O zBDVkOKp;vG&p&T4kcc1&|M>AhqJkv-9V}xDQtY>o;|P-W@22XQAmu(^k&n8OyP&$l z??%Tx;neud2aDzjQs<*9t!P}t`ug9G0+KJBX8)71KoWus^Ct`i(m{|GzhN&Rz96Ih z*~5Vp2r}MJjR2AqWRhPs5=fySt^VWmph%Dzej`-}f*jzV%qkQMGS`pOosJQ<)WU)t zG@25Qr3#Ltb0vb=svyGlNekwwf;HrI5-gz#t{_$_SW*=fQ)O9%M_d-Gg0I+Z<-(h! z3ihMFods)E1zjlFCGr@WY=$a03t=7YD!jv0K@E8ok@xW5QdN+q&)tM~u_}0sl9hsO zR0aJg*tRKecOz^a7zxGMOGJ=7!e4Md(&1?TS#tf%lSw}9^vMymz0-GaN>cr}9I z<>IU9buYmZZUGn3qqTx1-Ga+mhu(q}y9LwO9d&}G-GY-SSua?*Tkta`wP=H26>fpe z*6kx$m0R#0gRie(HEw}VUSq^@upsOfOkf@Q3C~Iv9M0bFFPNPy=t-JBn!r~mG%MXW`z8A&|>Fd}3EsMa|9 zR`3oQXD!iGl3Q5s@Z^^|hqkcMf<(r;f(B?#Ic-DmnzC z&hAgxE*d@zzfFX_qTO)X9zZx@MQ=nK*#j$4ws5;scdd(ZJwS5$Y8|EMT&Gd%n2G`5 zh;FZF0~*W`-HD=ngpnhK{pXe9;s1XB6Q{Mcb)!G~w2w<5~AHgl80;jgEK55x)puqOeVadXaV(4 zA-t-nQw!jI39l)tWE;*PysoGf!S3uw__U(!2t8*e;WLZAVZHVzd`{6a${axWf})2> zpGElMqT?w)oAAb>dofI$IfUDac9TAr@aCce*%$K&Zz(#Ab(v3iYtf^u;{w9ligvT? zLc+HfO=P_eBz$+#d4vxle6JN<$x0r4sKZ!vj{3kw9Pu1{=VEWrXdbd)Q9L z5cZ1BV?Qh>j947P208Xtlnpjv0#HlK`-MwO%^v2`8lZWd@mvX{Blq_^;<2k`OqG@D zXE>zx4XIYiW2lk3Q#FBmB}}Ncc_^74312`ZtXi#3HiN#j8WmRhY(q#|uZj4r5GlJA zT@Mc7-qV3!j&K2v%3G6wmv1@l zV3bJSLVPS;(fG4e*!e74JTFF7s&gJ5IL;U^1i~=K#xA`d9jQ*wn5z+UheM#e6(Oh2 z6wVJ&*Ofl-Ukrch{76L-LfvPO(rqL~H$@1eQt-EQH@ZaqMJl@!t$37Bd2(^t-e>^B z8G_Pfle3a@fR|s)`adaV*8s1S_3Wo)I*e>sW0ii0s8Zhve{_Fj{fp+|@lf-3V)KKH zW{rFMfqzVklw=3=0)AANviCL^dJxr9ZKvjA#*si+!#LQA za#d2JHB|cq&>nLcCTouX`TgR~*)XF=V;Qhh zSnhiWR!hCtYth!?zruqGgfKs9ggAw~RovWUd2G^Ogf=ajZ>io+X=6L0DNQw-$ zL&h+OR>>gD@@h(S=|Ict30$@DB&M_&nIc9ohD&xbm=1|voDmwE5jrFkD!rQ>x;pw3 z?ciS$f2!>m2$q*%7E&h(=XAJeb-M=Bs5(DNu_6&GsT>c=CZT9Iwq`7B%2-IVk?zY_ zU{zHc4=pdd2XizyY`z=WC}Q!?BKZt0mOjCuw=)_;tMQ*M%45*V-e&{sl%mlC!lJK; zWEF6$q#M2eTwJRHuG*$&ihVA{=0Qxi*yq~SsVGEy%uU7GlN7oHh0>R^-U<1-yJ}58 zh>02)XFfGm_oFYoOU3RXY){^$hT-t{jEb6eDr(xPs1@EHMa8?#P%%MN=vGbmp%)WE z71Grca5^`=uu4v*i;Ja5sW`rOrpV&3Nc1R?VQaI<64v#6DUy~VD>6mSH$}>lEh57r zFNtd_S-Wec&_pTpDht(cD!$g{%&S_GkY`=S>5qKcJTeo2Jb@F9y~db1w?EIb-f6 z(en_^m43xI@trXK5tfLR^I@_~Jo6pa>YorzKS!g!JhP(XC03KV+-xekp1oEjY@mF} z9E$GGD5?@g^%O1PAt9;{W=1@!ir7C{MX}dM#5j8YLVd$Th?9V7E5g{+Lc@%Z4&Pz; zkQw;s(ylBrQ;KNw<1$5Nnj&RqwTKM6bc#6hEeGM^oI-0dg%*c}u4bXdQn#a}P%(S_ z#2n{t%M?1XopUEvJPJh~ z%PE3Xbkk~&wJY*irpN$}oqyyMS)D2Jk9I}=ktuS?NEG>6is*oOI8)?nQ>1J;o9Jt4 zvkTB>Woxte9nyy1kA{F9Lq}NC@Tc0kV^&QMW<1(4GnP8AkR9V>^CFd8GFWi--q*l6 zmSS=X)O8NVlH38gQg%3St7P1Gi1!y0`qqW7`D+vXjfwP~9G?BfgqoVZKL?wp&Gi=p z3!#wa1_-t`V{V}6xq{|O*Rk+Xv7Z3*pKh9ez+{KUMtJ1H=kYNR*sv{I+_9w`@ApJ3~V5+B(dohBJmh!zR(7M^9@;!=|w2GKX%8 zg`?+Sw)|Z=MNZ8WxvO1~yD~+lG7k8`2z{YeZGXxX+0m}Zj<87St7A~)eW^K%p>fdj zpQD&=qxYp)1L}~zhW=<1@&2XSGJ+vV_d$Psl6_}U&pYve;3Z);!Sr>@}IbPk~B9~Cqhimv!A#U zDVUnS;QSr&$_Ir)S7n4EUMTb|UH(jshEN4uMimi=#gl;Y29fCrxWz+yLGM^Adu*kE^U<@#LDseJ-AsHJHuc{H+yf7-Fhf0rfbk!J2%xT z9mGZ-`8rxadzsS)F@|ybN8Tj+r`m+nbcWZ>ZUl;U6)IFiDUD2q3H2a zvY400?LyIW!lDwi%r*|Q?H*y(T7wzxL9zA|E89tK97=2!{F@Z)DHS`P1!em9TiD;F z*Rn}J+M`LIfneFI?4gfDt3N+%(oaOP4{)pGU&A0^R6wW9HHWL z=tN0IXy+gZHRK2l&ImPRggQ`YoCq~Yb>?S;#$|-Ar%&^9gig%}&Cduu*8-vAa)h>K zgpLb^x*f#MI$MNvvzNR=-B$}mUzR>cv9gybcXi$oaOrR~i*DbmwHkyho^^1?pBGLs zv&Hk;PGP~FQt-1(`@SNQvlc+CbQ1$EPd>5*)LfVLV^OCi!&ybqZWps{I`N`oUU%#E zIYp{+DPtKieRC-%v+sGZZdj_MwHcHNLeXvfD9oil`JoI|CRP21A!$NB>_FCd`rjTOTSGFOfeXPZ8Av@JiS}i{Rogm< z=?9aK;^t=R#1@eub^;3N2bGWFyt%rLxICzQB<7v~&bir743_|Z5LMfxXE{9Mw5`_9Jp}|KjZ7aeRq&{rP zJ{&q$Lk|SD{iW(hGJi0eb2ZAkA2(aJb$fUG>W$O$Cg_b*{f>}j{h>eBtWKVYpOJkb zA2lb>eFCw_J}{0AK{XpBY+)hs#CvQFBoh+n1O*tL_0-t&gq4 z>2>#$sL#0rj~k^mr<0C>GUvb=tLV5qh zPn>(TP8UT&KF^;!5>g4-tKH-Lx&6U_L?3lNC1ig#q4#IQGv`hKqu_v~vs?8>wPM_# zb$(U!)rxU{7WE}!$GAW1C_%g!_h+4mAc+|FXPu}Z$r$%%9b1s%824u#N04-k`?F3= zkn)%uVdh2(p}Hc*{aMEoPECyavre8MbumPY<-|p-Z;bo1PQGxOW89y`CoSpQuo(Ae zoeqMu#JE4}_=1d%aevk+5M+Fe`?F3`kV!G_&pL&Iw8pqU>l6txBgXw%ClKU-824wL zVnOD{xIgQ3jIgB^Cb>WBq=aLsB=={X62WYhjIe#uf_W;*{aL4zUr&6$uD#`s>r@KhDsU-Jjohsoyu9DoJb$UcNT%S@&?$0_sg=e`* z?$0{ag4u48`?F4sV4j=g{;bnWu!NiB{;X3gSkg^$f7aKNI{k!aC6nBrb@~ft zCzITtb(#e8l1c8*Is*htB$M2qbp{HSOeP1j4ub?MPA0iO>og0NPA0iO>kJmGJei!w z)*T{PMKa0#S!bwVRmmjxXPse@pD}uBl1c8*I(rFkd@{-XS!cLllafj9&pLYx)|yOm zf7WRc4EwV(GcY1#x~SGT`&RG{8fPyFo~LoPMj>Y??9YmVlR^UaXMOI^IwK;R5IjXZ zw6S9h<9i9DovXzvj`t&SjUaaHfhdq`1@U57Nwu6Uf+PZSXd}m6GBAfW5-EiWpi0OQehGbq zUxcqpxnp~R0of^sQC5!dOXwr~BKiowX_`OlBJ!WckCl)k{1S46Ut$g@c=JRb;kR7N z9(o^Tr@NGuBm5Hj2)~Fv!tY9OJL0syJP4%zMkm&C7PnGQg5aJ)r|r~W{JN*nsFTvC z_0hpt>LJq4q5-^`^LPGt&g1y)xZSIpng<=+=Jxt(eca~u8VTDeZgYG62zx1Rb9?;> zCsN$z_L>MMQ{3kE1`sYzahuy4NI0G1Hn%s3aCwT`++H)`iWIlGy}^X5QrzbDh7hhv z-Q5CsDB-#kx4FGxg!`tr&F$?)xGBYLZf`i@<`lQNy}bz!OL3dqYa!f{;x@N8g7D}R zx4FHMgvY12&FzgMJSoL(Zf`W<))cq7y)lGmq`1xPjU{|Qird`YIKp#N+~)Si6JD6& zHn%r{@S@Z~)IX8%;VEu&d;1Vxn&LLMH;M4F6t}s($%I#=xXtZNA-pQpsRi)9gx91h z*@iO+uS;>8+uM)uX(?`Vdou~2nc_CLw?E-?QrzbD4j_C%ird`YEW#J3xXta&CcH7l zZEkN4;kFdFxxKlBH>bGG?ad>+C3P666A?r5tKcf`@{qeogw8r;U`%hLOe#qKS|ZPmp}j~Q(#X>?n4 zw$h_;{+l$rZFjQLGa)GrZ>uyU!IP%9RS7bOfl1@rDuqQvVAA}y>ImL+pwa`jDz?%S zTF2mZY;7?>wmY;+H`uDkN>9YmxY7}}Duj*cxHZ<2NfaE9g3=p!y~#??7&RVi>zbPn zbj&nMP19%`u1|o`>4HXZBG2enOG$^>$^izRbeXMecmONXY52mG)v0bG<`d~RTj3xj zs*#?v72eR_cmFBKn$t$mWTpG!me5wi{~E{Lc9$9ylmIW6r}IcFm})MY98G_qh3An@ z50fOFV|NIwPJbb~NYrs}tMo=uH@`DPwL0nF^1nlc6}gGRhfxjGEggj=n@)@fRIL^^rT%<~W2HRGr zzLBy0JMzkx0JkDvvg~=Z+1XZ?@rqgY4oRB?98R#Unb*-SHcOR014>d|K$5P=h23DI z>$FukZE-k=U1tEg=>lCMf&V%t)y2o)|CBcox-MZ0Sg9o8OX-oFnoAoSY0j(o0F(qh zxsDpNCpTn0*(#nu8GcTmW+nzc)p3pdxLI5>3a-rREV zOFP_P%DvdG9M)cXHJfr@SHpwYjf!RZY{96FEw^!7 zY4tr4aNZDBq0gE4L3#+6SKOxoPYBEJ9kUu`HSe}&khDXM^6JCwn(%yuRnd44=Yl&q zyCG8rE;oKphwn0kYOA8(x48Sx|4DH?%Pn<(E|6ILcr%s%i{fC;Avc`{eTi#1o`q`l z8;#<~<9L@8`S}PCCU@TRcdeIUay=}$m@tfmEUufvdKr0O3U>0+aqtwDU@ z%zME37%<~URO(^_xK-vo;xseuVJ=K?7qvfu{sQq?5?Z!{I@nSkLfT?VRhafLS+Cx0 z1%v<2!(DLuPe(-cCm(QEi+qUOuSi7Mt&xHIlVLt6p}zxh1Sw{9PJa$!wNUhjB=k~R zH2ZM~vz`}zhQci5EkhA!K7L}%Vt5*W{xXB7vl#MB7Q!nM#$^JhhE{Zk&|pC_ zL*2Up86rs3Na{k?P|r~qPEpobcOy0byO2=+mf(2&bIAAiN4zcnuPAA+e*<8j{}j^o z`(K7+z%b)LtoCD|8*U4(dW?RC@n6N?1~IZ)h>XpRXxc`25fgX`kp1ZE-w;ZbM<6eK z2O^$Ogdc%P^---z7Dja~@{uD_3fI(6wIZ!uk)Ldlv=|fe!MgL%J?%}}<+|Uc+)T=Vx0lGqtE@YSCZOMedKs7$O1oTtavKsh6aE|?Ze$v+CKIw=p+`i|y%8d+;-yTq!S z>eE#0#zaa)u_F|-<&;#JNR9w*q(4U04%T|aGem3g&!LthY8^xk1)h~pE0%(bjn%_acZ!d74sPX%WU1pt;zp3oxzRm<U!W0yEadW=DUeD6O2F0JU8$#8e8BsyG$HnbB#Cf(6}+iF|P4K;5zpW zMYA37+#OEtKXP;bsT7`w(26#m<8wUkDaOaR#(R1oZ!C6=_ZH1fG){F1tpgZY?Pz?S zYaBZg@Vv$~K2MCl?HZrwVW|J*8lNwk;jw>dJbeno^1HNfj4m+DJ+q7&mc;L3xHS|r zq?P>c`3@5Ef$Na`A%@o_8aC3urDh3gqhV-!9PYKf5|bg`B2*p}ntI+s>C3-^ta#K=en})t^UyMvHz0QbYcz|1au~7X7OspD~qf zP2(GhFR8`%0?*z>FUE@em&Bz(jFa^EaK6^xtiCL)+l7PV>^|}s($qS42nP>C6Lj*H zLeRU$_b?S6f~aLot8ga)YcAE3fNf~>{C7Xf|Ns8GkM_Hca^_D?cs&AF{G7hvO{Mek z$IGckfUhYY0FWeueUg3*7PJTZJzykPn0I?7qL@PyftmMs*5Qv4;>NhSK@cm%jd63M zAOWs}@xfm~LMhxBH#Z5An!=57bF(0sA#RMD_sL6!(GWMr%`JlDg)(U1ei183;l{Z6 zfH)8{yc@v^-Uw&R2R(e|7gkoswLp_(V?0SV#*<`YJV|eio7@<;x?Bkv$addDS=ks* z(i`I@H^!}=TQq;*H{{F4c#>?4C&|WmlHM3MxiM}HeMQTTiJ%E4$;Nn+Y>X%Ajd7D3 zAulf`Hw?z5owRXbD8|{dE0=>RX7yB)0<=ZF9C(!#P%D1kS zpOPq_K1o~qM@}5AfR$*U)LLJ68bu=d72y{ejk{%hLQnKpVU(CF0^6)sUE!Md3C7IkFys^^bCF$uBylUT(8 z%h6Qy!yjj!b|&g?zPRC6+K+rzX~>)p862vWJ9d*~AhYz1)j~A_cWygp_H`vx z3dKqbu`&`~X*(@!J4G^uYbkn*>2tkabmbCZ1J!R##qV6nlbC~EI5kn6#LbLyrW9JSRk-A>Q z@QQ*&>UujhBQKRIZ2oA~qH>k1vbK)OT36*uI1g@{84#9h0sLYb7Zgw@Ae`!O59%ZdGAhgyC}vPhj|+S0b+T}#rJTn- zk&qxYVYwb4Ma1TYKV>>$;VcUCYX)XSki|ywmkf5cS&5>F;C=E_D4EoR_sLU7w?fU< z?~|t{yicC`7w?n5$iDteB&FU&zKu@!kGFdMKyvCd38_Lj2SZ@S2MCrr%_@TRaOTg% zT1vyu7MU8zWc{J36v~~1u|(d*Y^@mjHo%R{YnT-Gj4*-2e-*z2YI-d{NU@sIb_3*3 z@!O?%sxCrY$LDwuUQitePS6sMY&d9|le098*fq2rO%OWb3PoL^J+#zJgmjU$@*=?s zW~P}my!J>iYYRC+Dgl7tWkvO!U9`;W#vw&t^r&@mz+e0(sYw<&_nSsJTEoQRm z>YLhvQM2d6kiTUc}l>aZ=wa_z>VmbSBjf$tb{(q~gDdXZlG!nqbU>kt^#BO=ay{ z;u_O^%oZBU{DgVWLFEFB|0>RzcGhtYBstQk_q%Gjg8wXPk4r5VH66%lu3AeGt2fng zy|v)&fg71T{HZ3GTQTf?AgOV9%~?qwCYXd(k6f7{O%kPXH1ZR(pz+`|;H3<{#=8qn zZH-?}{47>@jc+4fSj+z$xRGbEx5(T|vtLUNT8k@O(_|ghRK_bj9m>*rzY%Ae0yi>e zu~7Y}T27nm4>euS{g%^uyU+^1IO+&p=?X<%q34*7h6zIVQ0N2NZ)nj0*6OVk>Xab# z5``{d^gFpi61WN0(sS(RnepEtlr@+6)~}fkgzMVJ2|D?nMy5X<>}A$gSE&lKm#mIV zewkQaS61>JT_vwq;*LCVilZ8NqwSqQSV>TlKr|Aid9G3;SLs>? z^Fp*dCr@WnNdj@9C_U;bUFa$uVQ@zzC{3Y~1Y(3J{pc! znNA>9xk@{GLFox^J2y`UMTvoULX<|jN>8{-=dzp*C!~~up+*Pduqdr{l@7Z~8B8gF z!g2!9iAoZPfb5&R=PCt!PRPg5k+KA(IaHEBl!;PWjgzM`S1H~bN&^yIxP-eM&1uL}S1@;w#LVx|3uC?1ER?yKID)bnPcyU3*BgM!x(&*=jEeX;ZaaJ)@O z135C+r0M2j`kydea1%3yeX(#X!2N$&~Z^{CCfyoLXTSYyMRB8O(< zM(lCA<3USu9;M{tu#cg#{Oo-BFBAXqa$?AdNtT~6$(`-Eo+FZNfE$@ZXso3egFMuF z;!lo*vZbh@zmmud2VJ(;B1Z9NEa~fKfZ{dZ!Gfe@4nb;`E`<^796o|SMkX4!WmGr9 zuRi>LM?bv~S%d8H-_4!=`-Mo|hlN}x)O}buUMPtV3+)GzfBm3vD8zlM@Z%@+5dH_2 z;|F_&-S9{5KzjwiU*3VX0}SU5G{SNR+HaJW&BZ_6f%XX)DT~c+W-11zFuz7_b~l-_ z5$4y(%}WKbA{~2OV3<7w2}Jlcasg_)K3-fE_=5Wzjq(dGb#l8fpB5wbhfbmBvq4TfGSf()bB$ zs~_P|+EGNw8b~-5t1bl78oZSDqSnxcm}8{NkN_WLJfqo->4f3dls@<+oIfX8y{h^X9 zgmQVCrJ5?}UI^+W(|Oe=-d}kK6hN>)1FCsnQT1j;`2N}Grz8g}MfWw}$N|eaJsI7E zdPH&%7Ui`}SCk(SWv0vPM%DAO?6S_q(?b!==f$Jy?_x0%)I6d7i)r80*X5i>T6)j# zfMSd0o?rSu7?Go@3R>FZGK|!D)9LY1+*N^Ya*pHiak1YKI6P)eO23w3Y#OW%0OOZJ zAzPGV9xBu0lk}j<*rA7ILZ7-qytY)~e6gFH>32e)Oeapf@>I1Ip)yIXHH7lU(|%i%A()6-pySq7EA=$; zd2g+nXubmWA{3ym5i_ay5fvwj;wDxnnTkvn$(LB2(s`|}T4An+nl8LGbV}4#h#Eqk z&#O_@V;s8WpSFL%b?h+_JnhLg8j@c6j?-(fpdYrEiy!}0GcJc09P}tcL6mbJYuJAU60C&SwBp0 zw9eQ>om(lyR9X|;48(TiQi@1tw3#u6NOo_EJi;>JKju}%=R!=kjz`Hj$mF7|m)08J zZv4q*y~Hbvc}ypdmJH*nWY)_WoOI}V`SAc9^qs=lZ6U!8Y*7Yv+td)VBC z0+xep+hjM^2=Qf2bGzJ{=1Jz0?H$6fLLBMMM}*-^?$isMox%x(_@<=!sBnTf2-ggC zRyd)M>_I;!oG>`eQCo$R8j_vn$Ayy)&Y6b!gm5xLvbp@EaI!<6z)$lj;Y35SpS(vn zc}5biMlzo^k3k{@yT-iYI-Ia;98TCZ4kzpyhyP;N_<882qnb--u}GZFua(u zgUC0+9R~v*Lf8|&1~txGP1p)w%XCH(_8Ddskuf8n8>tU->Pe7|Aly~)k%)TgH$o(M zdM=tcx$RM%dH;Ai+j}~mf_JE;pRnmw3G}{@&qSd+@@9u~%CrVKO>7P|>TaZeUI(sJIN~GaDgv zG|UbcC8Sbib94j}ITt7BSRF1dE7DKKX^y6-pvgw2#da3C2gR&@+lqeg5DH4gkHUa1 z!rxegZ(k1dWmFOM8#(_1nk7@+V_fa#UIBDF>Vp!r-aK}!GB)q5tZ;ygvp3Z$MVp3j#j0o#Ei25bU3{U~;)m}2*;;=HdcD#~|?GSj<& z*?dqf?|^Sbi_nX1dJo#^={r0glrD}Jv)#l{yeM|lMTI9}w2Ln~E;eIcUsN2I%CE#v z&N{yv%fX38_Uz-E_5xw_h2ZUBZO_P;9ieo97vxFg?j^H{)$US78i|LO2 z3g&dWJZD%cN?|HpD@p}WRPhQ-1hvw&wvrC0=}dPvolLKxU3`xN|8%|T<*KZ)RdV+;k84cUtMA1B zApL(ZA)RYor3dSz^Pro~HmdARP}%LO?5(4+*HxKGm1ML&r`-SLsw7)>z1l$6lCAS0 z6Pk~ooE226CrUa5u_Px1^=u^_f_jz{g1NN8&JKe3kDNvAQ=(Izg| zCVCk;Z<77Cm}o5~4#R}5$#08^u~5qG$%Nh(BTHQ)Z`(%lMziq#E)N;*2RE-3bE5GB z#Jknt!~=n5^_H%fnHxh(k{itBp~}=OdQs z;fRw+=0ij4C$)fN{$N<(0A;L!cb3r{D^z5t^;eW)>N!$i!~aGZkWsSNZ~6BS87)Y_zlCCB1PKLtb5C`wAgO`#h>Q~? zGtj$h55pX<4#0BMUqS;Dgj444NMxcQ6@Gq6(wrnnBY*P}Ad>~D^3S5!6hT_}cN3W^ zNNfKP>P!=)U7!cgoK9DVkxqv|XCgBs3ta;pInSRdNH_linyyhE#J-1rN;!~OssKo} zUzP^5RR~Cp|8y}pbHwygBWWGt6KsSZ<|6ra0Lg~;1;BE-6O$AoZeaTtVDCYP!&HQ0 z8cBY@UcQ?mI!sbHxD2P593~serayDlMkF9>BD_S?SX}~6SPl;<>`I#qHxqejY!v?Bhp% z9zU||<3|A=KeFuOM}8hZvh3qWejY!v?Bhp%9zU||<400dmVNxl&*Mjyef-GJ<42Z# z{K$_cXILjaERG64j~`j~@gqNvAK}?L1J}aO<42Z#{K(JMo`nhvPCGx3AK_(tigobw z_>pBFKk{?wXPG8VclGo5k!2r0^7HtSWgkEC^Z1cvA3ySQQE1u6kNiA-WZB1${5*bS z*~gFkTp?OPF+I-D<42Z#{7A>#K7Qoqa?wf=vAKR8KeFuOM}8hZvYg{bAs#=nvd!;M zG^xfA^puw=wH3N)i#ecFOBGMMq87%x1(;u{clUNM0x@I{pFD+h#&!5P0n$OlLj9WQ zfLW_R_pF?ob+`Pz65Q+$Xsi^fhVc=lsvo40T@!M_OF0`@q@PpQGDY0dzgfnFX3F5gKtd9vKY zcUGY;uLfHB(k^r|VC0P;!+tezC=7e@g<@}+@Ys~6=sInVTPBu$mDh_VGI!qH+G;<< z7FPzD-IqYz7X{J0v^{!t!j^yhC_G`q z#**Rlbtbbf;ec;9Rr?VR`o3q%!{-4G`8XVyBM7JZqJ$?A&h+u1x;dF})VG{TO(9(1 z;~NL&RKhXeM%tN1xXd>UWn@k#T;aQk@C?F@{By=nLC?%w;TwbWa1FpD{+w0}a2ahp zM}?Zr;F{Oc{vzrIQ_Uq782&Lc4Ra|Wd>{&$yPlb_eE*=}GFtTcUSs}mAnf;X(rPZJ zfq;*z9P`Ep@EbCc<^3=7CW_wvC`h;w%3ON`6PP+;f?=);G6i2X`FGP)s(RGr#mgfn$&y9r1AqsGI#$C*Nbe++{B z1i_f^IGuZnB4z$br0=11Cd{@I>dd2{&&MI^jVkk%vEb>lewBGMeEYyL@E^pBHfbTNahqtJj`kOfLuneS)7 zOr#0yVoMfQRAd}}JrUqITDb#4R%8db-bf~XeUVKN_eVy+L?9A_Oj6`w$Oj{=$nvJSEb*GM3fRYyIM^(Hh} zg;yfS(uzI?L85%Fk$oQ(p3GVXQqF5gRt@m5_`m3gYnbpCcZMUY(P=d^$RT{uRp?v! zCDK76I1wmpHw1^bIT`r}Xk?w|Bn+gB0d}gte3ODlf_%c0#BR{Dt*zO7X6Ovd0;kZ|!euu_BKQpwi>y-ZN#RipEW;z~|WGIRb< zVzZ}CGL)H2t0#aXx716vZoqFI+el7pTCWPR1*}K9EkMK7pkPNwv0gL_G0IIB+i_?f zBI^>Mx$LUcS)t>=ClZ%$d$trq*8?wl8rFKYlQbE-WQ+Yt&Rwh_1576O4g@Aia;vz# zz%UP#Fz^u53Si*dm=BJ?c4UugD-?dI^L&Z)R@ZuvdHU_E9hN%)<=+LZ0E?+2- z&!rfpdFN?1Sh!=O4$sJWnn}$PTOA-rpH)1>^*?=@Ek2!xMD(Xw=ZH_kT_>&(#f5aD z542As9{h-Ii9UN&ItDa;`{z$i)u0WR-N`b)-=n%Bt#YZ<_e-TNpFJGKcE6__o|H>v zzF#VHeN^NJq#_$-Khfzq9yNu!(xT=FN9)e!1;$#(I-qA*yHw+LvHflmqykKrVpD zb-4Y*5v@E2l%}A-1oQrYW8xmO6-^8WZ4lTMeF4m;Tmxov7sR9+**{Sywn7Ww(pIrw4^zS_#I(QXhJ$DpXH}ijWgx0pwb26)nK8U>6hT z2Z5vj@~|af7{4Md-TCCpSGLc+9&D*71%ht^o;v~^VUfk=-)9+6G~k%Uj&Bt)X`#iE z$}!*)`V&ZwGf&mZ+5uN3^q1WLfT6z;fDTsc^X;=Voh{zGC87U2#TfeBj4Jgxob}M{FMk8u-x*0Yu=fj-jsG4(sf|Lp zG)f|veDDWOvwFNE9oSN!5`wRg z9{j`o+veX8atXm^FdI4y$6!b%g#Lex@tW~fX-dl8+&CaLl3h|jFW z_Y;>MDoY~Z#}cLq^O-G@?t@*;~OImuz5%)0B$wk;om@U`F_%?vOXu<#>24vx4sh zuCwuiSW1GK+$nQ0N82m>zQ840FG{Db`V{|Q`_vpM(J%4Y{8{y4q0}H#Cn3P%>Lvm` zQBdR{yQP^I>g-aDvl@p7Exhx~7Pd`dISO+1WlULz< z@K$Qqqf%(2f$Q3&@wMc$Poy&s&1@WJa(SOqmgU zMSwE`-yLXjj;PEC&IAP=#tx`6zr_auu=<}1BTg`W0F7g9Z<{s?a-oZKt(`11r%cI- zfkWx5YNbm%+0wP84@o0u0;6y;z{a;65(7X}@iQ=wkjD2%fQ|18IH(KPNTT2x;8K_> zNd}<4O@F2pGl?xz^()>0L+sP|w9CoBZqV4FWZE<&Ub-JNR)m~X%Vns$REE0x7%+OtI-)*`#)jBU_Lc+p^=G*tdf#iIoHw54yRd?6mr15N zBqq76+Ahnea#>Q@u*^WWyED)odYD5}HiSjaBVxwL=}Hf~NEE+7rg#`c#ox`eqj-Tt z@eMbM7l~lnopzmt_9mqZ0Zb5gEgLd7jRisY5WP`4OnQ4 zFSZY*UlC}I)GNAzmL3Pt4nP%vhK@vKA5eOMQaKdB9s=Viv(_-0%>*Dr28B6jyz&^AIwIHyN_8tLk;X2$nb{_!M^US;Wr*8|2R6YavQ}8NZ1yFf6{vQA^ z5dd1mZ+4YjBWYpw>D0?IB*=QX)pi0^xVQkRatZvbO=Df{0h(P`U1g)}jUhPUiAwj9%`|g4xKHxW6W$_1&SfDE0A9@w!~<;$3bI*14_HhM0r9B?m^% zS&Z3gX#!giRK9$NO9^xBwsNbqmAg@Dy2n`~f_sS5!#kv{oV6Y(T)#{1{~8V~_A;$% z{08EZVU6zs-ee}k;{hh)S=lX@Gsbv;^X20-CGN+isfF$VE?Hh1;Eb{n@aAmEo=Z?$ zSrzvNCgz|j`Vrv~U{?GN=4Jvv0@zRBa{xITu%@B>Ss4)Ytn6G_h`*JfR#pJ$N}xG_ ztpwTu_>sT`06ZJnw<9%f%yman80J)4_K_>Q*|oN`(q{iB3(bjjqJDSWTW!GGw*x2W z?LyFEFkDdspaX!0jzr}Rp!5c%@^%0Z5V!}x9stEvu)>b~E%Cx`HFLn|1O{8pXTs2v zs8OIfy}6!RK@!1ZRg8ldg(3A`U|kem90F}0QmEJu;0S@20OZ~a;0XY&0JNZd<+s54 z0;~K5zykzM0XPnzxvZdJw>9lP=)0VDxq?1#696vb9sr=%HTxlEF&dlny5?s`Bx5sO z)Wi2hm)d7ZzYcs#TU^i&249Bn=>#}@Ukjk2BPzr9Cb3a`1a(=qQc=ZNEbUlgdWyEP zn^BBw$^sm1GKDSknBtq@7Q_ zWO@-9tjAq;)h?MM{O7=Bcz6mLGU4fzte_&jy>%br<1nPRuK)%9Jz+aj07--fGrgWp zAAATbE)JRrtA|Z)Bes;CXznb{xwfs+r|BbQK`gc44yn8L~dk}rq2z2s8 zl9@Bl${tOJQF|y~Ou-~aI>BL5EFB=q;i6i$J*7Z`J?#S7mwZdUT_C+7*3e-{fm{uW zU4ms$2+;8Uokmf}esU>+a7uj94@PL~aTfF9L81 zKz=>pa`jof0W`MB7D7vyo4f=D+eKGfcou}ewS`A$VS80n15O*nfzordv{~~GhzuHu z%N^oA5PirGiT60fk3bv>B0GyG9mC3Lp4ZvpZ)+)4p&_;2BWy!#44_LtJAS zrMqpSwBtBZ4X_W%N9C42$ixl9Y}YgNe19tFdcHr?$KhAc_iNnw{v3B^VMCl*%++%v z&i6HF0*gvpKj|-rPhSJqlaAA(=>40$ z%)#J+u0i$Zgj~riVK7*ntZQrqF_{jT!u^K0%qcWJavO##=J+DGG9V076@jhrrJ^-x z+rX@71mG}mY43zYLx&-C z@?B6c266}NTPR3VU*r?v6BKrcB0P8pg;5pyL6lYDSr}#|!0=Mkq>mn#O7X_9JIr(O zt#574895BT#AIfAB_!Y`0)9^n5oTXpEIXCYAOXEsSt2uI)w2mVLdr!;^_F~5S@PAu zHwxNkGGFHOyt^AZjI+2=mp(mzwF;chYh5-yPk`dP?QAZEK3bol8v1PA%)1*pL|Zq@ z(am&qjmDFhf%jy6t163G^DuipD7%$aGQPYom*~|Wfon~Y+9QSfBk^d)2C*S|NH!en z%hd6ZY(}zk{%2AnicYBoXV!wFYC)v+NfMt?8I2nZfg?}r^=ThEGLz2@pY{kma-6`d zu2|F#0y_^mH*y-$`%OXC_c$WZOUA|vO6~f-Imr6{3Bs?3%KL&$>J)HY-yaag0gnLZ zqU6CK^S7L+>`HD8atr8b;w;REf@}^S0d0Oy3}%tuG*;?2g8?L1u?Mumod9+KXbGSN zxs|U1yAW9A0RXcI90G7Zfx`gSJ__I$0NV(h0+7E8KoW8|j6f!U^B)6H1mJxD4OmFy z`r7Zf{hD-n36i`3w2IyM-xfey0D5Y-A7TiAp;CfRQH)c&i4^0rnvDcFwfiT4hK{IA z?Lv=3NvE{ApPf>R;O9>@jKTzab5SM;%P>2=l0^+hryi5`r1iZ-%)X>3V z&a<+n*czY*xzB88SJoJ%eL&;Pk&|CO;qk1T#JtUi#g-3x;pf$2Z4$&5E4uv$5{vR- z>op-hkDrWWwA+g%oqLGO%3t)*gU!IN-n6jsUChd329SMFRWn^MapQ|8KT z3v)-IT`muCylM9o^Nh|$qr-qUnaNe)O(Cuc&6W2qlxJ?p{3Y;6Sx$As}!~Y&&ob}1GjYwi{E?((`;G7XuK8i59!s}DLi#Ek$7e`@HUdz z4&b>XF~Do|L$Zs9xa`2Qz&jCdo5EE?W8lSsLAZMo&Fy4;<{Z_(5A$CG&M0uWv&-ui z9NJRQ_{gEmrm>WEgT`m(orTsLPpMfWSPU#Hqxci>xx}j$elNVm?*YqP*$oRr<1+o= z^$M-ag8(+C5FA>)vzB8U70uEv;L|G8Vu~`}V{l>6TP|QG?~({lg4{MKxtfM{$;GAQ zE=KNk$*oP{S(Nd>QF3T|>m-;D3l8*dDY>tK>XO?acD>KpCAYC|$=xd@*A!e`avHya z__Cq!YLhk&TuMr#Z;&k|rSap$^H|WEQ%d1m;q!>&V&sG+wYlQYC3OfKZoNOFIqpth z@nM^BKp47MO9tzZ|4V3c_QDQ%dvI8|3V~ukS-3d8Do349jAIl{LK z7pPkBha&_(!(txJovE7irwAH;k=lT|PT-$*k6#*afkIt??JXR`>l zLolMKTxd$U;d=)UKwZ+mv#f=F}u#P z)92?u=tK?1++>RfrCMIY5-SX|2N(tExVY2e47(l`h1mn#fkbr0C=tQO=n#jg(y(sQ zlW@O|p~}K+(VtLQ9tM<$*#wUPmmd6Cn3o>Be|UMN-RCC1V0X=}0cf$e9I*l6M;x)M z9I?G3#to*AAO_bkRE9sG7&n-Vy#TnulnKBno(2)treDBkuJy3Tc7Gc77U z2e<@nrX94J;vjTSqs(rWM5OMPW`jnj#o-#eAdQwD)|iTjESn&~n{`$E@2CzkPB)CO znC2q|{V29F!oKq^*Mr8Q_<`d=lZahxx+iWLVYyi^!ru{mKC1B@z+)?zQH{Syd=zU- zl~_-E31Mn(d)ptL)D7_paM)n^YPp?@;^%GJ!UWn|ps@>HRZA;MAEsMr@kIbwPLB#x zH)Kyug;6>IjK4a>RDr_T$xyv2IYzdOoA4i3H#SCWxNvwa!WnlnIIpKPuSYl&8x33+ z)?1=@A8;wGx9w2X=n40}2q)ZskSmjvzen`O^|)dt#Yxr}B!q@$Pqd@}SB}CcfPb7T zTp?^J>LSuOD<~zvsV5@nc5Ft=l4>{}NoA4qA8aEZyj4c>k($bU)PLFWJ3|CJ0~fzD zQ*{y7i1ELuP#qbiD%U#771rNxVq0$}*89VnJ`B=4m4`uQxv8BcimRN|TH2{i(ot!Z zs-sdZ*=FK%V7PAmV3wHY6`JGbTU5B&aQ|O1c(&yQ+m?RpjOHv^{EtUKEnh*Ot(JSavxML$;yAkX??z>qMpe8BX37R2xoC*-Y%TYd$-S*M#rvojyCwtLze*nqHtSU08h5ZUUo`GRv$vGEYG%w8bd2f@<9cE*Al51I67yV-LW0 zParQn024Qg2Y|ya;GzHEOah0IdBaXfma}dHDw+*8N9f|k0NAyDW2cAR+|pY><8c#? zM$+2mF_Wv@O0YpOc<-O(1aGwjZxphxgLk_~u3$JAtu+!nbLCdOxV^)U&K4|gdCL0E zG`5JAZ$X>SL(|w=h7lVz6BE0*g!Wd!x%k!i)5NFM;$IWz%u2IQ0M(&iYll9*P|mlo z4yCi`ccpn+pek|~rQ~e@+(l_kfV(II07#3YsO+N51_iUO5SaWI#DBFr?QEE6MH8*4 z-ioTCMz*Nkqt$oR-NJpaodc z@7qdf-=f5b{Ge&NYjKJx4DVQH&Xb<%)B<)2H7Qz&9Y=-m|2(>|QnV9JZFS&?)1#Iq zh03xNz%4pf4SbMP(mY?v2yc6fi{z};98aJTE6qwhcVJIQcbPAIg;bX;h*H#v9hp4Z zH~eMH*XdK zWzRK5m}`ll-BG4aHAz;^n$INbnf z6E34qW^r*Vo%0k_w?D)gbLEzW5>c*|E!c6|ibT{|C(}g?Ub`2f_l~1%R65^F#`F%G z_xPjY>*;}P{byuzcrh!0WNq7O?GeW(KQuY8!m#@R&s z00Vq&Ud7k8we#In(tJo<;Is28ap^7Kt(y$z)^LmLg>Xua*5L4Yc6*o@`wof^LzKp% z|3J(bq?Y2KXJuDT?=*55cs%c|v9-ip!I$3!hV$I5vN`?vgBXgvbvBE#{(Hc1C{APk zgRCIjFl?Po#~A}wpVrx|lk3T4nVoIN>m%I;1oy z|HYP<^+H|wBzW^5_6z@tc;;Uby9@C@JYgwL5LR!!UySOsFChch{)d`WK<16j-`?Ft zzVusy4?$d-M3H{nxyQlr%1ToBHh=q)%jhWO1zHTD6 zn_`s!&;A1Wu!?ymgY(rkG;W-|sS3o>9w2hrF2pw6_G>c_+%{|(#@SCbhfE1&_&$o3 z;Up?i=AJVkQ$`s+ywNgDq0M}djJ7L~H(ZUzEb{RFU*6%}KSgjjfEETr!uLMc_Pv3{G`x12BH=nyf85bBoA%EnCIQw;H zDDfR<`;A{O^Pnv0_`s<+>yWx zFLR6!ansOx7}|`#D&80Q(}t>q^Atn_ovXpZ7mUF3nv3tkvypR2>87p_$}1>aKN{>f zwGj9tA0Vj_NNco%Hyr^8=pr1TkqnR4NSpuovH@dfB*0F4D97T6FB@^*n;KU^1BYrDYsLc3=n z!szLQN@$q&Cv3mF5R{8Mkz&7~u8YC6^zD7Tq19}rHGG$%d_3om1m#Sv;k^wN)3b*% zXI)1d`h9r&f@;%#!QFnH-Tt6$;Ru|Wx0)hehVNK4{B6d{Z}`px-D*y<<@=g+`5a1D z!_P+iE&c~K;O9KU(*q7zE$#>6SzC=Lv^Nj{e=Fdr07Oa|p7}86J?~dglYRv_&U>Bp zE!e@=q3vC2QPc>IrO-0@T(cGYaX6401n~uNSi|t%Br1X6IYd?n5=!P|!F!8{r6y0F zIn(g25+prXL2I`Pl9@bnxZ%Cs;+UD8Jae|;y+h!f>?o%BsGwcXGA_nXT?M#dizL0|4+7a4iN9em^IQxX? zWCn|}T_h`biV=EJhbtLZ8F=>y9Mz%I2=0Y!hDPi2=K1_+kHKA7#d!+^Ml)cA%K=%k z?#0KAypMtsvQl~@2C9`}>2)1p1lSE@KNyl>ISHgW(IgFw(hO`%5T< zvEumIL;J@e8k+4b)gMXg!4Xj3QbFP0WLwI3ivoEq0Yfy z3CdV7jeK$#6y%x*0`qXCCc6A|>B@?SGup!?(WUUDj27b0!hnwaNa0-JB(eq~68W)e zgOkV_Nn|xRI+0$gGg9)JO)Bv4Q>RtDj9I%yY;A>LP*UM7H2FJ$vbInX<6H3*`g5ln z1TD$$uShl=$&Q$#{7T6UYBvmEQU$MzA0g!h#jn!vAA3|4Cl=wj0L~kLcfs9Kjt0P` zkb9;br*|)kUeY1dz>g@cai57xAYylyZLbE27s32F+V83dVN{|$5V}S=D=7aVbJ%6| z2xk&Ghq|4}3IEZO>_K@BJ|MAoVW=g-MU!A3L;N)iEw-oB_`QFW90fMiEdb>i0 zD0Ipb8-;^!*gR430SH>88dVC^a@f8Gsi!4TN|}sC;GcN%|86z#i~aGbDDz|JrPRfN zz)Zk+B#Y|l_sjtNB;gcabOPWz2&ek$6Yfthuk*K`2KW^19{25<0{COnTYC460URTI zUf=}nloKxTjiK%bxq!!e?;H!*B7Kv0#W=uk63z>(r+fk7qy7TIR}%io|NV5plbP19 z{xInEpP#5ZktRu~s@OiP_JL{qfUFs@2?)h-H0W66XdAf60k%3oA2>M(WfXe{0PA~h zcsv%Th}s-jWNmC_#J0g>8p`d0)YwRG*F3Me6ScyKt)8S+V)p_N!DEv(<*8aDF@#3E zY3=}50$@t94GyIY#UPZ`07Uo$1XqCX0g#p32q7SlgW|RQYX_1DEwXvJTWp|Rtu(EbQu|paaXBPfQeZBM(;DrZRGW| zWI*6XNZbTGzXsyt5Hs|&ejKw8o<5x?-7Wa()|=3(Xt<~vhOgo~?HQcHRdT+f_Oda0 zO?*!`cE)&2ywqEqN_foz5GsG92Dg7eh*1J_@ej%cZA4TKnArqeff}ncM?v93t$>}j zm<*l0v1Qe01GGB&@H+X0khrrGNHB@6s`1tIVjY)BUUNN)xADCff$)H~f$v7d8gr_t zcCrb1&3i%cvpwGj3o;&KFZB#o*2iuao9s6lzd^s>*BMzu0*>_<>TlZfI0>JTP{Ro; zvPw73q79o6CE=z7iA)kE)34h&-SL`b$XnwTOl7_Hd^L?8BEj~2L=!m5O!PpZIF3F= zfhRhEf(0~eHmN`&R@YuhWC?6YfelBiM@Yg_Ow* zrV_r04rB*Q2w%)76a-fh?nZhn_$uK`NUv`sCBX^o{BV%bPV^EdWR9%*FoCcvB2-_( z5m`g1eh&jql_iAgPdHsx5NZJ7Oj$svft1gd^@AEjI7gNb>M}YSmDPh9OegYW@t}qf zE;N$H!n=?fJ&O+28x2p#l3@g=vqFz!4y<4im})$Y291>I%+9@Y(W7J|k}AFn%&=(Q zOYn(L;I=5=%X~U$wk18GW=KZjP@klvZBU$ z{g|%=YCEfGXVRw-%REe>`sy>8JmD(fYE+%rXMZ4DT4v#zb=#e&y+APWEig!K|2? zvsD2I`$Y2!2uH=wx$?Qil)i&hmni98A0bdfbzgHR7Upfea}%+!dYN3~Hr z-Hqk_o%%JJzmkMAncyri}uAa|n1; zjEb#vplvCa4WJW&JOCFGCOc-*o)3N_>~#kGI4(4}W}v zeCOkj{~F)D_!B7cwZR{=)HfP`tWw`y_~X0G*B5{Mi+zvd5028eLMd9tq}uSlK1PQ( zkcK4j??uRYUI5@}qBoG_YDDZjai1@7gURzB8%!SV2Dw{O|I2;9SDAC3fTC~BSpHrO zhj1q%em%an_%(h1hD(;==}u%mYr3D=R_Bx@Ido)2WE~rGuI|BSskUt-!WYt4cjo<>1F9&&W zUGg%Jmx0X7ArquG+tSPGl6Qc7H>B^WOMc6i-d&gc0mwTc{dOI4=r>!sHaR2Zd}Qcn zh=rE}IM?vMz+A0521!}t#naK60;hn!3VVis8rd6-%Z)%aP!-<|ww?}dBzW*sbeyuC zu(3b*zX1*AL3kgOtHDo}|i-CSZL9))ktCv&s&qGdL%~;rDi+l)xDT4!alL z{8xt)7z$1WIOyh?tMneIBI@t-qZ*t7{8jNUp_SANU5GkB1{PT9w=qjciCyW6ai>j- z9k<0Y8d0o3wkXy>PDiH-a)EU~n=;*`f3lwNZ3a|{FEAEoz6XMJQ|3Z=;w{a*5hi@4 znO*S5Uz+(cc!4%qOUNrPhYl-AHlt|doTlDNRu4_cY78l#NU;{!#B)LP*QY8A+a^u{ zF>nrvEHO>Yd>i)sWjRBUvbT&87kc*jkTP<9qg!Q+b|N*2#>*M$L~1^*w_}tOsF|O_ zg0&*LlYeez(rAXq4mgT=6 zI9CZG!G#x-@_jcX$A#x+&kjcX$A#x=#EqjKFjNPeY&IkV-ZW8!7)DB-IWd%g zbXD0F1DJC!)B0WYhi>#e!hf*-MfVUqB~>w(ty^s`2YCQ2ah{;!9Bj2q+v$?^IZCMo zfI*P3D6uQw0Sh{SUJikRivgSsATktETi{XZ0sxNyco`i1F|B}+x)j7$LHs}yu^|#! z1JZ{eMdAQHqvlh#rh+4)=?fT2ewFbyYYOg;?qGmkV;oYqLX5G#T_@wI zi5ZdopdF>L!ebQdMl)XXBMje#FO$Fzc!O{d1gWC$K(~mk8E}o{P)28E57hfq8TSdjFDcgAa{7VUGTil7mT?Qm zTj;rm4Eed5+-&PU5bBo(DRc(=%?5u^u!CfRMXs%sacj}r$IHSgr$xPH;k1SByscox%kzCKxDig1g6=8!0>VZ#US80gWM|k z_ZL%1&uWB}G5z^n5`BEtUzT_CcoSthhGGO#fG-gtwcTU4XLi zHGsQnZV!kXzUPpxx}31`btZfTVUI70wA4I`o4y#~D@i}kH<$4Ig@8NzC)eQp?FB0V z<7P3k8$mp2H-K{uPj3n?d%2gnD@|4Ia<0@m8MlygkIvT^ za{HwSfpJQ`xP2|Wa~j|ciZYpef8$=!f!~yje9WYu^r-9H1fH~${)U_fJh*(=aq0n^ zvzVM4Jn9LTbA!!!gPiFe^^VJ#ZgTU5^kS|hKT=5iX@oN{uOD|MU8SuW+A zB_q^w#cF6|vyG~3)d+QBDFksK+>gOWszSDicl#Wp&T15HONexX3g*3)=x}nm`ndiJ z3@+|-AEm8(0wqRa6=-}Ug48@zi?Y6U0TDIFPz`WB zAWVG$+5wvC=9n5_oBCUVsVRRp#R|R{L|K(rszWq&3bdbK%80U($HDC^K~QbI;6_2S zzQmZpdcGCR5;CtgbGrjC0VB5)fHnkL0+<9K=7<#!0_Ap4@Gm+E@F&o(qJEVc=!FKq zS^FmWQK{q$>{ZB_>N;{)Q3KqNBbBV)jW)yrv8klzp4eof1!o#*49fK1_l&uzLRRi^z_QmP|WOK7X zoAMRXPG6{VW<=S9OMo?@Sa%gfwaje_+RYSe4hCP)D{KS6E&^?x?YjqvQj_paL#kZ} z+Cx<90|pP08&Nj)alnYEK57`nMuPS_#0sbU*(f^z9O%~4i_{&Iz8$=GVxyZJb5G>c5QuR|H=PPHiG+;~B zKNP?{W?*^CXo5wmIqc&bNdH$hnCuF&E7l=(y?AE)Y9)>G`@r#)HROJUwNw-vh;iAS zv5d(bLD!R#v(ce*Wx75M7V+;0H2=Gmsr$^r-wm3ky?w}IQl7I$!^Z#0F)41csVjFP z>fNEC;;-Y^_XN-^1k?9CfEa;y0h~eL1b~(Vj78YH0$_N#m8bezz)Qr$&oq$@R20ep zECt2z_u-gzQ8_@b*~pKn?Qjyh4H7~X2m$VHnAcMxzyh?S00W$5ddnyl!0}ka8bX02 z9a@7WVi_kp;#UW9h8@V=b|4=B{=awgmAjj&Sq1Wis3T++H!)TFMlQj)D1%P5@8mi) zeWEVi!?shW=+q0)uCrz7VUOo&3N@aathv(~^Ue9_|X7MUuKcT<3Wa?b$ky9^xZ0R`(YnARmdbE`0zrLp~B?^&qT25@Yow ztUnTC^&+f45@YoyEFX!n`aB9yeJU*b2z@N5Lb`r!ld#d`s7 z6Ayoe)@6i$#IFjU#IGm(uL>&QAs?$&i2Gp6|AnO}Qsk4~8;WJ@ewbWgkCkZ2lYIO!=yaO!N=5he6 zWU3u&h!HsmBkG*D5f5x+dE&IvzCUErpJf^c<#0~bB73S3e-E6DX0&+F!=W|$HJ33s z!XR?JfMs=pFeeC^!-nBwFkg6<0lpOsn7o93{o-kZBB+K`3^aVG09Zy?b7*o8WHZW{ zgqA!6JbMzv7bEQk5YE9pjI)du<+ydsrfSN$nEWNn!@2Z-GaEsZrWZk`izIXd6N)jR zpvl;rbQ98&6^Ak5h%(`j@W%r;(yyhd<|aLR1*R~V*)k$**KTlQJkZ&Q9CxJ68 zXPK-A?Y;qb&P*t_6mH{hF)7`Lu3U$xoyic50+Ie>WHi#KmCpxWYD(2r&SzD|fm6WY zNKIoC`W6b=y}%oC_k3vVnL%>=!KnS_L~rw*Y7hz=*A9IK3V=qirB|6X72q zoWXVi4x{r2Jk27TvvZy2fK-cC-+^Gn@)^kb9;SE_Qmye<#i#onBlX3|9gxkvg+?np zC?n$|;H-|1>CJ_n#2>cx4gT?ZN#3c(u zBnw{v&&0#)p@Lm`1R3au#HFZEIw&qPINVcPUfF}eWl5YNTzNpBJq0ud{M-cEb)Yc} zy&W3DaFb0N>(DGCdyP%wv{ORiGqRtyX*b)n+3;$=P21?m!QKZp?J%}fsi+(?`^$~rH-O5`)i{658 z7dD9f04@QLeFy-r1mCAq#px)Yf}4%t{opPJj`c@DWu$kRaH z47=-v+{>)lW=Tafl=yTonLb@@>pte_YM+*ZOrKuUWNe9NuK?){kp8JjG>3<7AhLa1 z$vV5b*BX#w#1hhY!%ib#gxq}Zx6^2%$?8meUENOOd`D6TuIsfraDyGP4o4rGJkuff zk$g`AxdRGziIC+End}+1=v`WrPkXZ$*t8vvdbOx81(}26Tdq2?V$CtSmK)i(*t{Pc z9@}(aj*;|zS<*@H<2FAWVmOdAA};)2H2+?kU*Yh5;PWc0><7SMb!#Uac^sX+%ck|# zG_Psh&ARq9$e%(q`wg2v)8Xr~+Yd6!?mA6YXCba2vFz45k~*&cwhiob$U3g4Z1U?4 zS=Xl)*BOD|VdQHeb{)@&)_ zXKmi!9i9~NY`2JCv-v+cd?{k^?IPZ9^OG?RW)-NBB4)pM5FEy+NI1IB|H7uV)HJ7v zzXw^0_=L^x=kRr0jU_s+<29K@>;s8$UF1mWf{B65L~nP_ zfTMe*G>|DEvrxa%WcCfSL1N;+Ym)98)`7^rp)A5k^uklD5W5A;YW~ze?6A{mD}ty~ z>m{B4!QR`zNm*U@;fVpARs}+6$wFtI5WEoh=ia)Lk%&= ziy;OHTFZ|ZN_-(o3~{KX#u{UYr3Q^L)L3gRv6K>Ptf6Qqv6LA8N{Asu|KIO9&wY7@ zUBQ>6>Hq)R&*$zr_ndRjJ@?#mU!P}YwuSXfS2E{^C&GHJG?LoLmqGK1^+uGwHD)nzw@3chW~8W;D5z-L%O)_V7c2o*(qvN`X)O5R}rAQ4r|=@YOiIbsmO_0Y96IwXjEwyZRnz ze9J_f###h>09vq3=?!WPU-NDK_|yRJ+i(}&!fi;)Z4?;Di?5_yd<{Itt?TqwPzUjj zvaJ80!K7qcUnFSfc0)=A1Nr&)*d<4yW$-ugFYznZ|7G&!pI<+ZhplIV9m{k4w{XK0 z4B$5xBzni528F3b{{1C5q5x*o;=yO2Y~l!Yb8b?WTzQtsn?PI}TDT32D~` zAXZa&5uq2VWpbg)pmJqexc3eQ@s6CWXp1cc*U1)3H}*e{Altd{MReKv7gr4 zH2$m$|CV2aqqTm}v_!Aa*m9z;j)hgXwv;;Hegy73LE@Ey#2e!fzW72^I7x*s#xKdoJ}kn? z4&sxEr^-IX3O_uNURn6re zW7&)O|`cI$nMTIi2Lx#><iLu;aGzydCDy0mouh=##^E7RLlpBPZv7-vu%D{mW$o9tgGH zi=wEZqW@_*>NB{4RCD2SV+zF*t=mPma+f&SJp?73y4ztgN$X%X^ZIsup!Mfkq#zaMk*~PoR7yKGwDaj?+cs8C06wh3o@pLQu*z zE|%Sm{5WJ|8%Kd!_FeihKN{!)xGni2xZgo0xfjUeMD_#u2O>{?HId}&pVo&2D5?0_jGMlvX%I%0nPP339&CySonE%kJJ1cJ~W^B;6ffUy3FS z8IHEaC&LLPV-Vp%{0)S4$tCNFzD_hNp{JkMD*XY-$yj1EKj>BV{51HcY|L~>mVFlg zOUhQZ3WK4v>|Wr>vbR18!LomVWC}O_$RsAb3>~RVY+4>V(qD%&V$<1|L3;mZ zfM3F)lStoAdNxbZApZAcQe`6*{d=4u!_oDV0Am|D8CJ%YgTZ!jXG2ghXWry@BS`RYj!|797tm2;_M zf!rW31(DAnV;dhs|5oi)4)GhPL)vh)==d7*GR1sKN5WeG6{Rj}+ z-SY_`Eud@>ao#zx5H5DA_lB}TZGwL5{W`1NDKla00Ho`rT|4ESuR8J5&Y1YU@;o-+ z7s5CQC0B?m_)@;QLOJEXLSB077gDSP`99mBx8cw6wTl=Nc=v4q%GCc9w0pp;=UV*_ zK>D^pf_KfmV)EtMy?9?GW-mox_N@Y!eaChzWzXPaz(K5^_%{pQ&0@}t5LI*f#o055 zXpXd^pLqVNBgjht^^a5bo5(lF9MWGre+c;=tJu&MkstVL+~3OY2DXa)V&>&HChdCR3W*FnDL8gS|U%aLKrLl!!0BRK5S zz7K^W5jMIpi)v5(HofusZiw(vNNnS&)g-(PJWxVYjIFAXjy?o2mWpk>7?VO3KkNw( zd->O}RQ*vSi)HUOjlXJQ^w-(Q_mJ-GCGt7sdme*2Mr$7^3%jRhYMs)CE3Is4fUoBq z(TLZ&z+lken~kJ<`HKo{5&N|FTi3vnzmh(cH+Ab?q0xUOgQ_mi7Aan{nfNz^9yEQQ zLL;PQZP0#;v}{Y#v}}Y}dNnN7mW_~>-N}}S`m<#l@+0P@icyk3f_x+0GZ!kc7I0Iu z8anH*25sSQfXoH51ITGcqWL;d4uDd94a&%{T?&N5b|gyDH5|6fL6c#-7KjYn^+06U z-UDPdhQMMG=eNY85Ppw&L<_#PY<-*`6c4KJGsPYW5p=;+pzMOX!+Mq>UOGY=RFo|X zpaAXSG>xL;S4;60 z2rQ7|4QOExDZWOdhU;k46pC&Z(X|l8qJV(oD_cDuK$c_qqsYLz(vms2pbz>!6Ox5A zuLnp$fBXbFzA8m}@CC5TMM(u0lRrb@0rw)G3R)he)XFeYoMoWL-Hj6*#VXg82n|f zZI{MQ|1MgUSQ=w@4tW;*;EYqaC@za}$sBwoxwB(Kx05zkXq@0gQpL~I*Sy&0Lpc8Q z9}UO1h_a5$q?DH6X%(O7MUW+er?KjW8_ct>)g4EDFX0FOv;<4^4}qWHg#O-M;fWsB zc}a8R+o+s_N9sfPa*DdDS7(rxVWv@$WH{ zI}F`DmLq;*a)#W85jGM0*v9XoGJUK1KcOM`C^E+G3PNEB0&A71m8>X7(pmr)~@?KBx z1kI`Y^Q)lik`7QgXz&pq^l6`8av6creBk~h!)(BY~R6b(c(`d`;W}ZhHnWQ82lFPcnGSN zqnf8e15($aF5J*=!h}_`70fKu@{TSvq2}qZz^_b!>s^7`m%!u>zV?-{WUtpkaW-zb zDETaEZr~>&W9w+eF$lZ>7~A-wZOb~gr3vQssbPC>C8xAxK@A>+T*}tm#>SPF%qO8Y z3G%i^X~}~ml#p=8YLVCiLQVZ`__`G+{0r%AG>(db+F>AnjkeAY`8Df++y}}|5yTUh zKY?J_?%I1p@!%9zegGnk-vZJWoElENFC*8qgVk=7DWL|MPLqEO=Ad_iW4w73sHH1o z3=@yp)IJB~FT^Oxa1a(gs)Ti~bZP7|LphBYG%rCKt6VqZXu(E6MK2_Ge7<8Thh{`Kq9l^H^$B27Mh%wEqT-bQ)XHMCZ>z z57JM7k(fa|ksgHwGBJ~QDt&qn;IoKl(xWSYUrM|>{mXLTvx(QHZzX;i@%r>e%FH3& zm|jo(W5k=&G1fJgcuSglw8Y1W4^A(k{&~cQrt4_Ki;bVs44C2!Gvw~7OCO(?_7u29^=Cw4w2{>_W z9Y%lp>%bFBL``}+o4%BIB7HUK%ZR7aHxj>&F3zMcq?*r?UY&l5W-cdQoBkbTK1aMh z%~yL8*As6{522Z#XW8cTRLZO%-je(cgHPo|;_^9;D zWx#JFJ~sVJmc5C1=#oFB&bIWWq~A>Xr1amjTmFnKpOXF>>8nY|tf$UI`f0ZJE2M{hxRdlu`V7+VBE34jlXYz%UYq_o>-sA3 z`t-G=-%a_(AbuVjv#}rQ%IrdWn-hPV_)g-PbZ^T13-RjoXtr?|@!ItFsOMk9c5S85kFSOP)I+o< zme?~3lVtilD%wjlNdKBLFZ0BdNbe^8%6#Cd^au`-pYceQNykXvw+i@_G`|}x@t=1B zzd3yu@%;}1-=5w^oj>PGyiZkZph2&a9>jvmL=}RkGQr_fnV@5n+&?jevrT1!A(gxp z6ede$hbOr>FIo|4`;Z;^pb5DDy4i6+xUYW+b=#0RGJMhH0tf)(y1h44U}}WrB1Q+x1=IvGh6P zfp247@$|nyF!`w3@DtkqnAm^^bj*D360kF$fcnPd_Y-JRx{_7>pb2P@p3gRJC!R=m zu&%!&o=WpIkK~iYGwByd{~__}^l++tig<0BFEb|po_Kvw&F-lOT0{G4h#^#HM`ePQ z#+&HCr~i_+GRm`7b@VbO!}Lk zB!5D@I(-N6f2VwH`ag-kM7+Md{gTN+@~4z(ObUGhJOx1?Xh|K!WG zeQ;1slXnBHk$>Xso{7o?4HVuX%Oi#sv1=+5FBv{nN?8z(ff&D--aBv>(99fkcw_Rl z8z7>MJ+u-iBB2H%|Ie3!C(`4HzfO88{UzdW5YMDLh#w|iojz0z{0Q;dbQ9^nCSD)( zs!ULKEj5YnVZ+V9Ys}QGV3lQ( znIEsai5gO|KLhdcMBNJv-gN9M$n8$l{V65OV_yS!AyGG-!B!Fb3*=r()YUMTi80Xj z;>B-HJ=76SZ%GxGv0E*y;X+Iex zhr~Do1iimUu`^fuo*DZFq^e_30hk;U`x}7D1dC>Vel4`c&ixa_Yx)TG zy|MF%;>-?uYy$B_`ag)DPdt_WK4sd7XVUUX=L>j3s7`;IG82i{r1_nou?va!On-;+ zlRg9aT2x6IVhk0>SCH{xqNiLCoAMVB&~j5L6Qt!r_@g9apGMMmfQoPM!Slr|J8cyx zjc*gZOK!AwBR0Me)?ff3G6x=yRi&|ZsGz;m;}tx%aA`y?h)|zF8*56pM=2r zZ76&_MK?~xWI0@9*RxZW!2A*N_+mYa-iGW*xs|x{)5t!ItZKW9+6L0TT~LMDF^GMg zd$_av;NO2iY>=T3?hi`Y?+{(DK=N_aL4PboiC`4V9|d&^+7Y|&kSX5)>CvP~*%8Q% zX;`mi;SVaNYq^k$Ywvch9eOxq4l&Go9CJ)hD7g@7n+5_2;~c+=0SVl9z=ahI-~-~q zYf)ZZcz|xvMjsRxo^w83_%QKN(DMu+zQq+TP&YnI`AM*OtXzm}gy2Idv^iEDJBH#9K{~eai#0(oZV=sD86%EQ#X{S;Um>MR zv{b$o1m`v|kCzKkrWyHhqnWRkeEhbLpDS^!51c*%_INry^ObO9*TzOd@!6|En+;}D zGZuuIGi%jN?VtKb_6swdZ5twlP}~TxW~lr)M8DwK>j*x zPO1&9h)h9APTO-)S{e}x#>N-JypPlJ(h|;!W7|l$oXY^dkeP%pUJJq}xWM67k5OG9 z;YuaoQ^3t*FJ_TXa>3Tg>%28+ES`Vx=4Qeb@L1|Y+$c==68bLP$=$+)l~7ln}I|2)8F7>Hfj3bfg3;CF1w7X!zxoB&2Igm+rjo+}q2I||v9 ztV~x@uoKzxfhfyw{HVYa{WoHH_w9!Kj(voJJi0Es=TA_V+*l8`b#1V9tz%u+*}B%T zuDhsw7=+Ie<^0NierKX;E#vzNZ#ZyiVNcmszY1c- zZe;tSNg3{bM`UOZ|9f%; zC>ci=_L6^MM>;VSyzomgHndx>?S~3_ZEWbZ(^pupoy2SMVXVI6HxlzLzu4dx&_47y zq9wr>_+n?jWC_84fu0CnbVk)KRim<@QFpy7qu$+ED*Xs5i=Dd{=FAfN9>f3SWh9Hu5ff91A=&iP4#EBl}E2*L?=)FhS>$dKS_0pMD(Zz{^>LuK-o`swk;C zrK+<}O{}^P{9PB6RE?`Rx8kB+=((1lqGAI0@JWaw3QBv$DoQC5gGjQ{%8$jukDo0r zNojFrQ?b}Zy(pIM7hKfqtWs2u!WE`)MGxp_MH$o@7DJSYEJ{};JlP(DQBfn-;p)*) zg|QhjOR2smm?<)Qh31!1q&BQeM0$sYfzQn;ziVP&R@;Ez6bhXcug87Du%HV;9P51r7xjv$IQ5G+#n25Spsnean{Z=Xf7?W; zI?Wa-9n`m|Nb@MI6{fS+entZ=>TgYmmczb(pt!BYR~)gV6?*U$leA=D*e=$9m>A?L zJiqVwzL?g0B^UJ?FU~lp!Zhj(b~CoPwp0bV$~)5<91?MOvvOzytLrjO zU1*vpMQA4c=q=Si!F8O*V3~<4eAB1IpmcWH#1(!QRyq@n#vJH}PR5G|w4*W_I?*Wg z!@D{xhY)AT)eMW7C~B6m(=+s|41r#@)o?_lV`@)Dr;swM;!-Ksy8;o@6FaoJQNaj_ zfj+M9>iux&>xEQx4qH}?r{@K zI_kXg(CMKsD?BcSXhzD~c?I_iI`6Ykc))2opIue! zWud;e9~yPmPKlpBp<&@f!nuPp8MB-_{3)!#gxV=#Bt*w3?P!dSJbdE9n8l&qA{}zHXH$~W4<9&vsiI}ozB;k3!x><*C+Qn13##4nI zC}K%u;AWydQR!vT_$uep9-gPGi@jE3=C7V+{_0ii$6B92Klb*mI+-c-1cNo|6fW}N zvL}nYj-|A5VYwMp73!jl-^S z;QSU2dw+i8Y|8m9+P`(CC;PZ?uh+iptJ7zLcKIo$r?K_2Mnm7JwsM&w@%+`F6W!jX zc%^Ih`khmk3v136{Y~F9Lub{773}fqK3rY7LQ0n~TEb-ttDql@z5EEn?`P?zySIykI*o)r z8VU6p34Ki@G`PxH?I~UsfzfE&E>@iCtf27maiT%xcEES)zT3nJ#t2ufe%&soVaRk7 zF0#m(phB>8yQJd#z|4fl`nb-SH55U1x?jES?1qE|U?5hxP&~ADsJERHFPvG*T^#fd zQ(I`(SzZ<347WjPhfL^-5uRzVWuz}yv@tl_^1OvRR5Pk8UU2Nt_6UO>P9a|rYl1{v{|DzaNF7*G%_K@zdS=7^J7l{sHTyYm^;uYB@7h8=O zb*H%z(CkgB(PL$C2n_Ih((KA2XUh*@*YUq@>U5D(tCM7Joh0jYl9c6?L&LvdKo9hd zPLd7LNs?1&I8}3!sIUR6rLbkt~w-`7B!oUe< zF1yak#OcLK9ag&$one2<pY#dN82?MQQmKHHq3M+t&fk9O1W7@*;u$T@D(qiZm`xy4Ca`XSdIN{sXB zMn|1nJcPzOg*uC#=My-5CUiB(&bLI_pRg<1ED6)E&a@Z!B#zJD!(1EgZ*8=SgLzK( z&IUZEhf@!O*W9Fp`_4)!Yme+K-|Tc4Lth&H0vK(?D6)C9lmf#KQ9a6 z{@rdtI21#_GPqh?tT4`7U6#YRc-w1ccm~U0Z`_`47#NJvfQif*XGF)?P&0JSM6KSs z;tu8B{vzB%;Of^nh2{?r?M0u`{1Glo?nsx#YXM>9;T(ifY89cSqpjIerw+t1uCgG& z-b8QD?5!elPS)+jsFCr3&Dza8lQAnt7jah+R|@zFH)N4<{PzB+0f z%&7GbDu~FFKhQ$>wCEsi_B$jJI9zSLL0o(nXGS9fp~V}fyqOQ55#Wr{?XGVS#KOg@ z=z>0C1lQnjeqxw=t1z=?*a|S9BISm9Hugm z1AsvsHkwyL&9>RHY<06vd6TYLpx|q_JV}O&lWoa625@wE_}9sZ%+tNuTDk&`5Y@eP>+xY0B3n4YPgOl4zv-9V%72VOPkMj!)}zDp>Y~Utr!626pS`% z#CtnLCAbr?_^J2|45E_8`9t93Hf!!_Y(l%U)ITcY)pGZcwammtN}s%RANFA>X?!J0 z$rIHoe=r=kG4qC#xqu7@8!jN74JP0@E--5Nc*PqvxUJUEa~D0~wgC^gOi*%i>f^GQ z$W8aK7rT4Vg@_7GWcfxE31>+E$qG0;6Pwu#eQO_bVJ>&3>FVwsnIZ=!9G6_6v$hrn zR6f?(2hZ)qr?{NdfE`!?s$55E9+9pwu2sTtl!W<1)#3G7q6A@Fnv1`jE&h5lOQ|l<8Q)+rFl0SWo=% z<8J!RKq_8UlOpawe{pI)Pv{aU+T+9&a6bho{H>FzM~hfpvy~aplL1 z?L-C$uKoRSA=m$$;2ZoBMJIHuGqwx|oj0_QjXYVtsF#L0o-Laq7<_(|VkI)8rk~%- z)=G>)uL8tZ(bbd{WOG`=dN2=hg!;!OWO-}~rzKAC=4kKW0ZLnbUh1O08j);){V{6Tx#KNI{0+shFjym@5iG(2QV)_7HDq`|;)v z)QC;*xKJ3)`XnM;88u+d*uTo#FuOKo*xfcea3p^vMcoXL7gi-Zw zLSx_ebyHn~<7nh`Ie&TYarsl(Nxd=T_thWtJ+5wsc~7su;O<^#6w!;d-uUco7;vtc zVQ@WRyWVpv1{Hg*ueUTI8(DsBB(NHP@r4>LzTV!eXz`7d^wwb3c$(`yX1$jVwKMV1 zHS~fEa@h)Vi2s2)*$l{cevu+F^d$OO;I<_{PF3JGoMbcn{me8g4b(( z-$b0;+Z#Oa>IsHcx5L$h1J?zHg9#$MSmrv#8{o9j--p3Q`{F5ikylS$a5nn}*mfNM zVuoI%m_-goeIVmqwRW*T@rV6M?6d7l55*x6ZX3cW`W@e<@%?HYAw6%r#Y}f&-0O6B z-aEyz^W;L3Bd4$LZLjm(d&XgRpQxAp0q;h!_DPf95-zu=y~Ez}gP)sEZrFzV4Kr-L zQQX6tUpxfun_=vT$h%>thmIen-js`u=pCJ7>-?&`?x23th2iY%t%2%g_sV!UIfjpn z-sj}#2mS}RH}R)JGe*Lx@E!aebzY6}GTqbDTkGs1h~Z(TDSA5G#&ZOiSumVEPyRIO zjVsQAF4n2_M581OGR%aoT$f{nE&5V zt0xA5DIQt28hKLc;tn)a$jd*z7ZhHWdYeCXWAxP^Z>$e6lK_smu40WnzL^Jc@)i+5KC#~T{=9Ia+R8!B|eujUP1xB9}-3&%MW)m zNWgO}??B}_fPZa^v#W+Zo&xaN);$5RE5z}KrgK;buZf_NAoALqxw9On>+ZQx9eT7K zZ;4pt^a%W32Zq&jyopKIBka{O&r`^zqMk~dYoc;620COp7@CMu|hJo$9?djs3k^lN1 z!ZES~j7$pVGbP27db67eBB#D0=S4nyW)bDv5f_rK(=(zjU z!JMh|OSe&kLeq zYCcvHhH2VzCFo5Mhe2uhU_;!_&#o?C{FEH6i6pNPAt4VP9^h z3ie8c*DD#rKunpqtum9mnE~l)^b;>6jpw7`W9}WquaR(YA$0r_yFR#AZj1x9AdYMDr%f z>mhzJ%*)!L>0dmCn%?_j6N5j~L01y&LNLQJ<;?(L{h?|U7b z{=vC8VxnDM^g(!dtK98XBTmJK=KLLM_aB~%xWcNyMW=O=zm6lAT!q@t=IH|59$g8{ z=7`U|snyNxA5T#`m^0iG5;jw^4@kNWB+1vTy5awmJ>bM_kT^Nq;Z6g1<`epa5CF_ zJRWur3Es~F;OmLFH0fN!t-F~6FtAd-?K(`-KB-%Cx>@f$=U5Bg`-+A^^LzdF2!F+N zcOv0aRrEU_L+d*g2=5x*Uf>Cui*nmW2Cli;4+GbWea5l-B)*A333e|y?ORHb+Ygp) z(9@*5rb3`as`mCglt0z)LT~Hs@93ez z_;0m(WvH;$fl*r-aPDK{;ehIL*mOA)dtvD>cJIaGOgp&Xbg6C3pNR6CidUOGlQq- zL6o)4U&t6<{)d>*soooT94Kav$B<>!_EL%^Bin_dp<>B?t5)l^o(b2pQFF84Javdr2Y zYw=VSUFNxHhl?h+ncaRZk-tZEUu@<+s(~LgVn>>1M>@gRnvZG}KQ9U^#n@z}eZmz7 zS@f{AUXNL!xfI1VgkRPW&Ot1BiXXJNPwr-xEq-idrcZ1(y~)#ib6#gmPDNp5ku{pf zdao)KHZST*BO)72I~8&|80gKKvc3#5GoZdwj|C2Ev%cc49~VK?hmL*GK$uQ%Y;~qm*n|C$^VqGzZWPP zb7Q?78LW3tl`w`PUf~BBX*Zn5W_q7I=6+zj=Q9Zk^SNV)>)bxURip&>nPVAbbXS|d zP2dcELDX^`!4rKcovtq|mV56fNncaM0shh07bt-j3%|p5AS(0zMmq_ ztn_YVAczg4_uf0NmCU-2*sulJV($W^$njj*!kw7;<|d96#H#t;CL4=gPUk1#CI2mM z?`kgc4VBnN>&)uDy2>}&Hiq$DVde_B%A4i5n+~T49V%630qJ2DM(>e}zT@ET9Q+$1 zR)Wh02Fr=s95$cdci4^dPo%*=l$_i#+O?BIrORWq6H!-``^JSazak!_Zbym*S%Qs7 zi2z>!&9C{DKT^J@0tBxMI)mT{Qb}-KtU%s&filjCW zo2d+f*_J`B=B5b>#}KuAE<&Grtbv z&f>`9OPQO+XsR%Lr!HsI z8J1B=m;4zLd`fV;pdCKqs8$Xm#G&- zAWN_(6ma3b7nE03fZ(;`1P*}`l!^faIN?eaP6GvS$ps5@1X#rAbOIymT7&aN3U?=L z4FUEyMan2JPy})W*gH`ffsu8}hJ)HB0$GCTNYN2Pfyp9}Be+P=Jb{sQ%Cex&6M-zj zHAqoq6u3$Ras+=OXr92xI%SJMT_plpf^|qyWfWK~0y%;&3YsS{vQF7kpgt=CS%SsM zVr9!gS%Czn65M*60I4gHN`q-jg2n>TRg%4!S)w;Nu8B;l!4G&-E5RnDXd6iRrV0=| zBB+hbZOHz!atU@LMT?X2A1Xkw?>GTcexU*cuOBCH7?j_s071O0xQYZQJ&@1@0{k#I zP-|f$vV)XMFh0s<_9EpHTpi^uME0}FC3q~#eH_`}D3{=vatTgJi8TcHsR~*{Fh;2a zbCgQ(8Kn{|RjTP4>VHL)<_M^mzReW`6WLq>fLfG6Y=JTes81P2<MyUi}R4Tz*r4oEasRR!xm0*if3AQPf z;73X&*r`;4Un-U0O{Eh2R;dJUDV3l{Mp{fzFK8#h0HqSNDV5+tr4me5D#1sUN-$li z1eYq60KdOUPZE4qsRY+6mEcd6O0Zg~1Yc4r!8)Z9;HP@oLj=z#mEcE8CD^G{f}bgs z;Gj|oCRd6Ef@y+w5`0Rj1WT1l@HwRttWYY!jY=h0qf|3$Mj^K^!~=DiHQ}^#1ZVR{j5vJiVXyk{y0aoX$K9~foP2; z>Gv_vO_i)p$Fr@Hq?4Tkj2f!Yp>EZ@o9V%*IR-Ts$#}O5Kh=;N4~7>A-$E)4mIPy4 z3q&VDIvy;=0}=hB5ju}pL*C8YW7Ke3`Aab*ORz=I4uZc?D#0U4C3sY+1WzdScuhH{ zsnco7IZd5TQ_g9!yQ1w-{D#&;a755ff|4p3&II*>b`qSbRDx!u5}cz{f^kYExJao4 zbCpW)38fNTrBs3&luEElsRVnJO7NOe3EogD0e-?B12oc_XQc@_0_EC1BT3tucMZ(D zR^?q&^3MLeGdk}q&C|SlrS?3*eMktI1mPAX6KoC1ggcZ>urnkRzNln^{|?Enkv3x4 zl%YMOM+k;1N-!R&Bv=$H5NT7oi4&G;5mKID5)!v{Zclm?0{A*O83=^$pG$^18&C%= z$zBj-AhA=VvIM)4qHUqTLJ`Oj7y)-wn->buDoU(W;k5vFBauvazmVGrwuNNV169a3 zg*3u(NQq$5)RqFV2_c7Y22wJ>&m<<=3bTMei-h(O>_SQe%T~=V5TltHUS$ke8&8%8 zRgE?{$SJR3u`EH0pdADwlu9sPsm8#gC{rn<_F(`jX#Ktq+#^VcsY&2oq=Kew1F}s* z>g*4o^>t1K+M(3h0CN?^F9L^E+b*Lei-emcSd0|ypQWIzQUQXSjuW^Ul&4jIU{{v{ z|0n`E0wdshcnzrcBe5$99~OK>fe=5`>rWfZKBgoyZ7};(RLb~fH^@~zr7s8?kfM!y z0Ro4RSTo^K!ABGb14w%l7PE0BnVOl!PZmd{!m1lVo`8fXAef94t(gKBh(M0O2so$o z2X&+fw2uQ&SsN3kh0t%%sQxJ^Gee4J31%Th1x&GSHLwVNKABA*xZ*es6qtiV=Mk!K zo?sqQGFXI(qM<;Xg}sVSSob2xKc-~}wj)KYUk=Jf5wI>3^;Rjr)>yc8y zh{b{Uhq*hE;BbNsNEN{dT$YFaGnV@fYKBQe#jGqr8L_rl2;>NifHQYJsH&lz;BF+= zw*(6;aTf6EV|J602<}IU)<^2SDnPIq$*3cKpR!D+8R*sEEt8IG=PF0C+Y|4YV7Pun zd3|fxUTsn1yJd7y(v$5Pb!DveUK|*1)KuFj!}e64q^T!U!fCgMS88`b;hk#VJ;Q0Y zlLwW!k!|w)lO6_{*#o<8&?Hd}n1gq;gB&?w0<_tILvjFl{NbG9D zQBponFd-xp&R4QAg_6UO62TI@n?MX-al_o1V+{wd&DZU@lp-J^Q26cpbbg&6T46u1XC=-bP7xM z7l9ms5pcCR)p=iazTi~nebvrqEIC+Iw-XrE&S$Jpt>AXU-SOlTqN-o2QF_nVhN|IsP#>RYCN@fWjM~X%n1s)WE9KjYr^8`lL`Qc7b zH;O>}W&qV|1CH{)7H*c{7*ez<3LF%H9Kj($^8`lLRrNfmFNr|=J^@u4DNsDW7Gbn~`{sCwx|PWuFIlAtVzXRdW7RZ19mNO}GFFvA-B#X-Fn~ zSjo=-JgevdfP+XZNSJDpg0}#yMIxDSy^{9;>fMbWJQk1M(z;2A}C0PI$jk+@cRyMsM^SW!0S z9%)wxdonNW>TvC{&guy*YIv5QTmo(e!4*jH;I?Vk0A7bw8eB(*6J?XSXgqyGC3Gsh zXk2#%NLM4_qjSrHmIBe0NC+VUHap~)0&O6%S)L9<;#f9+S%`HY)gTf+7qp(!f&=-r zK|kJ_k~{;c3M`*)icSVkdmu+Zaf*6c>{l1vYV>$rz^^Ga8J# zs2KxFMz)G6tg_L_6jv!yb&z0vrNJ^5GF2lRAZscQv!?pnQQ#pYtfgB39#NFD=x-I} z%=srWJo20^S1HOt6Ua!(bI{zSC{ewDvUHGVW(L{e>Le~ee|Xo$ZLK+W*jBM6$K~xgMfU)d zOE2YI-{oB27IIQSN&-)u8ugdMi%-2C;d9S`BAf41QG8N^fOWBzn06H9II+xm)+R2?If-~k? z!5L1_K80BT?q@{M4yAfz+l17y2wlkEtew;tX?7BR6U9cE%clG8`hF8gA-NYPOpOLG28? zjiU#Y)YbmuCUEaZV*e06Ecn?4!fhd)@JYc(6bPRS>1O8NiTp7nEQJJ#X0zcUmO%o8 zpw=>o^-%^v(9e`GDtANAQ6!p0NKNtJ>;hrA;KK`qRKzVUA+=E6=okXpeMqQ%E5IX) zZUcBs(Z>OvP;@)MlZrkC@U)`O06eSc4uIzr-3hQu(cJ*gEBXS!i;BJkut(9o0Iw*z z4`9EduL2xU^dP`%iXH-ZUD3k;M-+V%;HaX<0Nzs6w9!Dc(V=#8X-K|T=*6z-Bf6y1 ztN4~^dXeC&0mWT~Um8oT>aVWf4)_cbgbxC|rsxoq8IA;M9$=QD1oM!5KlL}Vb*9c(xzDru!x$I!Rr%$Y>0rQpO1uZ2G~#%G#d`duOZP8 zLbdNwg1Mo6gw*H_NtT)%mLkj|p@Ay_)+qWM0QG^|ABGP^iU*?#qX5SWKC&PU>uqad4 zwvcQTJ_2K?2xDp5PeC*?CSNSa>BY$SQw{aJgv2Hg?ic**0^z}sPIyG{5d}hR2-YQQ zmz&%(ppg&nJP7cRqFVqSR&*=CBZ?Xu*|z;kBDiJ2z)>>e8ig2?8(+u~paGA{t zx1C@<5;|}WrY@q3gp((@PSAFO6-cERSg4xlEy^Ld9Vrb?ST(cH2{%iyOVDLjl^5POWj6iz2W1CpVCWYtP_}mc1j9yCXroS@Lg`{3>X*E7}prHG$4b z2`S^LSw9r}NFJBAHzTpw?y|0s1%+wl^7K<1o+tHgSfl~5Zip%_K5s2WLn)A*d7mGh9{n{(!03h8^QSw=2niQLPGR1~Lv^2P$_n<^4OUc;; zQ<2gfp`Q;L!R=1j@xA5@pG9NFipom~CLomrpIcENqDEdi59oX(bhoL(yQeoD9f`5o zhH9YcewUASzoDPhVDNvI>HQkkc0b}YF8CO|?rr{i7odnH-W(iu9 zYRr2Pg4G~VKVctXXIZF!NG8-$)+u?AW(a#!f$$0>cxOJqRf-z@2712p0>VR$px@9) zAzQge<-F?(j`Z*`9)6C8zl#yr=`_1ihUOV@@{KTsqiwXuH}52eEvykH`~b6_;YC`z zuioQ$Xi@Q^tXGd$#+#oOAW%M|!9uVJDf*0i5hypSz*>O26*X?5{NE^vU>8!f_-;`4 zssKUD2qQpjAQCJj7-AX3hAM+#qGgymsq(0(%n=xsxdO3aQZh$iO1gJ~T*$|St1m%6Udn#6p4X8eNigy3;+~|y0TIX%7y;K|&x5)TiS-h`iiAT6!6Bq-Tv3(3 z{dU8-E&RVY=vO!l>Jg+QE`9KtN`ZKQdQpfVgA@;zRM!GF3fXQqCLy~(NLhjhk)p1p zz&sJi5f}kyECrT|K%T$|xY0EQ)EP)@4dHAgL>j?dq|;n$e#e(=*rqWp<=e{|`YjFm zjqF!g2%%M4W(~ltg4zbKzGsD$CAfNwC^ub0?vI3@=Jo<~AA61?Q|nAt159Kn7h=%_ykcv#6t0LF+r@&vQQ_$Bph$UGs>m=C}< zd1wA@Xu?h;e%88bP+5WaaB0hNBg7jC(U39Df0x7Ej%`M5(Vy>iUICAAPiKte3C;0! zq@V+z&>23=PB&@3ARi_D4{APPV>};usI%CPk1nTC+n3S7;EJD6!1jg^ScVS6>=zRA_(_%`gi4&pQ>)I~wk50w;1 z?=ezNI3zv@0oylScgRUpVKw}b_b$40xs4ZO7TC=HSpRktPTdaZotS@LL}DBh?m_zh z&{{);(c2b?dhimNWvs1@CIw_X`?jCp5<|^sZ|2G<#?TSEZV_@wd{g zc<+KAozuL6QN{_I*KNsn&oFiQp7OL9wnxH#Xchie*ugD?BX57+65eHNOdY-n@3OP( z4B6crYv+OYZoOTEy-RRb=l0&FA>J0CJA1qhfn7r>>plr)l=)uqZU_uJvWz0r)q?%M z*K*r!^i9?Vm}&gGSv&D?XRxb{^>iiJvy$GH;hi$A{>dG zfiyVh8Qhf_Ue#T(5gcyJdKDJ_5G3)F+-@UA3MJQ!Ob49=zhY{SPQdzq35Rtwrma34}M3Y=_? zvPQtoFyld;g+#v*E=BS-g=EhW_Sgd99m3A82cRy`s*~xfNhsjL(g<|ZaKrA}t;9K94q$K}LgGY8xO_aPKf50LY~xXi+$3UIf}4?|E}+2m zNYqJ4i3~0uct!f2FCgsP6Rpb_c8q~E&Yz`r%_}U@X`z83Gs3}y=;Skb{2Uv7-Sd@^;y&-1Ax{XgG;Cs>= zfnGTy>5-y-a01VC_7LGQ;$C3Dc`S^wc(H%&bMt1|epy*zJeTt4b z56`iYATSkRI#LqTW9m>tf%q(8<>mt{Kq|whu*-wSh5|EJ3$J4-z;fa7ZRx!Y1!h(Y z&kiVBI2$B-m~bAFRD&lp3xO|^{8COWg%!YAJc$-CZ=#qw8bPNHLRyZ{Cuj@FzUV-q zjVurjL8?UHPdSh8qz{OVXeqd2BO^5&KrO6+Foj}iw76|zOT*+=uZVlXN}~=*k&y*L z)`lqTO1m-cgfl`k4DGDt1@{`%a;MakCAb?&Cp}{KD}&%c%P^Ib@|X$`JYfZh?NA26 zPRlSQ4eZwZZW+|IM^zKNVpS76qzr3%@QP$w4KCil|fK%8KxvD166=v zh!r3XU^^yjEmOO#xZYu1mR3+x$Py!muDvTq70 zOOQC<%rnN0=Rw_vM1_Q}BH_C2Aiyz230Th4T8r!uA!P}MAw^p`9Fz_fAeeWYz(`Og zA+cJ*SxBgsfWlr~#?Wp(+AU)h6x}Y$vIOgqqE=Gi79<)$NGW&IZ_4~}J^Od=u*)YK zm9tB|5n!VXUK*UwbB)P*-Yw!73BNEeLd%1gADc$3Ti5?DV?2nCKa2#k32teVU^J~H zceikJ1e1l^NkGA<_qRge5hOa0@G+z^OgB7~7oI@oNhF+{lk4MpPVZMBxy~1Ax{xb5H`TTw0y^3TN9z^C4QYKiIaVC)R zYb2O32~A?txXT{ZQXqOY67InW77CgpxJju5H!Icni$3TH5`93}By785CVObeCY-Nq z>Rh3yuV@-bR75yK*zJ_OMo}Ya;JZ37TBn%Orv%r=;pKo{7FVN}tgDSsGe_G1$N_+j zx6qjHO45|*)~Nf@hzF%1If5-n(P$#&VG+m@Yzqb6)03wYOc&i6dBRq_e}R*zJ8No* z&ftc6LJj_e=50DW)Tr_$`FhVK4Kj8O+dyB;tKCD5x z(7`o0Iu9SF(=)6aKJ0h{y>>(gr>U+~+HM;rk4EviYQr|rZ)kXhVOXA#Cq&KNf+=gD zuio>NPj;g2VxSVndv^z2i8z|uP_U=MyMwOSj^=9eTE^ewG9vpfz|xDvr5E5RQgnz@ zU_TNzW+n2~_66n+BJtj1Y|Em!Q2P}~dfky@A(TT7hHNlVNdQ$yQTv=gj&epIXItn5@)XE~8uAf=6sV|`fCBg_H1|2g-6JydMxN5Mc=~c%~yeTSy%QbCB>Z#TN=h<_SGd zaJ8U0f@=irAXuwZf^|r!BVHh)b=a2-Xah-UeD5CuBQ?CycGgsoSzI295h;>m_HM&B zZQ2CFV@T*>g584J$HnA6ETkNPa;+_!LF&HjGvMw(iU%Jm>;&8;`0xUuDzei&v-^=? z1VR4`iFOdQ2%05mQ>rn1uNCYZY`NC(I7q5y#wg3R&$(Yj+3_GVSO`_MHHX=DB$z|6 zLQvak$IUset#~qoJCLZFaJCfAE(K6M)(B?*RJjDBCP|}B^KJ&|9_0=PN4fT{;%;#6 zMT&kJp44Ut`!g$Pr-%>}j^ zqXIAf#B6zF;-P3Ml?c!YV-S&V*Nd>0Jpa{_f1%iwgH6p}PoJ z7^^G6TS$Bu&R<&v%6?A;ORJM@t={5qio=+%CJNMW5DB@v69rkF!(#~&Ml8X8K|2Y4sZ@fh z4^yC%ppT$gf;mbxK~7BzrA&@swV)jY)W(G(S0MJPFmeQ{w}YTsl;sHe3)(@zDzv0u zi`ttfcv_UrBzOjiviSnB7nDI@s?SUH+N?Z5LMoa`KohjEYh<2{Y*rb9u~vrIG-VLX zK&oWTd{o$@VG%x#Wz4+_)m$y6JWIS^y3qQG!tWElW_3L^9zpBuLX88XI=TK8iwtaAy+G4=3$7}Eqxku=VX_bD(}1qdh<4tgVB z>>5)7lk+JBmbnHAnZ^PU)__c%jUlQb0~Qc0LJBJ|CKw46>)o{uD!pBFWC<2braIfZ zf`V!@vmj43_kjQ`Fd&CH3KP%9N>>GKMYXLkUy+Y-paVRn--U z%(qp!h%-W{s*kCvE>vX7XjO*Is*HrGiUG3@3C<+gg~XAQEf9NN83b=y2C-wxAZYlA zDM73e391O1EQ46HG6?!x2C)`p5KOQP-@3I@SB`)-Ax;T)E0y3Cr4kq`a|L2Yg^?qm zZ74}FP|zF!D+JZlb{_(Hav9pby$FgLcvHRQ^IIk4bfYq zWR75?pfd;_6Lcm4^_6o{ZC#29HCCWSRERNe^m=dNE{F6HBso11VGCgyK|M+dorpFy zOW%$+bRybV8_w6D+~cC6lZGhQP8|C|dJT!o0IkEfoFd1%ddNa&*8#UvXOUNt8ch-L zlNKKl`mA4p5(km`1WVMB#R6oqu6I^rtZBkoL3DFnw??_@Ooz0=0XHGRVFb?#I)h+` zQVDh{m0*`r37%If!3#Sj1EhVz%@T~ch$hV>7%OO&V7yWZ zCMcEQ3ZP;&%x1f4;knym5EbU-xa34S4Hj({q3=jD{; zsf-$;Te95{e+`KtNq7heAz2GSwI^#-P=Y!pBSB&oz+6R5(~hBRMo9!(zLWA(lu9s7 z&`yHsN+rlEm7qhZ1Vs&=57H*(5^NTnhQkKwwVO9MCe4+4m)JG zLUacbyiBlD&`Sw+DV5*_r4k%aD#0XZ!*?jsv?~P75?rNJf(1$?Sg2HjYm`c`Sg8a{ zl}fN&sRY+6l|b#bQ@}MaV483{*+k{qa7}MMbT==)l0E#7GSQT3jnTG z)D%BtE56KCWq-;u< zwV0WN$pcJ61bO9w2pE#^kOD$L%2EZy$5P8s>sqQv(OQ;TYL%tbTGv`jEo&`Ht+g)Y z@4BsZU1jb6_dDmF`pI^+9LFsm#2d z1ogs~J;mSr6^4I?!LwR)Sc({Ah7K)OqSY;80hpE4QVFwb$5&HN!I-L(HEr$YX&aug zn+E}HfEq08Ku_Bx<~F=-xMXYx+9AY01@b9BP=@>uKz&d*sS1}*hB>o3E}?EZmr%Ey zOQ_4E^TMr^^f{@gjWWxpVSS(@%RDoV@^c`pAy1dv)TM_@r^h?7NYECA1iK!-U#cZ0m1YcfQx242r3tG#s&@Dt$A z<06vA5HK>@k_^zy9vRbSWXRBtjByr~Y5RIw{XQ)1_@fPZeJmqxDXl33c;etRP=pBh zq;#dg>QaE!#Rsd??1TO>nI=tmcsi3?`-7Lua5(_s5<)l);#45MslWW%zbV%WWP>>WzT8ttas-5@76cZI(Yk=>HkOlCoT<8L zfJJDB4YDn&2pu4KQf*X*>{b<{>q{FYAlfC)0}0Ps{E<5_szqlzb$v2^M=C?64+wh| zLcW%hxkAYaA8EPM2!)QR+JfM2DXQ2HctWu{0ZTOn#1TWR1NHEf+=^Wp*?JkA%plp> zb}ZZBNf26(@C*psVIy-4%#W2^=dm5Z2S9RE0$B|7g)R-nEY))$IdjP<6V?`q#boA= zDMryuDXL;gRcl%aIMO((Fc1j`Kp1KEq-MMtS=OwKKokREqD=wJR_p@6BE=GxNw%sL zpayakL5;XbhVzeBStVWjNr+bltN}X)qCFtTBsz}Z`NlBg^I;kFAy5^!33{|csfkiB@C3w>j{3zrfF%bVhL;v$Ct3Q zQML)KzAa5v5@4U+W1npbA^1>nas> zOR+F#K<2X*vdUQQA2T^9j87J*ia;}_+Ip}ZAZdyW%P8RJ%;>_Jiq345-PK;puJEij zGTJf%-i&P*F|^BOlrh@S%P8Pf2-%RjLe7E4_!A)csD%tGC?B=x&Xnd{xptY+b;yJn zpjLis7;B+3N6JzlOlCrjOmnOK#TCY5DXYfP5e)(;plysE}?n>ihyU*n^`A=DrQu=EjX_29vss)i!AL3J|6RAy}nv z$~r4lP~m{ook4iHQt4J?$h`u>o}8eK*r&AYTq%B5V<`osNzkN0qf(Qt<2>T>E)2^EJsICSvO-gY+V2fg@ctV!S z+Slwx%&Q=d%~L?CU~OePvv`hWwg9z`fO7E%Z`D4Rp=uCTQ^IjjFYJkM=xUW`WQHc# zBo2}8^excb2IBh~$`nI8L8utv9uS`P9#dO?rE)K1hn33fF#84w+HV5hQmnRq5K3=I z#VSG%#18kg7V8n5Py=|Sr)X60R@_wR9z358b75UDTM6vh^Qp6Qhsf5eBCsLPr>+Zr z1f_jd7JLSQ!wf@Vtt{aPWGMq=IN*Gq*1^8Q5t%dKRncbXK_~TChQ6{4GYBk$l={E(6*E z)vpE(^t8rww_IbcD_SStG>J9oI8G8-C1FS#RdLP~w2Bq!`+DF&JIij9ZTDGE=9Fz0 zA%|Urv|XlX1>v3Bqsm%_K{Zoqv<2)VbaKa*V!fT)-+D3}x2io`5z^|Y1wuMErr_{L zEv(^>_^euRGMEjXu*{;36P8sO&_=1g2ZTdTY93@5dQeJu4wrYW3>^Snpmmg(B2FRn zRrVCJrcPIJtShHYmN|+zth!2~8>Fi^T@$_bqS0RUWH|6S>Q%_8!y=;ze{KzgS9KHW zG+oDP8&i?~DP{Sz-8q!rs4V}|vV2-w)@c{mX>oCNN;j#rU0hDJRzBuwLQdN>;k0C{ z3B#*7S!WUENw%8Mq@;w^O6s(fmdPs!D%Ns&g&?Ase@bXd&^`;?Sr?ILUD7Xu+3 z8>U*ZU1jaKR(8tyewoKC!d?)ndI9m260>^B@GM;q^t7(WVJHoj*;d*;Zf)ur%k;Qy zV4;}aaR>1-V&9jU%p_okh}LMwy&M>oY9>?7mZ@gi=BV09L25VK9jrU|=2-0Y^Uwt4`PeM*7w_6@XTO?<{aDPt=N zX5hLHqF<5KB|iy-Ede1W*(yRxvXwgluSs(=P6OUltS;CoSUoK*rz;V2r;JVS2JBJn zDFD+sDpP}C9S9#d??b#Bgg;c}_vQ7`3C*C+?^7a1Qv%5B%(*wAx$_V zbNrl#$mYQUQljlMo)yEN3O%#Iu%sR>cQobbnW}0?KJ7&$VbhS;Z9`rg*bTG2p^rs^ z$(~Z|(*V_=Gj$@zD&j*L_7R3FJ7AV#>jCTtNcRH{OSXz|1k}gVio>aY>>16!%@ceE zf}tGR;6P8m6bmG|j*e5KQPs1FPF92Srrl%3A-}Udupe&Z)!>$xqA>nKR|YcR)Vy zKDf}ys}fZZH~^`pGbFDYoV?E6kyXmh>Kd7qX(Q#Zk#g8bX&bD;2-(ol3^53T?~TCD z+OO|(iq(I}2VTms5Px3s|3jzg#jr9fPLi8Dd4Mc5$OYJwUKm8ZbI1EMlf4Yw-R zX$%Onj=*Z+9#+>5P6M{1nxQv5jdprQwpApf>j`CDO|YG7iO=33GgZrKyOz!ZSF^xt zK-iS7%aBn;uI8+G0fbTzUIa-MGOGC1guWmtC!^*|wOuCbE~Egd{u9@;CYWPc9ix+? zWgwqNVU~eh1s#}fVOt)w;f?Je` z@JuajR1=O$Hcfa(Np)sSvsI?4AlwD=!c#(ILOg4FU>%ubGFb(I7Np#1W3#kTN!SMB zY4GX8Gh|Q5U>k#EPs(7sXJQ~j_7tcm_5`0D4gmvi%Xq227#VeddLSODw}RaclE+W| zfs9?ON*3!aTT>ToJ8V4yLT4TX99JyiCz7pVftV>2m~SOaa7825fgqj-U^&3*;!uOd z+APIY1Qvy2mgBe-rwK2bV&Y3mOn6nYRi^>3gZRx$A}c^6y7cd&MAIPSA5rnlot7c5 ziejDh$%kk(2&E&aSnHh7dob_;NE;x3&J53;=E;!vAQ|87nwB9)1DN0lm_Ka-4}31n z==2Emw-O^TK&JJ{w95x(`hpp#0>d*Cz{FI*Z&{^kE=U)Qd~Y+%f{~{cRWNd$3Z_jU z`=J?~9)V$2VgxS!1Z9bs)}1X+Ss@4}F3pfBQh|{fGOQ9}F6+8(?;y5ko$M0A;#p*^ z%LZd}WNZZ?CfOSZv!ST`=HwR0WH%6KK}G0Jv9qXT77=NrhEOe&%^=i(xY@?vTFsEB zRcy8iH%YdJ(4eGF%hENv@3QsV2xsRnk@+4sYHaGlC34|Qc}WLS$1o*kA+Az#SFqE5I%U+7iS4ZWEfy^ z;gjm?gD-PLMwir5(OJI%wKqYyDK0_=s@Z0-QmU=2ts;wXKZvW-)uS?GnHK4oToukz zPD4};;&$umQLFKN1BR56b-VPDdvK`Mw{}4cZj@C{6Gq&~ysUx6*!42Dg7BndZy*eY zq8dNshR9?$5NN?YuD;h2)@G`kUeK#J;K1mw#4TiwG1vXq}k;a&u(4!;c@&X7{F zM#XA~9G#|`&FXLl$H^k33G*atbvR?kN>K%YvF^@{Kr%rpR1s!N*6OhZ2riYPG{H05 zHJX+|v{uFL0~}K9S-|^>)h)Ic!S_IVCD-!(rW`Ad7Ci&eF%Z@k!t;`?B)kI3dVNeQ z<1xae8LB@ALSSTu3=<)6S%%C>74T=suq=qN&x5@P!OI|BK=LQdFfTRanNVG7Y!i-a zpf&-!Lo+%(0>iAt2wdEC_OHP-%jCSeP<9H0RD&{P%t8;gu8-`EUb08hgnW1B^hU71 ziX8$NsaS$iw7fSAMpZ0f*BnEQs?YH0#( zVYq9PRHkWV%bEqWwHSoE55iiIyg|{;su7Et&03SbYpJbh)q;C?{e#nCf#%Whnsth` z%w^wE0BcCO6fCK8bUQSaYj8T zyFE?#8i)-~d<%q|q$k(u?5O(?2t7bxyu;EX2tF-E>0^Lb75h3sDW0#XoPF&+8|Z1X zIv&TBSL&j;l(f(>G8}G4JJz~Gb~H3EIF;6_)op}rSdIf$JE7qQMe z5Jw`X*oUdw>yKAh)!{oO&af+)vz4sQa<*i)wNID2iyF8lq+=noa(5_jk$6|F8H5i( zTos51ZjuX8$2u|xW#DtW*6IAtL1PGnDD4P9iDC&U5bH;*RN@-IJjE^nv`MyVC1ACZ z5_W=^j(EQk?*lxdSe@_hkS}wgChoP%hs^A>D_8rUExk>i5&?Y&sZJOZqnIhu+ED*M!RDzu@$YGt?pMXOT|v* zD5;~i))LyKqIHXN{>y|;t2f@=g|*zhglVa>ft~3@v*w%Fv%v$fcVjojWAK@d(*6K8NY=w?h zM=neSJHArKJEqA~rfpWjjFgh z-wMsGW7--Gx;~C(arU{}vEiAE+c6NzKo|kSI5RS2{8DaJfvWwasDeOsjF0{6$W(%| z44cJp^l#V2M2ocQBI83U_Uj6chnB7ntRNt)%Kkf)y3E00M;FF99Ql;DYq@jdYxS(- zAkUwHwF@@MQAoRB&R5I~xI@ezze%8Zjm|nd_T#R3;JSL+qr2hEU+FNEhAa#r*g`*%YmGdQb+~z8gE6`2xvTRw~1jm*|*Tmf<*8KjM z8xF^O>YBC9uh6UqZ@jJ30lxjFf8ec?GT^-qxl`ZLaV;sfNr(8)!0JM}vU1!}|3_K4 zknF`2N967N9G1J1+KN`UcQ+iWv0k=-(1nEc=JJ`&kh@<+5O!M;nQT`I`+RS|@KRs^|$DuOWBiXb;kMG%Ht z5#&ay2!h{=AeXNq2&1hCa${8lp}>kDSEwQgMOFm4>r{m9lq0CxDwpgo%=^B$OyAVTzJ!TSv|0ID@)Ir}ui=*JuNj)525`2N2UzCexCE3U$Rc706I$G{QGmQ&mkQN{ss=vs6Az&!PJvA|@&TP{81lPSxc?Y5)aEA4 zAQ0kZnEV4EHXL!-B0DYl1`u{xghNa0DDuZah$1|&)Q%#*f0>LTcw6i!@_Ru@5|#x9Yf3c@nURuZU4OZEg_3CYt^ zAx(JMRKTYa!EI@kWgy%Ma+hH@6rKcOXcDN8TNT1dB_+J2q=a{slz^}AL3bv>C)p}O zZzc5uCP;P$VKE3doUK;l9D?AqjIScRCF7Ud@vpVxS_VS72=7a_n(&d568f&7LiIkt zlZqug1;Q5HcD&%d#N3NP=m^41lC@TB#txC93Ib!@jr$TLZ-DxG`h4x9%-!DJgNpGl zCGUZH!3~XI1jOLr)lNkNQav0PlLDa>gc^{$E2uD4DpU}(g5Ar0NQ*$YK^>7HQv$+v zoxeThj5)_s|iUZC3Gk$VZV|RPAe&4;96;cFif)5 zgtjb*#v9A6G zS1oHcQ+^O6|E>g?XFzxnHOChnks*InM>;JXf)GQJ@RW>)tC!4iC3mVm0TD+W@vw}p zq$W*cXQy*;j>*%A>aRLj8)C5YXwk78HIv*47_4@S82q@gr7d9zZKl(I#k^8u)Hm1TT~q4 zg>)+1EEOsURKT@E$1=E8iqeFKC0j{24su(f?slnALD-{M!afj=(Hj{uN0gjE8;&}4 zAn3^KP8nNC*d^I2!o47V_G0{~4B6c(hPfP)Y~|AcW!Ul?JO|O}+htyaF(6fRa${8l zA!J49`ah49CqbM-#353-dITU}vXumyL8IB$tenpvO>Y@nK^Q36O2S|e+9aJJGhE62 z0NN|}+_CfrzDvl?Ay!ZS%2ji!!u+M#ofntl_F!8u|k*eKRb)=8jLN4#f&f# zB(JYzRI6Lp*H_U_Z-Mk*d?HUZ{Bzc&{xXg*dbNzXZQz(}Xdg9DJkOpCLCE)Po`WO34gLK_~}dy=1Ei z8$i;tOlFgmrwLoMoXl1g$jOlT0tj{pJGGq5E*0=)$lM2l5@D~FlX*ePXA)jia&Lyr zkEJ|KIHBcaUQq%3@f9$C4}wv`DJ>^+S_OO=GQR>riEu{C$-J!sxfwG541yBj11%>r zdL!jC31dLAVaQx5tO#eeGh|+s1S&Wl49tdTfs9QPwo6uymDd!@ zj3>x6j_O%n=}A!92ja9Lvix|IN$?^O#Y7r`n6Stc6IphMbrq=1I@~YCX~G_*LD;LL zgol-suun+|`;}DJTTddrec(KL2;{?`SK;DCo<&AjFsz5Z*5~N|$e~Z3b%A~k_4QcP z`W%i8Dqh+r&q_kS2laKi4#3V~+CpuK$3VE!5{`o~{?}#5sHUvWg^7V6suM?opic0E zq&k_=O1=cJ5=3=khY}MugQPl{ZA#AO-VLHUQMGq9;XzYOd>DjG36Gd!;(jI8H9Lgh zQyt0}@fZlRh47|is|h8Wq?9mZvou?D2YMI8LJ%JTAsyib$<8F4P*TE%EmW`u;WAiV zEi*{(0US{5Oeo%@(sTfJDpr?u@aK^|2>B33fYe2>vqFVa!Euj{B!$w#j5MHLu?qlA zif!GB<_9@un;$Vu!vis7pw?fjCx2`O9gAEM`KGsEG_Ynyt4t0>#!iv>%(%|VvJ1s(Td~?!v<6)oX_rYeX~GuC z&LnJ8Qo;cxB|NF5griDIIHsh8=aiK2ypj@5D5-7{=Gr1f6@=}QttQZ#x_pyU#;OUl zpdy?`+98GWgK)oOZy;zRl^NT}4NfEWqc4`EL8h!C9Fgn{!qXsmK8TDezty}8AX53& z*!itozs8YY4fA^ul;dFpIU333X6EWqSLa)++%8C2Obk|CM8~4Q*uygR1_BEP7w5VR z8I{VanB#cOV#KZnInGW|v`mU*N2^%tLh%k_K2))Uk~?L2bW7W@6?UxE79cx+c z3sVz8cyAFe5<2S5Jg%4dqzN-6JA?2%$i4E}x)s!2FLh@Uo-%bSGUS-jarsLjST03r z!gk44+ltmKV6L|)MFKT&Z6X{|Qo_?pN_a*|2}hNba7;-F$CZ@uoRSh$@vMfM4bh(> zSKV#2@2ImXlT~NN><3}65e|Ul9*B%;082IhF5EYOdU*PJo>6Q&f>@>r8$i8rpWAoK zv)I!Gt%3ap?2ZCL13(VbvO>m zNf0kV#J54HD&ZXvs)`SxfO${Jb(=kj;1MZGzYcgyu{!n~g8g^M5)&#VTTQ4}Qo>70 zN;m;>k7P<-mkMb@dS_P(XQV;}K`Yo7c}ix3&}xL^oh(qHK`K-bsNmRWy@22;5Zi^g z_ip#aQOCmpdUyct;k6FJFEOl}!l5VS4!yUWdIMp93J9w-VI~MJ*B79oEggpFIjNB* z6z-z3b!BGki!!!?z*u)jzYA$UNLeA`Xqmp6Fa{($noLN^b*?WX*$2{YH9_TS4X@WB zIwNDP9nV0dzZk^7*@(ZnrR@xd$S+M>nnei4l!)-YWUC41K<@F7hGZuQ&AWIYn)fa6 z?>NFbn+C}41fkcdaz?Rd0dFf-E7I6wpaCB74h%|9leh((Juwd;`el`hS^ZA2?*iUa zthSZ72U8H_IG{z*A`n}fxDAAFKYGMCWMMz|ZH0I>2*uUL`XYE42)(}_x?~HahBf2& zBX~rL(u866W;fPBNRNS-Iq@|RoQ&IglA*d|+~|1fGWtCb=0D+mkQ^3dK2maB$}?vz2R5}&xT?lQCQ&|uqowBeMDx3;-76b1?3xLoZ zo(!20I-qN|0E|}#a^$~T3^t_4v(3cqChh_bgM2?Q0Xz%r4SXLM0gmxvsRphA`hgDs zZvyJy2rynx20m<+&pE`urXzt&>-F5$Psg7W{G6@F^A>O*@Enl&p^8bQa0R521fFa;wU^(yz@G!777f)h=XMrpue{4a2 zFIAq2K-$$m)y`LbMwe$A;<*|w2D1P7V|cw8-UVd4KWQT4o%W_8?Dh1*(*f0QC!j~! zu->@S0u}+Qg~zky0=U}{eg-%Zr)A&ohqD9->t6w6UQbT5wlnoY++!f}FmNpJ4d7^C z-~PDV08aq70~ZWX^=mb;1IYF{0lW@4VxUS7*28|ChOq7*hHDTe^5+9BK)5e(888ie z1~?LBJ3UDCgJ-a^R{*R>{1)I8;0wSI^v+#`_5}Kes{W`3vi$3Tw7U(+{2wsG`+!W( zAIr;Mz5ZU?IZ&FGhON1b1z2XSD?T`203=Up5H&H^Y=r4b`Mh#P$c_v==XK}`pZ}?GU(Y0Xv)Kd1-J{i)|9i|29L(Y4El#Jhrb5$Wn8q-!+l*k3aScfA1^sj5g!v0Z)e2_{@(e`)S}XQ*W!Ox6KT{ zY+}Sjv)E`K)CcL<{(FJ!j}yQpz^PIAPk@Jk^MLwSfVtxJ%tDdWd>T=x@+|`HF_li5 z_?n4hOr)I?(3AGZt95rj@M*I^tncCpY98$}kx6yG4`cv8&NKdW|7+CalJ($Ex1ZMM zIO0#YQ>hAiG_oK0<9f?qZv`IRA6l+a%Rdga-AdD*Zci=O`FxyR*8d3Fh4>Osw~Ma7 zwwE2&dc@OadKQJ@cg=Pl@fWJyrvm+q#80=Uwx{jr^;PHB9cn#|EH{6;y>!25`#PMB zA(hONjoOZG&pK02mq*8UhuzuJ<<;fVVO@T0f0>!zN)xNidG~=CCKQ3Zo?RCi=6qSe zcE)($cD1^$9R#MSILW=w84O!qPvsRl9aVHWvfD?8b@_-l!CnDy8&J!2eRWvRgY16Q z`RMxVc;|T73%hKG{lIFV=Nh$+Oav}r7(YFpr-0uFIrn?~X`G~jo(Wl~%QeQ7>o9{I zAg||!sIy%LqOIP7{q3f{t{=<0GN#JOD4!Xx`%i~+Ou0rqA9a}Z=ztwv9;&aA{gZpO zvmC4^f4aT&xYPDH7WmWo>weSmy1jK+qqd__>*;)SSR?iJqr9)m+&rF%ChB~a&Yy!OQm*Z2JssAl<+_|Y+?D;V?CJV* z+#g21+78RXU#S9XJ!Oz>?)4m>rR#qT>HMfaf3GQ!|8~f6H*c=idt|{A`T9>$ z;W5CG2u}mf1nvcLT%G_f29{h4FAi`G@G!7wD%Ka+uK$c0$F0D3A#b`42Scd;Sv(y9 z@^56H1oE#i-wWg)59Yp*f8VtRVg6OtqX_Ry!TEse4F4R_GRXONdU|8;mS2VPAfBHx zXZiR|@=D10#n#mb^8=`?BR{IhHIARMI|$_W)viN4Ka}tttxcFi%b58&UR5WnLfexlKh<9?*h1$> z5#ODoKHpM%SStZ{fxMo)y;@Jctwi}e`@V+bgKzMx1@c85J@1Dh%r|wI*M4AcAb-Te z2p7tb$8*L+_U~C!&eu#vUWk5MuF~;cgR!O_fqn1w{59Mls{DMvV2Ifc%x`Q5fq zFM@nTJ6ykJJkwLh>-mevb^5a_)V{4R4q33=`j-OZ_1yb}*2_mc>sJkY2>3ow&WlxQ z|G~rgBOqU6;{8B9Zy+}JGe170?d?T;E98S$tNUu6Zs)qO;5Iexn1(-9-&c<4bSDtc z`Fi^GG+xNzQt;k8?^+1mI=YS2s$n9$WE&vWjcqMQG@F0+F%mZ{2fjn%q7WIE} zBihq(zsWj!J@>t;^W!-PXS){2_(eb0@jMvO1UnsDaasm(JQJ`NsDDcT(bHO=2McKL z1aJ~iKF!z4K=zT>v-@?e&nN6tDF?0uo(0YWrty5P1IVX|ybkh5e9*x0M29}tF?z|L1JWmc07&oeVxa!9tk{?5z^5Zc^zX9$ z_#@J(N~CL)NT(@rqKPFyj#vFF0^{`z?5XWlBYq5IYk>WL+kipf!K`#@T-=Q@s>*RJ zD?VS^{Xs7se-iQ3e9c6#@~7;~?ydDsBcA=EfAq(~FOa(pbSG##XeVeF2#b)UGr+T; z_dxW=(icmjFP21KEa@Cb+a*sQs}7TY6GVUO2O#=eeejLa-%4LAiN03SP7wXA^tF;+ z1Zg|;ubu*(2E7fUf3+Wcn*Bi`Py|#CN`sbwmVxdB>Ga#d?*!4GdM}9n)ZHNZQ|U`3 z(U(d(1foCnC`hNL|Fi|ZPWn&VLG+)}cS_m|(t6|%f#@%#uarbzDd~40`b)j=h0g6Qic(bq|$uak5Rr0tWZk5h-q(}$_U8g+h*TYH(^Kf?p4QxHDS zJY0uBT5s$q^fPEP=pabT4~@oLzZ~@i9Rg`N{h}{|=oh6g)XEop1MKQB{i5`Vk_N(- zmeVgvpD1a`So9l+K2Z{VqNJS#NC%n}veVHo`byXiYkMDu^o!CbN}^Ac)CBstblLGt zL!T(=Wf1+MQ=*syAo@f}!wc~!>l?gY^f z`jkVSZRdY#n10X~LG**tr}=5yfoXo{NJl^D@V~%%1eyY(AC$hjPiyyo_0{!Cw}W0s z*p^$b#`+360HWWMK2PcQB$_{+?vo<@ob+*$_JBTVx=*S{KPP>hB>Fg|pA$$w=fj|E zJM?qD*@Zk?ue)$|JJ7F5A7-{bW%NmA%Rg+;^Pta?L|>)0PyVn;^jVVVvn0`1srASY z1krC90?}_tAEl1hNZAq){g!LHh}U}mQKa9JK1&jPj@kBfy|d*y%ruXDf^_stZY}9- zU)$M+xP9k|XWT)P4xdL)+xyQ(=6UQq`RaC}4tc zB>GZG^re#MOC`~lN}?~7L|-Z?@mc7D=u0Khmr9yXPw@WrMPJIL2eVwI@zSC@d%GBp4mA+>#{HY+Vr`w(W(>);iPx%EbEvLVfzEaXF zAf1l>Qhw%${!;o#wVXVCrKCd+IsK()9AT|bf9c2txDNpF`!V#F@;ff{m+~ttx*YV6 z^4lsp9sQ&9jgsgaCD8|}^~uv0N}|tG%gNLCNuuwQ#P5@k==&tm_erAfle8D4)03yK zlSE%9iJ$PGzmq;qtw;V0i2hCbHc7)l^l#F)Num!^>y!7c$J!1W4bt*4;KzarKp}_x zdGN1*PJ-TY$RprqgKh$?H|aR=IZ)_!tOuY4CeepUIsl>{lRiumeV8P^vPeHBeU-XA zHp*PtrF@qHmH^j(GYf z>6;|I4btO*{z>{KNjwNo|78AMis+jp(KktY9i;Pl13b@;(;rD+Bxxsz{zxACrazKC zN3GA(yxv{7=7NTUw44WHcY_`R9dO8*mcB|7&q~u@Nna)D5QzTDLg>?9Nna)D5%?+b2(-q^>V{`X)*AO_J!FB+)lXss!ou^heScN!kjcKk@*G{>Y;stw;akD~|9< z@bop3PCMk}C*EhL`>vP%Pq?=|UgN`l7kCW(U=w77LSglP)zY?>`3u97E6c7eE1FYP zT{gb(x*|_@bZb*{%Yr$}=C-u13OCGcZCD;&-ZI}ae^Pzh@`WwS>l<3;F1gv?zI18J zytbCc`dbz*X(aBW%D^;O}i3)`Df z?5b+~FN?f#W%&B;6In`gs#+JMrL8$rTbkP!wuF&Y_t{t`-OYtZfJ>L&ygW3YJ=D5t z*}~vZ%;i_=~s zudTzIt90m`7Ju*>qdIO*Hq*>!Mehc9TV>JKF!Ha2k=LOm4^VPI7?F;SHfk3}QzMCq zxiSwt3qo7o!GE)d@c%!fFKcjhJLvUj^xQJHd5Ic)*5E=5StAFd1mn*N>cQn4e{)*q z&xaaCtCqJeyk(B1trE{$x|Bl?W7IM9*=hJ9Hi$ItI%S5Et{?8>&~^Om+YF36tv5HFDHiCkYW)jrvx;9EemgjD&l0Q87b}XoCWh2C_9|{e6%Be z<~0UI^pxa79`QY|5h#m#y=vC+d5`##*9beR^&xi6?uK;?0ZdYxU#r)%O7!_k#|&L;lGDd7`0 z@jb%`AJDDczXv2QJ0%fH{=q3pQ*zcRSw_hRmPB+^Oi5`+_zcR zH7n@2C^D_NR;vYAFU|OsOs+u{ks2*~}Cz?k%U?(S*`bhl*v zIrr(^^c%!otfL>l%V9dz74x~dwzfLv1=Z{_wY1Jzu&|+diQI>q0&^W!A?tc;b}+7? zbF59dyF)n|)FoCYRaak?63NV~v1y5ePF||Hg!ABm_$RLsNmQX@&wN^I%!^n}=I7r@n~6@UgUW36Av545M5M%FX(+4 zbt<#kf(IP@$=y0hP@iB?jg^i%QGun!^*og;f|n<3RIv~WGuzKX#$T}ybvg*%r>{KjG}<7pT1L$^$t`z3ZbNjV((@|M># z<9|}ea&h^ObgR23J1<_2<%-?RqeWty&lnVsx3zVI^(5p;Bk^S)`YWkLJamM=gJ_hA zUl_mp`bKdEe-`me>q1+@C7X8fcfS~3Dh8FN%kQoOq!Ov}a6Az#Pm2LHO_A~y!EiiY zJ~@cXVOd$U4XhYYnkZlS`Nd*j>Fk>m&G(AIrHOEDS@^Qz=-uV`71zc^|I+$Iymq?y z_HCt;_4>p^PvZL+T;+*WWcuVnJ)EAQ9Glw7kvmqTCPxy5jfuSY=oN{{iA1<*dVJK1 z#I=c?D_6CzYTlG5dc|}yo|zI)_>4R;ER-q`iyGpA$fQx1#jj3Fjf1$Pd*w@gop!?3 z71rLT{x`U|cCjVXJ7BpflIy`I7+~kQemaI&R~J2=I-@&ja$$UVZG3!jGWytfT>pf* zhh^-e*u#zwB)P#!@SjOj^eE#IHTG^W7-2+8#?ID>d2mgf!cA#39F1Zx6~98X#>6H5 zJn$36h|*v&^>K@x2~Xlb}TQe2lBBH=~t?UBeG;r!x~amlH*g^{++;l}pH$mVdpZb%+_ z6g&DE!xG70FcB_H2E*2_kOv{fH~SeEN9u#Ya4KPSBTq((SNfr6Etd`tNs3=Xl(a-V zFDZWS(&EucvHn5>%X3>iQBoeYT3e2cFI{L9CBg~LwaK+{?f8*V&t7N@DvCtWpxVlhavU44##{hEeC;HT*xdX7l#rPr79DAgp-J zV-!Z>#pU?{_Shx-NA{ikN3O_M0rkEoQ8N)AP~j`iv~-qy9=J(6)IBu^mJl@MIK(<_t>r<51Te}S&{T1)RsTpt&|J(5_1BKUB2@)o<(Kz3{= z)E#!W&KGkxYu>5rTX*Y59|CS^dCFS+*f7fL;?psfuzHL8z5aw)RU^jx#hg;HB38Vj zxHc6XcVkm(*5qjOMwq3O_R{etozkIJ>>JPOOTFHh=Q^u=xJk)-q99Bc5E_ns?PK@wO*c zj0JQ`^BrRSx|%$(&4?wE9h2+!UQ^WEEPk`?=D5@ndhSInl8yf!%Mf z(#APr`{x?+#WzJP7zpM!r|RNY-du+ZYIsUyay*=05-t|^uGx?$-V?Fn;#4wO7*7^Y z7VqAMTz2QgHj6jNJwomTxnam z&UY}xzvUR1=CQ^Af6?NST0mU9*C!OY|I*7-Vs%JtXbnYXVI^Rt;^L2eLUj|bx;}8} z;_`U(DzPe*9~W;g4@K%4RV##N$)bjDTp})L5bG}}6~AvOWoyI}b#-_cOB)~djRg}U zF%+Un@qUXxTvwJ5H~B?yPb6LzSryltUs<~^_>6qZU&FUV#FrqMy-77lWO7?FnG*9h zh>@XDQY2pQ8*&Y$NWtjIlg0O1VxlnA&cdO6Bjb^5x;VTpB%bqx#83M+hyfT_V#ji8 zL(dmc#CtxYux&+KI9?pi3+Dy$0>$B4tfSb&M~e5DP@#YfEex?|E(nQHF+KSCri^$MDKYBM*u`4TH)q6$0=@k3 zpjb+ekR@!R3BGkB{|F>Y&1XGiFQ*4YdjZ7$O zvK~pue&5{3=xD5Ms;lK_xw{NLm@qb2XnEd{#pB7^GI6G*G|_xRc40=fp>E-DR1J{C zs5)!B^IaivXJ2E9vlfxW6xm>r#Q3%V=4)777ZM47Q$bLcZT5ow7kWL2?l(;;Jw@^NeL z_4~?G%cIj|2K#-F6k1L=zQHBFeW8)3+6(=cU|$Tj?%w!nm-sQ(yok6UCYFbS>FY!v zYw6?*U*d2McDqH@xZ`%!_0)w%$!(2jYZOJT>0!qh$BYao#>+9ji^b}4jIR-^W2q^w zA$}DW{B<#$dTQ0HvTT#*bB)5LDUEsY{F3^T`uZ}gGI`im&EksVQv6AOBT(0vY){4Q z|Lc;?vua&sUq8SIbToQYTFzb=8%<*YE%-7e%4~OBYdpK`nys*)F63qA6#OuS1 z0PBfkSH8q1D<8h&CjKzYC@F(d!FW?)JdhWhB9?Lm zyj-@?q(0m?ZtQ;FxUjWpVat+*t@7;N|9|HMoz>&*PPZFV%MmMgcyo}h^S;sA{HX^s zR?s}4rFV=nu{?axdCSMw9K~6W5vbJ;8@});o)GZq;gaV3VaBVU!XPh|T)^=I<67!A z!XvrjN{*Y$eIeyN^{J(3+LVq|-KM7TcZ>cFqBT@3dhHqes^vVCqyAaU^%YlMJ`PSk zIQ>S+MFz_rta*LYM4!@4GWmc8tQ5tf_ns?$?#_F24`XnL*qmD<{$)iBS2Ne7`ywM} ztt@%md!d?ld~H*FuZIyVs*7He2t-EF(QI%PGvfRw(6o5jcs;xMZl`#!hY=~Ni-fO_ z27{fo=jgMEeE*YYI#N+lc-DQgCN;iAQ<%XGGOGhk1>>Wl_l7J< zSy?(-HaUISvu2XTb#RB^vTFW#$J!5d^h?ndqIWC;BMf09GPNQtZAe@a648*@Y*;R8+5BJi8P`s}dJKA|RL^U^yDDDv8AI~!(d!jo zV->%4iDc>zea1y_q`OALT|IH=)49%lv8OR)lx0e$`xZnvKdN(-vJ+j%{;8)i6s0iF zi%PX^xyGZ=CCU+L*3`F@`Tp8ch%NW+-l6<9?F{3ZME=SF`*} z7fKIYn5FbMeB$}y=L*kg;_J`XAn4w#Fw4LZ!bh$tXakPp2dd#P7z;$7XL@U zHyJ5TxCYRp{V^@>(3O@$^Lr3wPspG5&o1h(rNlfcD%1Uwl$g(lq~u>aNj46k9jDG0 zI!XQt61|p6Gk={eI5;4yQH~5SjLYRpsV){*k9XzqqXEvwkT(BuK<7%XAJ|FlzRscp z5anBqEscGjT914CI5u>rI*b0$S@f5KP!VUcFAp+?7Dw|Qe+cG!JItMcyo8qspS)1` zR#!LzVpS{d4Y1J~<*N1P2OC4(ZT(k+v$A_ATW~O2@a=5D4+eLt>*>y-50SU%)#@5* zJ1)X$9rHxLY_^9kGKMz0RK9r;3g}dM7J??%GI%}45f3RhXvNtc2<>p03-Nh##1{AJ}w-uK?77@d0#A4jl zG+@tClEG^WSN!atm=O{Mwl50R6<--jeyf9Vpwp@Z8Pec~5=V!3oXdv1}Y8-Fcaul5eV$%EH&po>?VOnt|W9j|m{ z@9=eEz7;eFFJsJaXhb%U&RvdotN0Q|FV3+ZUVg2CXR0ROSY9Xk#IOephgZM_IY;gs zH{ntux0IV|Yj2X0vf5cuj_+uB3GVZ8AB~-V9MAB>;q`5ea4TSQ$mqx>{#z&B@ocy| z9|s@mYwpeq2BXapoc8fs+j4#)0?#V&Y}+vRZTwh-_$3~=t!Vp3stH~u+cP`~G5?A; z5bnsmXjZr=P#l#j!BE`Gcs(1szio8++|M&8{+y?7TxI$%dG*XOU>X+BUH)lqA(ehN zZ}G^58^dX+YneU{L2#FA7x5a-W@d^0xwB|9-a&CC+u;!KgCOEN5UkjtH&^^NhQh^Dcm2BN5L)rmM!!l87JW8W(NZvZY-tsVOQF18kqyHHiEm7Y_%f z*G;?8nqT~Mi8#yo6&jfzoHY8jNOU9puF+(x8hfO;|&jath5 z8^Y~?12=K;DfH7co4UlorK@>8AXwxYf#}H z6F07r=nB^@(0x6P{7q4r3qK-y-gE7)Y52cOgZZMXV`-324m&-Rw5~O{ia0N5`sD|# z$c~E>o+nxfZ1)o{J#x@|6fwvaGwneTIWAxX`dJNDRn9MdkV~DfFJD<`{0@FwN4weW{qM+jzSiybn93~?pLKuofvuj5cN*8$ApScHxJ}XKS*HsQdB8DO_jWSImFuLhC+X=ah$}%xV{Y z3QC;8L*n-4+Fj#}huXh&R4duyFC!3X3N9Lj%d=~I-iJ>C6v>-ozx-GF(c?`#M{j;HKo(~MylT3^rQjZ%RdsBQ zr0fvRw#u%=yUPE2mH+hLo^V{0-CX7Q*)y>f7hmgQ&JUmoj0HTN z;8O^(q7(yV(*zDwS^|DJjql0XYY;!7Cho%F znm+zT!Rhhq^WAxTL#RA-7W~4R!0|~KFgN-9)|&XSFaqP7tX%`YyC$%*qWFsngX23{ z-HW5~^0rtTk>m9sPRCn*DSo0&JOxRk-VXC)ZQ>Xtt~LKfXCi3^2Y{^lO2NNo3qIc? zOXI$5!Cz+!@RZAK^d}TJ{f@uP*0>!Hcyvd|a(vt8yrbc_;lyvTs5@?j_?HY@yT@EE_>*rbtpQpy$zWsmNK1X>3^sc3g2f^pLSK*^$=iFAVpO2f}j{Q33b@oig zZqwT8{M_T1$+EY8>&fcU^>DWDxC|$z^y1Gi{fT>wtgVN9JJY$L!HcBU6GD7S0zH4v z|FoX}n2zmYD7eRpqwCanem<__v%A{W`}$KsotAoQG<+LxQSsKTw#ojV!A1718$aJD z-ovfikkY*JylCFyMNwd3;y_`3@jZ1b%2H1sw3b#mo_>RspX;Yv`XRj-{$K^(E)#o}USU?jlrAM)RW+@%( zEc#1qY~4lr5+qsaeu$^#`LkWte}uQQ2KWo>f(7}(!eFr6dJBr*xfFNx#&r=pK=*^% zXCUok=!TTNj4iF`=a1qXe6Wyjc44dNe00CRk0CBF;xY;UXrkAX_=Iag_wH2nQL;bB z$@7o_`&)1jTv0`JH_|@9&?RrYd-ueo*S?$d`f;-f`Snc)6c<`R*WshBmKYio%oP}Cv zU&MKy-27?2_lC1!^^NoAXMOjrC^Rt?t;dy7e*KM~P?IfZAFjvHlHXMWT8Hex!;3Pv zh0jgks*25PYhK(su6YUngb98?aj}gia%b&?WMGVf4shi4Zg4#=@;`t!GLJ-<7`hr z-0H_;m*6;ow;ab={;$1E{f%J;Kl{OFkUzs)sCha^enC~d>NBQ<19yjO z;dzC@aBV!98wfYXWmBm)0(o*(Z0A2d-bRXWUTs($T@$MnPm54^bZtaDz2=o9@;-`S zzP@Jg8?oXL7O0~uahm$OJ^f+v-IaLZ)!gp$)3E{%@bM4}D^e^O7?+nE6~?PRHx!P~ zn|#@XOHenn4Cs$uv9Nm7D?dl(%OrTh*bs~SXm`8^9*E;iRxDmCevF>_dcV?ucnQqG ze%3uPKTs=P>S;vtm=1}iw1+n~ZfslGCeEyhT@w)ZqUd>TJ4CZzL`y|wNQ~dFyRzi7 zNV}nrky2@6zT$u?i{BT|4%;<__G-8`zIc?bGe3(f zcJ?-k^JHzjI4C*`CwjxyrK&(s<}qggv5jmVrDEZuBfqGtNaA7_y%e*iiP)H!+($_ z5>D3FqNx*sx@0_DTq}Nxm!kIj8XCt1!twwfjx&@@!K=6_Q5nNK3h`^>@!}Fb4l(3fD@mzl+RTLM4**;>`9%P)5 zC;rfQwTUo-VZICwTR!pk%NxYT+)&~`qj(=D2|u4(8u-nnIO0?)`fd>Sci_0wTl;GT z{P4CKyyNlH75;#DEZ5%_2^O@87gu0TJdqnJ$P2fLujPc2x^)Cz5c%Hnm^jk6rVdYR zW8(O2rAqr7xiOs2jy2+yAFI04QQhwhF~YUt?j0Qtz!Kk`M?@$SZyS}Ld}<$PrSbv%{!~Hsi7g+uVQ*g6sd;6OZDifd3*5kjo!iW6WHP_SVq6T(hw(lhQi{} zl_Bv;&zSW^7k(UD?7PUQN57y1USEx`5id{0lj4E34dSS0zc{k4CK9+Se08uO82rO$ z#0CC%N<4pSNc>H|JviQy6oc@lSZTcR_V`S(4~HgS@7M7A#=>Rw@$h3;;uWh@JaR*Q zB)%Eu#a|-Td;Rv5)g|u`)up1qFJ@p8heW==z45VlTbbBs#L#3#SjTZlHUT=qi|5zY zhE`Tj4~olM#r;OBcn!VsoDtJiS;Cb-j*OTu9&D5xB*A!XV&ha9D)rT_#P=$a zIBK-9B(haJwXR{g_@Sr4dXI=-H5Yp?G1l1Gth_836+iIA#JASP8j%&M*sG>Y<>Sat zAB(y?xiL}Z&KsA~+P3oW7@0OF zT4-^&tu7GP{lbr|i@hU^sqyfnNHBgkJ{+111d_GlYfXME9G9{y+VP2q(?hW_5mgO% zZ$T&JciF`|BaF%6XtHR6UatKg1Cu_Gbg73Zr zkzHe+yzqo0$@pZoPNKn~hD$M(OMN&wgMHj&I3}@#$d<>2Tig)CR!)XWeZ_319mRRq z;t)(AFx{#lH=p8#%ZzLJZX(_%sug`pm*BISc;kX8#YKKmQHs$M$2h;osv8sS@Eeok z6Ry%VlZL+GH`2J-ZfZ*vL;}gr>o|UUUi@XixTTg^B*&>;hTk_wE6BuO4`BRnsEtRG z*Nlo!%f~g+tVuvzgq3q1r{LH?W1t`}D1JMyRNQ-MD5*A#4Zc7`)L=7kNlaXbj+w5f zowVB#G^UuX<%>669SsC(6SE4`^uRJz7FJ6iTI_DI3K^}g85OP{U7{laI!5~atXe= zk_tDjS63a?L5X;y_`_UmdA~67xwtq!H-`O+_|`~_W7VB%?F_^dDe;@Rcz1jx&)17z z&y9)uM&d$xQAm7su3Q*SVxor=b>cG(coQ&=&(FA;;$0M@S3{f&j2=fKumS5spiS=W zxF1RG2waBuX=K}nd>HFE&Of)Nu{J4&!{EZ07-dzBe7Hiz+|e6WrT)eK>SZR4bd>EMSwSMWPm*V7&xBk)s!+ zw)pLd%ns`KHu&T#7;?mJ)H&W^S5(a2KDkI-P$QN!{8}_o!ttHkObbLkux6dKd(jrJm8)mO+xIr+Y@z(mdMT zJ(B!@R?l=#cUwK(?S70#jtw%BY#9s@ek4v}Ks>^6?lItem*kjN$W6%koO8{I$uWt^ zA-UvUljG!?6OuTA+|Pvj|Ep^4UA@(kOyJx{gEf2As#>*b)v8siYOkvOJ`98PqiRI> z6^zLDR`k8~Q|l|YM81J@x}R$v*s5--sPqjrgDd*h9lHrD?#}u{yE^NS ztzTAZ{B}mC53*uwoA|Mf z$ODl+%y@2;JhnlD6n=ms@(F|s+_taUwW;<8cxiRXz)g|X!q7o>VC2Ua8~5<;){ggA z4q-c?jMJM@9P!3E-K~*tTznw%xz>(#SZ3|p61nPmZHzRY@2bk>Ii=5!dqn<=q}}uj zYC!mN?s(vV2kt1n`~Q2ueS0EnrKvK^@1Df}_C_vx>XWC-kyk(U8=cY(I7+=2%g$(L@3x!4 z*~hkS*n0vF{vo)b55lQlx307|%0s*xsQ!cH2PW3<`~hABqI#M0-u%K#PrLhPzTx3qKPhYvk)b7||))AtIuxW*EHvCI}oCX2go0T%-9ShXZ`+aD47 z$S(Ykr};N-+qY{k4zhN3j+86IkyrQcd7xCIhR?Z@OvghElYH$ye$vjzJRt zDEZo}HryP!^rH`yZaZFD*V(X(hkZL64@KXxX*hc5&Re3NkX#F^2FptuA1HO+3O*C% z=mSfgt+*7Zv~~S){C6J`N?Qp3i+?5ilRwM)dVl}I4o}O?uyzyWqz+G z@~OVQZQn9JFIC{pGP}b!d3bL*vbZO51=f0^8rT`Tu+8iC)*QI!89>7&iUt$oTtY|oi_gy_0x#N9|5V4*IKNS@D z^oqXqOQrSYuS&&VT=mt;@zTfkmbY%jxVgioeOc`nKPnVKKp!SQL49=917DYir&jfE z`+D`)*BkbCtU4*H$d7*bk3PKX*fDMgZrxQbZG7NAO$w#o0RJn@7r4(jhS9wl?s@Vt z1nGhKM#s!e^L0B(>9;r_`r6W>K`vCPyy_oG^L3jvm!CgW!1qy1GG{h^3@Ne+ck<&N ztD!-aDnE@B`I?7hP29?H;eC+oo{!PctQ)>EUAU2E=(LN0=X1C`Z(B5ki+_{X#p3@)J;zAB0o+L8!>1nA6R)Ulfsj<7XhU zv!BRuJv_BU;=_am45T_dzL!IhikE|d8H`>4_Kb>*UPrV1zMG#5qMlL*ss~IE4KU)27>n3 z#IWdkj3a-H`6H1c;fK8#t zA=sCi{0JDG?`Y&leLHT5B=$u9i?=Yy>t8P3a^=X*8#ipYA@YU0b{voVNo2*2zRJvl zgHte(m6^&U9=`bA1C_zZul4PzM1JGWEmvJRaXoi}z8P5<+PWd~AKtU4a?9Yl4Y%-8 zvizRPAePG;A_w+F{u&!?r~3v5BM+_I^S{pEjRdWD}) z{=qVc#_zCs&IvH` z)>Ysq>mtwL?daC%_1EB-jpe?GjKF2t9f|~ zB<{v(qSpK6o? zN98B;=x%z_ z%EJM&EGZi+pHMo{FB>|8WdtGcUaOsz6H@-Rk5>eU zG4ViY@hg;(-}7vrA%UNxj68$k9#0HLe=FwS2FuS=ajhBZ3%5*&`IF7;t8t~`7b9x|lgdwkMqXHnw>R6OH=Mxbq|suI{m;AuH|)Iz4#eA{x9r`v;l>EI<*{G%njOAN$B&Li{sE3&M|b$BUJ;z= z2~zkW(g+TuPIF*MgOb;*!)9UUqt4|*{7NaeP-A!x{QVVshEmZRcD z_CzDMY}pq1&|N#;xKUZ=S50}66?gG0ZN_XS_wz-kj;pt`@t1(V2N8=1#VYZ~AZj~p z@e8PtPeuCHAOFSUctL^)34hY!M^PE#ZmyEaipoFs{}+`%duZRkJytqwo6kQ^WC0tt zR6U0YMhyl?FlwB5THnZHggD~`2lUN&Lb6&o=8z2nJD8ql7k(FCJUJKMP48~IRQ-!+xvhnLE~__-5@C(Fa1Jkk;U96_^Jc>nqT!+M3ihv4#d z#>}6$-%SRT>-E*Ckg=7mjdQ68@1TCgUz&3C1J;!sr0NMokex!U7 z(APBRmgFTlQNULjRc1CE5C9a`IShYd5^ng)$y;M-nHw7ui$PI zY!dtW#%~};zTo8g-4T!UEKDq%N?H{{C7vKE6a^!el-}0fSJCF z{&^#=?68Y9eubLj-c92dsUyGBhu3MEi{GY(40P)Z74j?9kv~JZO9$fDnQ*V`X&=1r zqTZjhh5xTOTp@SKnCGyV-Fd(nx3GtlIzP=F8S0B%jyq=;ZpMdG?eQ0WsvFlBV^+48 z8}Xank!O)`SP!)F>)ny(;l@Ud<^CAO_R8Kdcdvf7?vBTQ;uoz;#d&;t+rC*=?-0&| zZ(M8x`AzZ2mcI3}_P9-0Oz_{IOoN3*PI~xG-=ecE!!ML$bNlCX=<`eEk!==!ztmR! zH`W$%1I`#y^Q|`bt-HG0t~mI8^vFB=HV*Y)b`(D4DLFVdp3Y6rWOM1USmGuxHJeJL zy~+6Aw71a8QG6co$?1Ku$z&ooGrDIip7n~&Cca$GkC}Tj*_%>hX|I-R;(N)3N>f~c zdZ(Sm7rLmGau^?qS;A8OGoG?srj!niFz5Ur~AEndHr5D7`zCoXKS&_C#^PLsOH}<1;2PUCbAfwMM6%$hY&Om9{r8 zTrM<=Siw|VZEy6Z?3l={(QbPAHvT!2qSxUJ9KCZ(Ap+3Idpx_Eo1h)-ghb}i6& zc-RGiq`U>xRL9@h*4$(6 z$A+WV zlOdECn@+N~)48d=ib+L>k2%Z(?J3E^-%7+lCwheWFgyFXqBvq-2)!@MN&578SOruyVcsv(N zjSi;d27!3BK7S~_STH40os@mD?bEt)WJ;^b9D!L|6gGv}#2Lh3hB#2PuAxv}i}?z7 zL&>D6M=1;2WFnWC*>4#aaC#;+J2`2XWW||wvoqiBG>az5P`Snzjg&c)=xoY1vr>zV z!*VWEFk@H)lCN@}v-BuO?{KnKC@y>R80@Xw{G68m%UUaz=RtmW#3LT&*QBr?Z9hUvRw6Ql9*-Cj9O4zFA>j9vW`S9-mdxs1Y>V9Un?aOXnz7Dei78GaF*t`4R|U+fcc!#DkRn2jJ^S_2UoWpPCAXGxguVuKwy#TQD<%oO-v?M?%0 z|J%L9%vg%~<`%7UfCeU}V%iplGeRR(7sjBi#r9Ob)&@=|(e@5*_uwh!iVf6; zmd$y^My<2x?Y26WievgU$|MR)S1LCVn@(VSIvrayn2tJ2Or_hXz)wA{%n31>Q`>i%>V}_$~bWWVEH`Oj%hfu~V z3-t{B{UDQ<*f%yFQ`lsYSF%*=5){_~Q$uN?}>YM7~gH7F(`S z6FsHYIU|QNcF{8f&Dt!mmxDPDRP{D~Vt1OmH zdPu~AM%{!>TXDSMa;n{hl8N1?D5)F`V>IwZdEZdCmvak{Q%=UGT9r;SPtUb`cyTBj zvyLvoq%g?t6M158_1ctpz-_rscN8;Gtypczh-CdT3aA-Kp=mZGj9BQ!i<(ltewRs6 zsmSDlbAVOK;mKmH)Gm84BQT-~&Tbs{oDG?GA}&5N`xPGZEN6flX*A5>bxfw6BE8+n zN=j^&F}p0wxm3m-P#L3saXa(+s;UM-XLgxu$qcH)>azfspj(R4U7XiDEiY4t+t+Es z5j50oP6Kdgo!!z38D=@lOey%Fy#dKyhEpS^16<|xM`6Fh|hh5}K9NX!n zBU(3_N#!~TW`Vql^B&d|X5yY}aVN^nHYP@0w4m8(Nb`IWi-v^th=)QhuS-SvmR2NN zbqr0s%Cf6BuT_GI*7Aq!%Lz8FxKx=h@(Va|I44_iXR+D;=ai#urfGxG&Mnj%(kvJ{ zGo52V=CWg%Y>qodMsRQ^?G$Log<*v2lt#VLEaeLI8a67F55cB8wJatt@rosZjwRec zP$(6>=3@Ksp-ux^P^JduM!PDNZ?=juZ98)S7;e>*(@xWcaHd^Iwil4kk`f2jR3l`` zrax)YNA_)lDQ>#l?=!4##iqcgGkBTHe6+>+G}^5;91(Ovtx>757o+hUX21uRt1P3#P)n^8c6gKbMM z$Hl=GBq|JM;j_I{^Yyv{y}liaO_GogVt|!J`Upnpum&iwql7%FGp2(sLr&&1Nr$Y* zi)bU(h(*M;bDq<5n_r7NbCe{Z!?omj+3jK$1)csx_Xb99VBM!H?x zLs%IsFz8Cd(?WwJGrb2fOI~w%VWHY8`(tuO4a@t3IWn zXl_WBSVdR`h_ToJ3v})ard~L7vI(p*MemKJY3KSK}C_P;h8{ zh1E2xM_M6!hMx9G7vV&Tt!}mJjRtt;Y~OAcFug%ASOa3I4cWp)7xxy(Fg11}s+7$W zUna@c1iVQ#^*Ph2v(tO0xgi57({(L1WpXb-n>Y+m(m7GwkkaeJ)>3Vl}|^(qg5xm~YRQy;5}!+n?>`BD!2F9U96F zVSClGuswIZ-xBmgywhxAO(Ct(EZcI}c~y?D^(YkD=Q8Xo)Bu0m3(ZAn4mJq34av&0 zXZC!!&_a6BdqXK>O=F3=B${Gp$iSpQzuHmAf(?-w*qj1v7O0AHaZ&cySprbfimH*+~ zb$(@-Nn$GO<}!N!_Ucj=$CDIQP7;%V&-VP-_`F~8FY``xC=~X$rwBccTW6BSdbQs!cx8h z=MC;6?XY&kHq4%^&M=5nY{*D^f@7&L?y%e2I&Y+u|2vSV&>ZN|8|vJM}d78!{_F?sFaH!XwLS*8`(`{>&Dk#@w% zF5J&ru~fjs6fiUfzaW8K87p0Jwwr^{pljLfh*vO$oHowUIlzjB4lHez>JsX8_gsYF zC@JjA&WNb6U&gwVWb_Cm`cs3*(=4_c z2n#9Znu8wHvQj{7gltpo8 z9OJhOGjNNYp z!zqb>Nez+t6c%RqcNh^^<1=x*RdS1n)RDj_ulUULcyjk_+ECTaOKbq8W0^6$BVZ^F zvT|F@CL1C5Yow~NWn%^Gzq+FEo1%%Cv1zaQtEr$b5|t$xl7o01y2wC^1=0@m2#w8R^H7A%p2Cn}q+2}SEC^fJOl}MB6sihz z&==_bd<8=li&uF3@>b1@XA-8bSdXYodMrMc+$Ta|Z8k^{Bdn1gr+)kw*sM%=oyMK;sySL;=c%2qP^?#f<)RY&tV; ztW$gniD{$d-ehtdlNYkFks=2IjFQUDPRk!V80L=fbu-_?V*8N=YDDZhS13#Ypz{R|;my zItwj_LCF{BjUv7bV;F6ahMQNGUfMK;o0$Fp(|(cQ%X$+VK%xX#gBRqzAlBWI*BT4H ztI8Pj33;XQN=F;Tip~F^(-gGlZNzmJaj> zv=j$XC(s)9GhJ}(XMS01C4vaRfNxA-*K9A2)i~5f;q&8#7(yR`7!^|h?}J^=1hZp@ z7ag*5N1n!Ng_#9y3bRO?`5+bu6A32eX%iWYtsso)jE_VR%5DUIPtk@-1LAZ-f|0r# zJCJxOU_>(&V|XFxx<+DRKT_Bh(`nPi!{&k2!|d9L@;a+QV{y6$<|Ssl-Z&z*lCuLW z5zQC}h#$wC!;BF#b%RW|QYPXvv&IeKL?J5GHB%Tx@D+db2sc#8I~^=nSfdzi#&(KN zC!I_uCtJomQbtf33mFGRTn%a^#lXLqj*9t3f8t@%IHGo71=`JewOVWj_CPo^W*%h< zGF?vCDFCB0txhQ=8P6l~rGhsgs2K`Hc|s*j_i)EugeDW1_(BFlkBZxxSgO)hqX|o! z*(|nqESDL((b^0$38KlYC5weGb|l686O};J9;LJ&#%#hC0lAbJ)Cj_<&tu(|Oy8Jk za>Ro5ShIvBB%E}W6I5(EF?y4okuXIXpAT8xdTDf~5kfi0aw45>A6#2r^rjIuUTn@R zi1b>X798@jG1z`GEz@q7p|SIj?-mKCsNQj%F$(2X1PK^3<1ZD$<5vA1X28_O5E_s#v(Tj(Y>S<=!*%^=P>|BVVOI9Ag5~x@%}{U zoX!~{e(f+Kutk&{bY7#SGYASM5V8&%X*hwIAu$YU^}--JSoBOfiA`pi{)Mo59sc|x z#C^|8XBIUt7zK3hxCrzX^UD$p0uLDL0il-4)2Bpyqv26Uxws6AMVvd35k=u450-=^ zYsVFPmND_zF(BhIldu6;PKmcHiJ62qGd7irH5%0lOxrw*%SZlX z=UUTYqHGmkp5AmKYurI~<*-HR&)RIs{*<+~nZ3!BkqQQ-HCurVg#Cm;f%a3SMBFUA zdu$qpdJ?mbyu!4`iSm)Pr8RNPsV5co%}jDA!rfv^>>%M@0K1NdjhGqL(4-*;MDL}t zscd#K?Tuw8aw$w1)|QVm+8fjIRE!yx8E25KV=2y*bY?%A%>n={4ypthE;cw*5Hs4W z=L_>VYhnnc5xQ!wi6s&un3{_F7MV$yYN=1)3v)4cdTj?y<>y7sn8oNZU&$fX$z@Y2 z9IrPqx{c#znP(wzpBtZy?Ur_CpkAnG0ztY`Mg|OmeKAGCE*JuW0Cy4vQfxx8iWoR( z6{Dlrcd}=KxZQ?`JG(=Isnqjrj- z&3l7c1bm?581Fvo_ov?)k!_|};tZ&rG!;+pp5^KexkjgK-Px33X{y*R*D+JefFoC6 z+|U+Mrn}R{QUxb7npWY`M&aP;vw$63d_)KMoW$XARJdPa0(K;)ua7p2+h3s&i`H8m z!}4eWBh9KBIu{+Dg(E z+NeB6HDtKbag;M~*ZEJ|{io}N1Z@?DDz-Qr+4{{*P24nDuQx^!CBQ+1oUtg33#v() zc`iPYwu8|MJH}nBVqp~VT`jG^bn9$QUSKdh2X0)eSP<2+c1W_C5Dlx^68lb(fkP3t z_!bnh5qHQwpn6&k3Lr5F4@!E3?Eazb8VAGGkV+Jr|<~IVQFa0&f6*9*q+cr@tl}%5%23g4a z1=OS^G34P<(x&?MdOueUg}Si~W_)L5aA!Jmnc_V5?hW+?x(rpbTA)z)nuD?$w6iTL z>*@=c$2qfUOOe6$dNA6IsWL_oA2#RdW4^L5N{an@v1CSXP!`h<_i-JC!E74H2R(eo z5OPK}&{o)nY_aJYNH)cID2{BpOc&+{WA#K5mI+_P#(lDVW+_b}0&tYuopvTNLKoo$ zqu3XVbtFhawI^)w&;;<=iXZC1luHwpl5aFmsFU2IKt9H8*R5 zz`?V&(l$QB7ZZ?WcK7&HCS;H0?73(?%B6i%%o)Wj(kKsg_l(y%IcrP?>Vi6lrXp)+ z&M+fZ(TEnuTdkZd-7szW9+#+7c<7jZaeX05W5|x=seFkt=K;$uD~eKZuC0M%^TZgP zP48H-b-HJ9)NvL738_1)`6R#W#aY8=gX} z96e65VOSKEGs{iiCRwU>&BXpSC@`j;1s)S)nYfw)BU|5#7>r5{b`)Xd*T^>!UM2dG zhG)bfmxRv@Dl^t~p2#B*lWqVlw{cA^h8iG#nsJs5>rC=ZG1ja&4aVImB7N~?cq@an z4?~5U(^LoamR)FYG7am5vGm5EA!mC3tgcs#&WH4{%Lqv2hS^XyB&zM|k|{0^=w2VS z7ZDO-<{XqUhRL+Lfj96{fiEYxA~ITs>}W+dA%|80-K*i+`B zL^^nAaHflhKB<^DbSMo8LUPus8lhvJHm8{~hbj$cE&?+s&}E$MT1$GyP6CF;R4mq< zLoBtaN0gmQ0<1yJ0#vn)jkH8$J{3xKYsw11Z(=Zpta0*f6S7dRjIZarF{r;~_C-hu zI^a*=c#dr|ey+6<)?Yc(tlD)cDfoeM?e*^FWu9dzF1ED3ByFqlBrn3s^2ri<<75v!+Wphl6WomqM#^T2-%UJxFm+i7uVV%Tn&~D^3WrdQ= z$D+==5nM~!WB@{*tYFK=vMd`X@QVaAi*J8zc5|^=$ML{g8@r^~F~YG=yihW?%Oi}C zyC?t>i+P;W!ArHNTngvAEn4=I7tP)i8m!3`Owu^Dfg^VK+yo?N!e<+njw-At-WgRl zirwRe=_Mrrb%S*f9+RmF)X0VrG|cnJj}2bJr#%ce=pWWMhnpLG%7dVs9?if39?jsr z0H5&BkcTZJX%lM?Do1#t9aLUNZYV+*GY6?44BUS<(#L%;Vh9G6XExhQm5+#`;Y9Rq zsH-&3{`E7WoHmZ@9~D6ii&@M*2+m{ktnBg}*07w|SMKnh6HWjKf2KI5iiHYZ$zpQm zH3)XTXE7`;yon@Y20Tuu+J890h8?~P#Hg<-4JdB~v8jg1o!5bRGdR7SNbyf{e`2>S zBQGo%siZJppPCXrRr`Q~u;9$P&%|M+ai0b5*O9snauy8@5+<=!u@LMMUu-1m9Zc)E zm8d{Af?5HvsBNmDI9uaV$CkH_B__ojPAg(V*S5IUl3*T=!~A?%_9+d9`}>k4^OrZ1 z%I+Pz3723gv#5Kpt2!eH+^?06$qShUoM1+9o@|TvuFOz2INLt1Wms1n;V6DplX=`z zQns)o6E@myBW%k;5lc;@s%Y|{)4-3;C@cUX9jH} z#ZmUJV-k5$FH)0})BGpJe{QrsYdA0ls3}3=8X@axdwevN2Y#-}lNuc|5l!O!PNwL} zu_}{KBLr9;m$Dc)8Lv6em?0mQT}mr=VSHkAo1y75&SXl%$z_%$P6CAFK+s^kS(=>0 z%w=k&i_&TM6y*(pwH&05td8tpLJWN;%?_A}%ovI_+p|XK>=whUG&!A(ceBY(ao93c zB`a!74rNqUIykYk!Zixy$dIxO*v#4($n)%Cw|UKul@+MXErJg^5@f8TrAF{N#8Aqh zcI8ew7dETI7z2dFLU)NG8v!*oRg1HOd-Ne|a74=XPwsURx+fI!0dZUL{WP```;!=P zq=z6kR7qzUhvVQ9Fbx8e)_`Y@FG@v}lMhT_9yYZ{gM#kwq5rafe@5p!%|+wbBcLq| z$CgShsd5qP{F6iixc%62eZgvu=1{Ta@;uxTmv{59o<~L)~ zR6Cl$5`{eaZe(UQ9v?$Uo%Wv5bx@IJK_abs2j{YlEPXT9qhYMrbgLlQNC}XLBi@vI z;tDgQi3oe@#!qKFk|}{1iW!2rROoP`q2Z7fuB6y}JrIZnV-Cd_vgkIM+O5ci1V;&D z-56W@Eg$OvM7jGRt4q7$(UyXBqd?jK)?$CP1l5?6f>Vrr|mz zkc>Uy%Nq|46Q-PrG~@mq?y9uwUb|*tBHoqC@;qLwR)vmP&OnG^tep;QSv!U-GlCt zHMvdFNyStPf5_M#dP+FLVYqgR*|u@>6}#+($`V3^c;J@d#_`ld%sxVc1N&*PqC(~o zx8jaAi}^!lr_1iK8PrUT9-WOkz{vV(bg0vUhNJO@G9xL)AvBt0IR<)hPeymm!YRj&&(7Y&36iEZaG_m%|uMbc)l?=FRMe7e&(wQlfD~Ye<;Yi z1_Sq!n(YpgLB@%BdG;rI+PY5@JLst6VW;wGLd?RjXaBE3!=7E;+S{5o>uYf>XBTlNz$%&xZB6fpS z?&d0Ou18EF-vDJ0|Ab2(O51~C?tEx6q7MRe5*^yr0U}Us6p>Jjg(hOLVR7=+?!l5# zE~jJhy$(%(Gf5^Uq1duU$FSh{nytuov61&cM?tP&Min1Zha_tlM6NMV&Jl0*{i8FTT5PT0g+_3&?4SQdM#eV4F3za z_8w+Lr2q`$3|$ac$ilj<3mcgisz0w3Xt-tVGrNiFPH397I?)YYUE`GxHqSRj>6433%SKZ z$cevfjdz%*KSeAZlNrgCg9ve6x>YQq_bY%68T5a_J1hccpXPA*68q!0iU%*0aZe>$ zZ4PD9JX5UL&_p|Oxl*k&@Ao9-o10rGc)07;^tRP2=>%MTgI=JZaIHdp9WZ4FHwKF!zhGO^o$*t?uPZY*eRN!o{)N7v>zG zIfV1`^V^0z5Rh$tp~j(6z@;brOWkK?Nzi46TtHzd$)Wv9gH?L%dGNxw1(HF?V{Fz; zMc8Z?Yu75?4z6VA((I=E%Tg8Y>{rnH4r?sb#O5L- z*g!yXoo@l;;9wC~1W1s=BBo}_Qo093t)vQAGy0Srg1q>h{o_>y>o>TD_j0zw3> zVR%V&6u!{xQa&0-uhOu>U}gxV!W$%fX(9)i#|E#IGUdhYNdLvc>S7^$da#RZOp2YgJ?o2hMzdz>dnGUw2fTcjRD8ot^&?+ zx+A+hiN0jxTt4cH(&n%j(RNr%zlB<@-1>@&6t;|^3856oG?YUAte}EY-3bstPtMcA zIY@@G=S|=@1QkLUsmx-v!p^0p6`P_B@V62$i79Ae13F-CQH-S}=%7jM;<+AxJd8u#j$aD5MF7 zJ}68gs-4ir8J5IV8?uM|4LPVIh32H+b;@p?zce}7_4!ELcfW%7yyehVh zH`gDCowGe{DozBK1DOO6Mz2_o;c1tD&a}#BwA5}3143>c(2s%Tp=AerO z*dvHbAF)+g>jJ1}XB3>551Qi(3RC-W1~!LJP{r_pA09H4&;0mDcH!8!aB~UVZ24F> zuZ_>;gw4sy9N#`qSLQHZW$Os%f|2g#nvyNz9)jsHxue8A)oZUMm*pC#emL+|4{P}% z7pn59DM?uffBXsb=oyH+0B?d@rL@?VnQVQch^t_*;=vW3Tr`@m!?ez5K9@F-84jJb zVCpbx$U`fKo7l*MkjylT^XSCMfG2$Ax`smuXeMz!!iTU8(<<8U(FBb~q=*9yxxhBR zA}LCWe#$sA$p^rQ0c5w*(AP0maSv5vYo^eK}8vC(hK}T8T%dAG9EE& z0uzJf)kMqA=tdl$!xx2dfA>eJw$953xbd%FZ~_ryJbKuYQW+@5Gke%=W3cDo_T`p;eKn8o@N@3uB|s z+_ne{Qoht1q=fzWrzh;i;J{C)+h5v=({pVrd76V z(tDJ0#+tYkO!yGPg}=7U$7QsT;a(sy$p`MP?v8_Z1h8pyTWD%z_u2fH=cbIQ-XC!2}2NJWY{Dzhwx1OW`Y#yiFaaz5l8@r z8;d$aO1D?hdJKDe71FZtcww#S6>^Hwlw?ijS}LTNdh7+^YO3=H2OICS14;v44$sg# zuA|sC!s=5<0tlGKJizxc%EFf$wD%BTKF8Mdj~rVu@*#eTSaFX7w@YvTZx;8N$nHG1HB@&0?@?G*CjkMK_Tp%DCtg~>1Rj{@$uZE^dIxKEt}_c(C9`jh3J z?12mOyAgFZ@PXy8A@66U{>w&=UodiF<;Xpe5vzCuNM||bYp)90MR*x7+ra+_p8~uV z&#stx>Nk@qz6_R<^To&=6#$EBm?p6yYG;+_YNI@7D%OXt9?eAU@`xdONWOViae z^0@=JEoZ@1ftz-57{kivmw|iI#o<|T?S8L+DTHG`vA!398y4KPKNZ4}f0la|xKo1b zZXbChzHmukvkA8?thA2cS zc4hgf#WRhvehjeX)8`#1&-7z3FDpIbHsU{R3*kxp7ak98e#&0k#{7>+{%+c?4@uey zbnuJd?bjr4x4)+Nla~knT-cVe{I`LpPwUPlT6XR0F^(;5x2)nPfH!u!yKc6FwC@Cd z#L`wfskkqn1BW7>+NWdI*P^UQ^JSD{zbGB%r4GCNEAHYqc>QO?vE1gflw^KnDUy5g6MjEOIA@cK6kKCoGYiF*jR_eebhBggwkPP}U5o>e3F zUNCZU<;Z=Jk@ss=J}ndzIk^2reIl*P-s1It%8vQ4z7hZATfP3@L0ue2zAd`9&!^pZ zyVrjlwA^`3<@geCjFRraRhVN?@93J#M~<%=IdQ?rJu64F zwCkXQI}KdePHVfK1+Ip?J@t2V&FPiEY2L)3*WW%*UghUW74zew-9c138 z*J7?4I#ce47Gb$(Q3quJ zUj2F!+jKoop9WR~c#e7Ie;l~qh45o*&Rh#dk%zjd{Ft%Pt&7S>^;+X&D*R9g1{vW| z@q{nMe=bZP)rBdGbHQwbi>F?=F!^=iFn!LgE}s43ws#NUu#Fu+n#zOt(U9D*m(@j+ z^xZo8L-0i*nD*#g`NQSI`F~oNb-OZ10}ji8`lm9W?v1WF%bfRtzOJ@}Wf5-sPun(E zpS}$JbKz$IQ}12bhV?7V-}&%idM^B(Q2nU7OaEUAjgKp?Gvh~XLjv#s%7pEw$~~<6 zVP0KcShuc=wS2g(KPeoh7lvKgv;MGNhR0%<|1kc1@D|kJ_U-xLFhA5|xBSf^I4qxV z`JWX2N%;%QH!QC(J}iIoKY;(V{~LJjWxI4<0NPuYJZJbQ_rb(<*@!F>!Zt$nm~{Rx z{AcG*S@)6`ckbK_n9fRpV*qo}S?vkk@fVCuVgCECH~UkxSA?GcoRYe_=P1Q{?+Etg zUB5ca58+4H0Q^+03acKzQds*z^Skgt@WZxiefI-)@vL9D2} zl#fxt_1+iNIvztE=3S7pPC^}u2MOXD@%P#k>0C((i?L+sJ1-He^lfmgPKq zF8OF#cW=NQSHvIu1^k2Gr7}i?bv5fD?ghx`6rQ{4!CI1i>X*ec`%Yo@19P zK=Z6Z9+!sd$=UELL;1t7`U+Y;jHj+pH;F$EevItQ9vF=Y6JaNmw4GS(9KTPLO^@#A}$ftD> zeli4q4KVX7-Dd%_eeQTrx;ifrUU`FgufVc|F9ZArDcA4QiX!c7v{VjC+vV|~rOhJvuj5N$P8lxVg&#wC&OwTQA_N2FuYt}+PxV0YVc4yY^j!W4hw(oN z|15vL`e-lM_sZXPJiC3*^e55oFkd=1Yq>o=$|1@3wOyT{o@|7|e+_g8j7~_qna1C@ z@gM7R%Md;Q{PQvMo?qdY0jo@iUpv~3-vszgD9d&dKLL0jo~`|rwF_lT+-cy31=qdS z07~%B0$;^*&%H>bE1i+J*T2Kk@%QqrAIy601pZ0E2k*e>+YmRLfS&`IaJ_W4eOzt( ztz*XCD}QakFM-b4_|tslaj*YRrT*T&w&okz?e%~D9Q2gumyqu{$#-_1mFCkEUjHw@ z0-A*+){RyUXWM(%`tRT4^&dD-{hU`Q-{+C{_l54c-(5@~zm|Dy((C`%6_o4w%c!0` zHskd-K*OKs@vQt_o`Nk!9KzY(Uq$`8H)f!(uYlj}ps#vyXV&Pv^8YB{wA6QQ{zpJV z`TzcYum29fKL2=Wru0?blSXD>2CzC0iL?nROVHpFEdc^K7{|A@&hVQ z;;g@8V%|gaa2K;F}clD=Tf4k+$>*lL4C(Y?QL75Ny zIf=N3fE%^6-2DjhLOg$bR$9*?|9b!jzSKQ^iaRi`{&g_#D2{aaOnK?vgW^u29EV3Q z+~dGqbQT-G)X`_yKvn~?W)qT{{yOj(Zk_+uIJMEF$O%t>J#Q2YzP z56d{{=2`JqEP4IU^uV(|mru5-aW(Yr@R{!x6nF9Rnd`z{be;sAjmS$oZ2LiELpsFa zDxdyOS)8jQ#QhMs{t%9BAbw0zWSJZhJ@EQ@}0QvcB(Z<6xw&yVXbU zZU=D%;4VX1t!EkVwYDDBSLOK$;3k2itXcMDz}qEn*L){qn)u=O!LIhU1EL?)G3NXH zG3YvCrp~5gtlyO(d5sQ2H}5;Yj&DNVTaot)s7=({P5NAcyfp6>&n zLRz@3TF=Oby#DtKzIWS12T;$`$ag^U1+hO`=f+<$@gAD@e!!EGw|g9r-{*kioXoPW zu94qIx4};YzL!ry+Qe@;C3OO-{(+twv)JrfaBObn>>~N%gFbfO9Rin zc%8tc|LlipCePGgwuSiA0~%}J-N&qp_@{vHstcW@xYG|B`|QpY)H9ZQ5;(4Z&ZTEc zXZRuYl>>PxotJ_8tk5~zT4|H43t8vm59|KI+1JrwIgy9PHL!WV+%jM~n6<*_nzhGQo`~GD z;w7=+^R!zE#ko!imkBM@ z^%)<{Y~tXk#o*AeKIU;@ObLfxEv6gdbp(n*W!@HC`ywAf+JY0{Tk>t3mz~31B7SLH zsfZK4ulUE;oAkL>nG*fpQH;lr8-V|^FZf%B|CkRh5`P2U(LV1*^DO^rzPAFR{!6|- zFMu9(A)cJdP3%>xa|P-nV9SDk9f_j$-{pYM;}L@nf{yK ziFkkhV?LRm;%)?}&%_-2&Pwm}YfT>htGI*CGt)Qx^GfgFWqdL}%~u1c&%_+N=mPJ` zyG$Pbt2o*>KGWal@3DI?@Jem-%zugFe<$o;&G(v@FYwC%<>&hlo+0A~)A+jq`7kZ` zKdqNKtj|QYk?$zSu8cplg!8v1K} zHCpXm@8=HsgJ*&@{}>*=1(@}$00)#O&j>62W<2~2V9u+G=Q&};m+|l;z|<7Q^Q^Gq zkKo}#z?|O{&-22H{{S9d3z&3>Cm(GGPkwlY7=Q5V^L`!w-Uaw|_^b4Ij+j{DAIHBB z1CHXa;(3;sSmH?^zXACdIS6l#C>-&=WMPgh?T>*_{vD2dpGH3YX@Br+vPm=YgvsrK zc{bU_uf_RV7mkMDR0wW3F!`Sh@%QDB{**)bY6!l}H*l*ZzTEH<=*uamKK2LCE4%#S zEM*tW^U5y%Qz4k=l3o0h4$Sr(4e|Gk!{7g53d+AK@2@%K$sf-ln`}n@JcsPUJcq2X z)aM)S)!z3ELjG0yJd3RP{{;^p2khz}&m$}T0_esU0CNqY`o}ZLif3HaHvw}@EB<*0 z{|mr>7clu!JkKU;`6%%J6vD5>d11wm1AhTvmp`6SR{R0r-w1dB2}+;mloh`S{7%4I z96Zk|D}EXHMZnVzp68Vn58Ld01@My&o@bU7|Es`v>=|aQpXZhp&;A?0xmy?J8Dxbi zmq$bTaXJLQGsiB>v&JsWv&AlqAdD`UXNz4t&l9^a&k(yX&kVaT&k4IQ&k4IQ z&k4IQ&k4IQ&k4IQ&j~9m`sKT+t3CK;{!hm*&+ck|_TS0NyJ4Qqb@4op>%u&L>%u&5 z>%u%^>%u%c>%u%At1$V^`fl=S@1J|{%d@U-{%6Uw{8r0dB?@W#c&^o?^?4Uz?zHp@2v~)jF0aF%rmWu=eblZPgZyq)rEPU)P;F|)P;Fo)P;FQ z)P;F2)P;He(}j6n(}j6%(S><7(S^$)_;d*7xjr{P&+fS}&*v%3{`+$Wrab=A zfrlWsogsTh*pxT+3_hTRS9l}HPuTd6#=jhK^4|zNVeaRseLUdc%fJ(+f1voXgKq#& z*!54V4*m%6gx&IYI{3SRC+wC#>fk>JJYko=M;!cV;0e3sPdoTe0Z;gV!~YK*{O5ot z%&i5s?+*b#19@@S;GQR$4=!G*@{D-@33$S8eV1R1%<_Z33cUVw{P0YrNi*{u&r!NC z&pf&?&pWy>&p5g;&nmhw&nmhw&yKk;&yKk;&vv;m&vLmi&vLmi&vGeD{romNQ+}(x z=X{5|II^Wz@;@Jxc{=lJFM0vBd{zrv)~;3lB_R(orGUolwkFn-9iVa8XxFypITn6cF^%$R8xX3Vq;GX~j(8H21a z<#8Nw%I3G)8|~3v#tR#~Se@Bn}j5{?s`n>l7|6RcD`i*g@isw7~9|3mvV;GmJ_*1}N0@y9T$-)0R z@NWbBCKOPAV8X$F68I$GeGZ-c^`|`dH_?I0# z<53mA4)`Kq#-}QM#-J+x?ZAH!@Bybh<4zTS4e;Lu%s5mn&lpq1?*jfe0W;>*$Ik@# zy~G1hG;xH0Ydb zpLFoQ3OwP}4*oKyzSF=H#vi}F@Vlii!q8O$;>~e9hmKXF$6#5@bd)d z5&kmr>HhffUkm7c5qN>2Pd@(F1N`q25BMJ({L62*4B~wR>;EUGe)jJ_JMdFI+A}d1 z(ErcCCjn2QoazhXCQS`}-Zz1N81SfrXPl(sSs!B~6@CHvZvq^3@-qff@#Ob02WEN3 zK`Ndw;~QO=F^ewDm_>z2@7=`!W_i3|?ZQ935WvJgj(+_R?I-N&^BWxezXDI##b4pzBiL&rjBLJs4mkK%0Z-U1ztO?31%5MNsEc2o zFhKkw-lf1_9l{e9H1KTCj;joWohk2i$ge*g4~*+HX?U>%{1L#6^Hlv|{HEe5Z^mmX zEb>|kVB#6Csrap+wj9EENCmghaC7;Sq-8INkxjJ`1jRbjU8 zDB?WLZ?$(@kMfK|HF#5=v8OJ~cvBZZ9@ZpK|!udn!p!+s`;u!lXd`VC<=Y7G{j83p1`%Ve<2w!_P-iKVet?-*)hy z1)i{rKe@$FWB>dC@cL8!7@KO+`n*2^{+obZf0VJRiYNb!Rdr#;s=6>^RTU=xA9Y}s zzv!-R{82}LpF{nGNkHe1?>O!IF7SkD-&OxFL#(CwVg1{qeT;=Qcq9LnM+5kW$iMkj z+MmW=5H|S@9ChFeFt7-_@;&a<|2hsl`&0RDa_VFIH#;!p^R!bQw$lG;`KXhB1Mq`@ z*`;cq4m$XE0-pyw;NXus_%!f$10HwqPdWGs@P7n&!NEuG4&+7t3n7@XwMfR#$d~c7 zF8p!`X8f#+|NOB4raYqe1~BUzc3|Sy-WT8r4?F#H6#Nq&K|1y01AyCr`z*}-gz*PI z)4wMj{Ud(a!G8?p36o*fx1$dJH-RU701Bb_=UyGq{~h27^Nf_@Uv~QYKLW2m?Qh2a znl$4Njv$8C{8oE^+#^3@fHgnm#W-IVW?ZkrY~SR^y5Y71<6Wmmcq8)Z_^Ueg|8G6& zXI!w-XZ?%?c45Z%xrBbz#Qmx-esM6{b8M zapZqB_#sSxQ|14ZgC7N+aMi)zx;oIeY2XR-PIc9{gRcqjw*pVt#g7abZa6(4Kawoe-rSXNKkn(PFe9U1AimnB?r$qWyMooj7@f7#v{8hV~!Oj|37qK zmS^0t;t4ahSYhV>ywl!S14Gzt?~@Mxa^MLY{WS7_!NIQsp0LWF^fzA`$a@fY!Xrpm z{k%0K|EiNef&7Hs{Es{Mn}8>*^_2mCA8?;TpD;lD48Jcq@SVs{_$BxOL<*wu&ZwI(<1#qZmaOwsxoBW-e+_A^FW zVbcG$Q~v8c$}?tK^ZzNHuKX1PbNsOUu!Y%UgfI5vMOS;@=|PWi)k=@~w>$a&dyo8# zt#F*1k>I;mp^P_w`2A=vf{{F`-|BREL@bfN={8wUq!|`@0 z+WR`de~< z&|VY16EN0)67NL#mjHj}0uwJt_%XnLb*;HxqJpSJK{0Djan z`a}Nyj^)w6+z(~`9|1nG!^HLzUW0e@-vfWYX7N7{_=Cv5-ohIIzY*(+wHDqE_z%%u z{1JM5w|@=Z7c#Dx^yUH2LVlmJ@FBnt-(cb!iN6EzjZw4zO!!{Fzxhscu7&Wg0{#Nt zuUu@)KMwda+svJ+#Q!$nZ(d^jX~KU5_|#j?e#RxR7vBKP{S3M}g#QBYx3J&z9!u}P z0sh`$ovr;U5A15&Y-vHveT9(DwnKweSGo=<8L!5pN6N3yuczdMDs( zE<%3n&ywCAz_+76RX=V6{Cc!+qosE@;4h2>?R^;V)u2aBX8F^A5q~clycY1k1I+j$ z+6T7pcL0A2{Y9Ahd3X3u#1HVkXTpC1_^9U%Tp{^ac;5p2@w_?rK>T+BuYQ9$yGQs3 zfPd@yK;I+ih;`U6;~8J#Ujz7C@JApffv*Dm5wuVBF#-6E(1*({eg^Ou#`mW!ybthi zWBqXu_Mh}}fd7}(khkS`5%67T5630(%YZA;7wwOefFDACYx^Gt{NcVpUY`YAyw2Qv z$nswV{P2Z={J#$P5vTm00lp9Q(?l}=UlYcD;YJJpBj7K*)!YwG{EF3B&q1Frv-sBn z{wC-rEW8=;Z=pX`9=iaq#&}S9>;}9J^5)&{qzB*8yAti&Y|EDce;xkq0So^k;M?DB z{AK2U81O&s4ETE-@CD#U<@GhdtJj({QOy5mfcvl?a?sNI8^C{q@pj6>{|NZwsGlw= z^Zyv|HCQk5yd~jFK=_T9nlsykHv#@0UR_H^^hO_Ncs2R;R}Oi{Xly60sbE1AL#-Reh}~xj8E;a{~7QA{!jVQ-u)in zZ#eS#Gr*6cztJ2SFMkF2Zq!HnO?oc@KKcgpKAGd`Ujcu{DgRpN{o7Uq};Om|I zp9fsn!X{%~4t~D~_#?32P*W-YEZ}v3RbKxMa398d+T#B^;7c5PbO{XmAA-Kt_X~i3 z!x_&*fIs8d;}O9B0)1BgCjbvZ|Du-u0la z{RnR(jQQ}-ZT(|_-{#1B8t}vDPo=*A`1P>w+FxzJAB4Ts`4X{>-e(|>zqIsz3Gi25 zZ@yQ-`aT92e+KZc^xvld_u>7S+8eBu{_ncZKh%=*tmD%bBtlz_X{^OWwl&o@ChOgs z&E8$L+H=o2clXfy<9N@x?xsejb7$t#+UZ* z1>Y@vY4Q8OpJSqb+&80RC+2{WribVn43Ge+Ta{{=K&UAAw&be||q${P`#E zU_AD3kJ$G=5B?#1dY{GjgCBds{GPVV_qV`&khhlqCio@nf63PO1uvU#txEk8{CVal z_ABE(3cj8BJNf=G_pAebk2U`LWpL2q_iuv#?vv)< z&t?2?f$u&v->VSJ|84bdvfiJy-5Ko@4_`kp}lD|Q7s*kr2$X@w$lfS(U{3v{P z#=c(yzYhMc#SelnVLz$Gm%;JxnQ!~Z_?zH=`qh?wfd3Z#|AMuj9Q+vh+oo;*aqyQ| zud}B=1$Xd2)Tr}&8vKK+js89lzJdQw?EAk4pKJLmzV6-5`IF1Hz5~7!`8j|6J{Vou z{Nat>Yv4Kaaq{>Xe)sPg>}l2Z|I6T)h^J3ld=b3V%D=9Fze@Z&W7jtVUu?aPzys{z zyltO?-;KZLw*DdbCi8Rt`zi4EE9%?#p9Md9(%8!j;MZCIcWi&(0>6U2y7sSv{~38m zekA&UOTFjuXZQXc7{(mFbo}xl_{M$YXLh_*@Fy7mnr%M>&sy;^0dpD58EG%}egb|Q zSjH3laqz#i?CYlBI~sfXD=>e~$d8{UUVjI;P&@?|%gTbNu~77T@`E?3d3p`nU`HGV3w=exr9U_$lo3He3G^m`gWmKHdQT8+`BL z%ZI>!`LuW28|?h|!9Q%x=Of?~_}2LgdoS-92#&u)bC6&t34-6x~OCS^o&U zf&JfW+dmB6CBJq25`$ku|4a7$AA;i+U;i06Kpv=3*Y7o#1m9D6_e9=)mK~JbM$EO? zv!kGh<=XpHekFdI?M-<0WBFJ4$WuWM}<=x>cL``nBi zn=wTYQ5ac~@Wkg8d*q zPou4gEA>Xb!Isr=`x5o;sOOLQ^g}l&BE84DJ1_;VA-$NkqTA;3_3dtlVriu}#B1-& zbg>fhsk~8@<;Xuusw7Lf!F%?=GM7*9v$8aVIeQv$-~BEZzH_U3!dEhw$4MIcN8C7{9eK0tFm}&cJ}JF? zUilNm!rk`Tr*&5BG6yF6-6~4UihCT;VMb=8Zm17}}QY zB`mJ+!u^;_${AW-`G?t5T+6iL&URL6<}t~p)9lF418%)%lA*sliM;hmx;meDYh@C7 z{p0RoB_&(*wH8!sr8iHLkSoCvSa?`1pFO|4vh0m_r-IH2I_J$3aqw{e0I7NNJdX>1 z8l3Rc6(zYJM3G;FhknXxs#$*Sf|ulsm+-lfX;4-+T0dyL_BoiH~vGB;9kx z>sh)t&REc5ab0hmNrw_u_Gm?=I^yi8sAcxC7Z*W^R3@2+wXT(0yC23gP!}I{83sie zn*uK=%eYV>2NIjYAY~ac8E&nYg`i#`f;IV@5H+F`I8Nc!f*a;n`I^ju!K0(33in$D zohV|iT0#3UjW_4BakdeZ2d4A&;3PvoqH3*b#4U~VX>M3xhrg8XW=W;1mxAp$j8VN} z6eZSiydI}}P}j=J#id&;W@TmNk}EtOq{AZHgPlsLe6B7>dJKgsrFdDw0?Xeich{0h zwy{^}qFZ=7#zQB(>sG~dt%&0q=)*9{q5kOsK~DmpKR)*HR${=}i-d)741qQ6y#jLZ!L&A7rpdQyrvQ-;O|*7ohFbYH1CJmT)ah8%=1j$zm#QD z?~%C3{Arfu!mH5g{be;534ls^u8e8?0@y6B4^$3zF%)h>5MKH6}wYcJAGtKiC}m zlPoWxcGmCj2Wd1#beD(3>S2<0));7#?0G$@OZ@f3bPD5$!YrmgH?-t8nv6*LCkytA zW70n{2tQ|$GFQZcaI`OkIu=JUv3nW^1>)TUO13C&PEujyqP85&u1Y}E+A!KMJBkZK z2GXzg=me(%**+GdR>~$*)xRAPD~(6d1Iykjj;T#$h3KL?&B|D*YE;DG??9`Qldi-s z2_LqOQsbyU_Q(Cv*x%Ud^}SUHvTC(R9BPuqG@b*yFRJJxgmq)`=na1 z^-L1Q&h}U&Awsdz=w@(vAtvV$R3!MS)x|jpgo>-1&j-bIa!ZXsNV3IZVm;w5>4kq( zWf}=}LCmtrMQut%Jjd@vN_mlmkVwstJJ^+IV-@;^0EJ+h1sdD5`7*X3da)_GaW@sL z>RjiIjQT?nky@3moV=hsApIm+BcXXD)=88>F4P|gF|EhJVZ5FlsromF{a4tRxM@o} zGo5ZV9g(zKxv9Rx5PiFG2h~IdmKRBGQvqXmiz(%z{I#{l7YuNo)5AP2WkgYxbur1+ z)vTIziZ2h4{uS$9jfG=s5_(iiwVCm{pNax)W{tfS8nHb!mEr2LF%@hzJP=b+mtdwi z)QlkzWS*s%G1FBZ8O2iqo88k{x-U<&hVvq@$#M&?Iwn--;lqXXdKm;nshRuxTwXi!a*e6;m$iixB>gxPtPDX@l zb`NTZs8NI4ZDQtDRMx9%QHjDQIFz+o%mjw3l5`$#AA5b~-J1nGS)V*DXqmy{*vM1& zf03FYj5sJ8Zl3t_l#Jv+?6xm4=@z>c583E+txC$0v`pl;dDsd!X6+gu)+HO^CA$7` zj_Akog*>My8)G`t=^*V7t=Lr+{UPRyYfPuaB~tB{3vUGJoIJB4Y(TE<2)2{X%Q(V} ze~k7>=&cpjf<`c!FQ{#bPuNJ}W+$;tS615s%!bb|4yq9&n^bb(V5~$+)Ln*G9@>uW z4#RcYA1<-1qPj-JG1ElB)-Np;NM_~6t%K~m4zgM#@8Pmh9-9rj6I#=CxodlEXFy^! z;)UXtC5yicPuSVSGkV=copwi)W4|H@9w+FuXqt5$!xvEO<^+S$Z}6J@$y)}oTeLM0J`iJ=C_Pa8Rv4ob5qB^y_03_v$ZNYu6=W26ky^BY!fWv?bZ*6tVSPwiG$D5rncY_vDKm74f+?jTxig=4oG z4F|^8>J^$?)}(GO2%$U)L3%udOQ{V_{F&tWobfhWCmYeIaw>7dtT*Br+0#iKE89V` z=dbgj&_U1T@hWPYL|vX{y8#TUd4<)%l8?P&D;x`)UW)NWPJ3KNHqry0MpIEd3FcGI zUQm}cSAH#M;!n#sCKY~Y)LpymYgmY*g!53l@3-vfG>8@vH^zi$PMr{J1jl39C#qx| zOBq)YdD6hCP@tUPs{TyoXz~uTh?XUsI;&JM2!WgGI>} zM#s`;odGFRq@T&wckceN#D~6>e&p?bMh9|W$X<;I zcF0!VY>_a*n!sB7UktAfKlVh1lNFoI!Y)j_ljlfan ROM + + .ARM.extab : + { + *(.ARM.extab* .gnu.linkonce.armextab.*) + } > ROM + + __exidx_start = .; + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } > ROM + __exidx_end = .; + + __etext = .; + + .data : AT (__etext) + { + __data_start__ = .; + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); + /* All data end */ + __data_end__ = .; + + } > RAM + + .bss (NOLOAD): + { + __bss_start__ = .; + *(.bss*) + *(COMMON) + __bss_end__ = .; + } > RAM + + .heap (NOLOAD): + { + __end__ = .; + end = __end__; + *(.heap*) + __HeapLimit = .; + } > RAM + + /* .stack_dummy section doesn't contains any symbols. It is only + * used for linker to calculate size of stack sections, and assign + * values to stack symbols later */ + .stack_dummy (NOLOAD): + { + *(.stack) + } > RAM + + /* Set stack top to end of RAM, and stack limit move down by + * size of stack_dummy section */ + __StackTop = ORIGIN(RAM) + LENGTH(RAM); + __StackLimit = __StackTop - SIZEOF(.stack_dummy); + PROVIDE(__stack = __StackTop); + + /* Check if data + heap + stack exceeds RAM limit */ + ASSERT(__StackLimit >= __HeapLimit, "region RAM overflowed with stack") +} diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/build.bat b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/build.bat deleted file mode 100644 index 6cdb041e..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/build.bat +++ /dev/null @@ -1,2 +0,0 @@ -@echo off -cs-make --directory=../ all diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/clean.bat b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/clean.bat deleted file mode 100644 index 025aaea0..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/cmd/clean.bat +++ /dev/null @@ -1,2 +0,0 @@ -@echo off -cs-make --directory=../ clean diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.project b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.project deleted file mode 100644 index 7daf9879..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.project +++ /dev/null @@ -1,398 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - break main -continue - - - - - - - make clean - make - - - - None - $(WorkspacePath)/.. - - - - - - - - - - - - - - - - - - - - - - - make clean - make - - - - None - $(WorkspacePath) - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.workspace b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.workspace deleted file mode 100644 index 7957a1d7..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/DemoBoot.workspace +++ /dev/null @@ -1,12 +0,0 @@ - - - - - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/readme.txt b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/readme.txt deleted file mode 100644 index 8a340a19..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/ide/readme.txt +++ /dev/null @@ -1,4 +0,0 @@ -Integrated Development Environment ----------------------------------- -Codelite was used as the editor during the development of this software program. This directory contains the Codelite -workspace and project files. Codelite is a cross platform open source C/C++ IDE, available at http://www.codelite.org/. \ No newline at end of file diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/lib/newlib/_exit.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/lib/newlib/_exit.c new file mode 100644 index 00000000..4ae9532c --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/lib/newlib/_exit.c @@ -0,0 +1,38 @@ +// ---------------------------------------------------------------------------- + +#include + +// ---------------------------------------------------------------------------- + +// Forward declaration + +void +_exit(int code); + +// ---------------------------------------------------------------------------- + +// We just enter an infinite loop, to be used as landmark when halting +// the debugger. +// +// It can be redefined in the application, if more functionality +// is required. + +void +__attribute__((weak)) +_exit(int code __attribute__((unused))) +{ + // TODO: write on trace + while (1) + ; +} + +// ---------------------------------------------------------------------------- + +void +__attribute__((weak,noreturn)) +abort(void) +{ + _exit(1); +} + +// ---------------------------------------------------------------------------- diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/makefile b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/makefile index fab8918e..be9602d9 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/makefile +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/makefile @@ -1,11 +1,11 @@ #**************************************************************************************** -#| Description: Makefile for STM32 using CodeSourcery GNU GCC compiler toolset +#| Description: Makefile for GNU ARM Embedded toolchain. #| File Name: makefile #| #|--------------------------------------------------------------------------------------- #| C O P Y R I G H T #|--------------------------------------------------------------------------------------- -#| Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved #| #|--------------------------------------------------------------------------------------- #| L I C E N S E @@ -25,243 +25,136 @@ #**************************************************************************************** SHELL = sh -#|---------------------------------------------------------------------------------------| -#| Configure project name | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| PROJ_NAME=openblt_olimex_stm32e407 -#|---------------------------------------------------------------------------------------| -#| Speficy project source files | -#|---------------------------------------------------------------------------------------| -PROJ_FILES= \ -blt_conf.h \ -hooks.c \ -main.c \ -usbd_bulk.c \ -usbd_bulk.h \ -usbd_conf.h \ -usbd_desc.c \ -usbd_desc.h \ -usbd_usr.c \ -usb_bsp.c \ -usb_conf.h \ -./lib/usbdevicelib/Core/inc/usbd_core.h \ -./lib/usbdevicelib/Core/inc/usbd_def.h \ -./lib/usbdevicelib/Core/inc/usbd_ioreq.h \ -./lib/usbdevicelib/Core/inc/usbd_req.h \ -./lib/usbdevicelib/Core/inc/usbd_usr.h \ -./lib/usbdevicelib/Core/src/usbd_core.c \ -./lib/usbdevicelib/Core/src/usbd_ioreq.c \ -./lib/usbdevicelib/Core/src/usbd_req.c \ -./lib/usbotgdriver/inc/usb_bsp.h \ -./lib/usbotgdriver/inc/usb_core.h \ -./lib/usbotgdriver/inc/usb_dcd.h \ -./lib/usbotgdriver/inc/usb_dcd_int.h \ -./lib/usbotgdriver/inc/usb_defines.h \ -./lib/usbotgdriver/inc/usb_regs.h \ -./lib/usbotgdriver/src/usb_core.c \ -./lib/usbotgdriver/src/usb_dcd.c \ -./lib/usbotgdriver/src/usb_dcd_int.c \ -./lib/fatfs/ffconf.h \ -./lib/fatfs/mmc.c \ -./lib/ethernetlib/inc/stm32_eth.h \ -./lib/ethernetlib/src/stm32_eth.c \ -./lib/uip/clock-arch.c \ -./lib/uip/clock-arch.h \ -./lib/uip/netdev.c \ -./lib/uip/netdev.h \ -./lib/uip/uip-conf.h \ -./lib/stdperiphlib/stm32f4xx_conf.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/misc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_adc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_can.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_crc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_cryp.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dac.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dbgmcu.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dcmi.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dma.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_exti.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_flash.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_fsmc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_gpio.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_hash.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_i2c.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_iwdg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_pwr.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rcc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rng.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rtc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_sdio.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_spi.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_syscfg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_tim.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_usart.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_wwdg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/misc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_adc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_can.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_crc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_aes.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_des.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_tdes.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dac.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dbgmcu.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dcmi.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dma.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_exti.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_flash.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_fsmc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_gpio.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash_md5.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash_sha1.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_i2c.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_iwdg.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_pwr.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rcc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rng.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rtc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_sdio.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_spi.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_syscfg.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_tim.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_usart.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_wwdg.c \ -./lib/stdperiphlib/CMSIS/Include/core_cm4.h \ -./lib/stdperiphlib/CMSIS/Include/core_cm4_simd.h \ -./lib/stdperiphlib/CMSIS/Include/core_cmFunc.h \ -./lib/stdperiphlib/CMSIS/Include/core_cmInstr.h \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Source/system_stm32f4xx.c \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Include/stm32f4xx.h \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Include/system_stm32f4xx.h \ -../../../Source/third_party/fatfs/src/diskio.h \ -../../../Source/third_party/fatfs/src/ff.c \ -../../../Source/third_party/fatfs/src/ff.h \ -../../../Source/third_party/fatfs/src/integer.h \ -../../../Source/third_party/fatfs/src/option/unicode.c \ -../../../Source/third_party/uip/uip/clock.h \ -../../../Source/third_party/uip/uip/lc-addrlabels.h \ -../../../Source/third_party/uip/uip/lc-switch.h \ -../../../Source/third_party/uip/uip/lc.h \ -../../../Source/third_party/uip/uip/pt.h \ -../../../Source/third_party/uip/uip/uip-fw.h \ -../../../Source/third_party/uip/uip/uip-neighbor.h \ -../../../Source/third_party/uip/uip/uip-split.h \ -../../../Source/third_party/uip/uip/uip.c \ -../../../Source/third_party/uip/uip/uip.h \ -../../../Source/third_party/uip/uip/uip_arch.h \ -../../../Source/third_party/uip/uip/uip_arp.c \ -../../../Source/third_party/uip/uip/uip_arp.h \ -../../../Source/third_party/uip/uip/uip_timer.c \ -../../../Source/third_party/uip/uip/uip_timer.h \ -../../../Source/third_party/uip/uip/uiplib.c \ -../../../Source/third_party/uip/uip/uiplib.h \ -../../../Source/third_party/uip/uip/uipopt.h \ -../../../Source/boot.c \ -../../../Source/boot.h \ -../../../Source/com.c \ -../../../Source/com.h \ -../../../Source/net.c \ -../../../Source/net.h \ -../../../Source/xcp.c \ -../../../Source/xcp.h \ -../../../Source/backdoor.c \ -../../../Source/backdoor.h \ -../../../Source/cop.c \ -../../../Source/cop.h \ -../../../Source/file.c \ -../../../Source/file.h \ -../../../Source/assert.c \ -../../../Source/assert.h \ -../../../Source/can.h \ -../../../Source/cpu.h \ -../../../Source/uart.h \ -../../../Source/usb.h \ -../../../Source/nvm.h \ -../../../Source/timer.h \ -../../../Source/plausibility.h \ -../../../Source/ARMCM4_STM32F4/types.h \ -../../../Source/ARMCM4_STM32F4/can.c \ -../../../Source/ARMCM4_STM32F4/cpu.c \ -../../../Source/ARMCM4_STM32F4/flash.c \ -../../../Source/ARMCM4_STM32F4/flash.h \ -../../../Source/ARMCM4_STM32F4/uart.c \ -../../../Source/ARMCM4_STM32F4/usb.c \ -../../../Source/ARMCM4_STM32F4/nvm.c \ -../../../Source/ARMCM4_STM32F4/timer.c \ -../../../Source/ARMCM4_STM32F4/GCC/vectors.c \ -../../../Source/ARMCM4_STM32F4/GCC/cpu_comp.c \ -../../../Source/ARMCM4_STM32F4/GCC/cstart.c +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ -#|---------------------------------------------------------------------------------------| -#| Compiler binaries | -#|---------------------------------------------------------------------------------------| -CC = arm-none-eabi-gcc -LN = arm-none-eabi-gcc -OC = arm-none-eabi-objcopy -OD = arm-none-eabi-objdump -AS = arm-none-eabi-as -SZ = arm-none-eabi-size +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories, but +# exclude flash-layout.c as this one is directly included in a source file, when used. +PROJ_FILES = $(filter-out flash_layout.c, $(call rwildcard, , *.c *.h *.S)) +# Collect bootloader core files +PROJ_FILES += $(wildcard ../../../Source/*.c) +PROJ_FILES += $(wildcard ../../../Source/*.h) +# Collect bootloader port files +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F4/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F4/*.h) +# Collect bootloader port compiler specific files +PROJ_FILES += ../../../Source/ARMCM4_STM32F4/GCC/cpu_comp.c +# Collect FatFS third party library files +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.c) +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.h) +PROJ_FILES += ../../../Source/third_party/fatfs/src/option/unicode.c +# Collect UIP third party library files +PROJ_FILES += ../../../Source/third_party/uip/uip/clock.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-addrlabels.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-switch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc.h +PROJ_FILES += ../../../Source/third_party/uip/uip/pt.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-fw.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-neighbor.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-split.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uipopt.h -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -LIB_PATH = -L../../../Source/ARMCM4_STM32F4/GCC/ +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +LIB_PATH = -Lcfg -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m4 -O1 -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -CFLAGS += -ffunction-sections -fdata-sections $(INC_PATH) -D STM32F4XX -D GCC_ARMCM3 -CFLAGS += -D USE_STDPERIPH_DRIVER -D HSE_VALUE=12000000 -D USE_USB_OTG_FS -CFLAGS += -Wa,-adhlns="$(OBJ_PATH)/$(subst .o,.lst,$@)" -Wno-attributes -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O srec -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +HEAP_SIZE = 0x0000 +STACK_SIZE = 0x0800 +STDFLAGS = -mcpu=cortex-m4 -mthumb -mfloat-abi=hard -mfpu=fpv4-sp-d16 -fno-strict-aliasing +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 -Wno-maybe-uninitialized -Wno-main +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DSTM32F407ZG -DSTM32F4XX -DUSE_STDPERIPH_DRIVER -DHSE_VALUE=12000000 +CFLAGS += -DARM_MATH_CM4 -D__FPU_USED -DUSE_USB_OTG_FS +CFLAGS += -D__HEAP_SIZE=$(HEAP_SIZE) -D__STACK_SIZE=$(STACK_SIZE) +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,--defsym=__HEAP_SIZE=$(HEAP_SIZE) -Wl,--defsym=__STACK_SIZE=$(STACK_SIZE) +LFLAGS += -Wl,-script="stm32f407zg_flash.ld" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).srec +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf @@ -273,31 +166,32 @@ $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).srec + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/startup_stm32f4xx.S b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/startup_stm32f4xx.S new file mode 100755 index 00000000..3fd7c3f1 --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Boot/startup_stm32f4xx.S @@ -0,0 +1,363 @@ +/* File: startup_ARMCM4.S + * Purpose: startup file for Cortex-M4 devices. Should use with + * GCC for ARM Embedded Processors + * Version: V1.3 + * Date: 08 Feb 2012 + * + * Copyright (c) 2012, ARM Limited + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions are met: + * Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * Neither the name of the ARM Limited nor the + names of its contributors may be used to endorse or promote products + derived from this software without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED + * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL ARM LIMITED BE LIABLE FOR ANY + * DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES + * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; + * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND + * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT + * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + */ + .syntax unified + .arch armv7-m + + .section .stack + .align 3 +#ifdef __STACK_SIZE + .equ Stack_Size, __STACK_SIZE +#else + .equ Stack_Size, 0x400 +#endif + .globl __StackTop + .globl __StackLimit +__StackLimit: + .space Stack_Size + .size __StackLimit, . - __StackLimit +__StackTop: + .size __StackTop, . - __StackTop + + .section .heap + .align 3 +#ifdef __HEAP_SIZE + .equ Heap_Size, __HEAP_SIZE +#else + .equ Heap_Size, 0xC00 +#endif + .globl __HeapBase + .globl __HeapLimit +__HeapBase: + .if Heap_Size + .space Heap_Size + .endif + .size __HeapBase, . - __HeapBase +__HeapLimit: + .size __HeapLimit, . - __HeapLimit + + .section .isr_vector + .align 2 + .globl __isr_vector +__isr_vector: + .long __StackTop /* Top of Stack */ + .long Reset_Handler /* Reset Handler */ + .long NMI_Handler /* NMI Handler */ + .long HardFault_Handler /* Hard Fault Handler */ + .long MemManage_Handler /* MPU Fault Handler */ + .long BusFault_Handler /* Bus Fault Handler */ + .long UsageFault_Handler /* Usage Fault Handler */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long SVC_Handler /* SVCall Handler */ + .long DebugMon_Handler /* Debug Monitor Handler */ + .long 0 /* Reserved */ + .long PendSV_Handler /* PendSV Handler */ + .long SysTick_Handler /* SysTick Handler */ + + // External Interrupts + .long WWDG_IRQHandler // Window WatchDog + .long PVD_IRQHandler // PVD through EXTI Line detection + .long TAMP_STAMP_IRQHandler // Tamper and TimeStamps through the EXTI line + .long RTC_WKUP_IRQHandler // RTC Wakeup through the EXTI line + .long FLASH_IRQHandler // FLASH + .long RCC_IRQHandler // RCC + .long EXTI0_IRQHandler // EXTI Line0 + .long EXTI1_IRQHandler // EXTI Line1 + .long EXTI2_IRQHandler // EXTI Line2 + .long EXTI3_IRQHandler // EXTI Line3 + .long EXTI4_IRQHandler // EXTI Line4 + .long DMA1_Stream0_IRQHandler // DMA1 Stream 0 + .long DMA1_Stream1_IRQHandler // DMA1 Stream 1 + .long DMA1_Stream2_IRQHandler // DMA1 Stream 2 + .long DMA1_Stream3_IRQHandler // DMA1 Stream 3 + .long DMA1_Stream4_IRQHandler // DMA1 Stream 4 + .long DMA1_Stream5_IRQHandler // DMA1 Stream 5 + .long DMA1_Stream6_IRQHandler // DMA1 Stream 6 + .long ADC_IRQHandler // ADC1, ADC2 and ADC3s + .long CAN1_TX_IRQHandler // CAN1 TX + .long CAN1_RX0_IRQHandler // CAN1 RX0 + .long CAN1_RX1_IRQHandler // CAN1 RX1 + .long CAN1_SCE_IRQHandler // CAN1 SCE + .long EXTI9_5_IRQHandler // External Line[9:5]s + .long TIM1_BRK_TIM9_IRQHandler // TIM1 Break and TIM9 + .long TIM1_UP_TIM10_IRQHandler // TIM1 Update and TIM10 + .long TIM1_TRG_COM_TIM11_IRQHandler // TIM1 Trigger and Commutation and TIM11 + .long TIM1_CC_IRQHandler // TIM1 Capture Compare + .long TIM2_IRQHandler // TIM2 + .long TIM3_IRQHandler // TIM3 + .long TIM4_IRQHandler // TIM4 + .long I2C1_EV_IRQHandler // I2C1 Event + .long I2C1_ER_IRQHandler // I2C1 Error + .long I2C2_EV_IRQHandler // I2C2 Event + .long I2C2_ER_IRQHandler // I2C2 Error + .long SPI1_IRQHandler // SPI1 + .long SPI2_IRQHandler // SPI2 + .long USART1_IRQHandler // USART1 + .long USART2_IRQHandler // USART2 + .long USART3_IRQHandler // USART3 + .long EXTI15_10_IRQHandler // External Line[15:10]s + .long RTC_Alarm_IRQHandler // RTC Alarm (A and B) through EXTI Line + .long OTG_FS_WKUP_IRQHandler // USB OTG FS Wakeup through EXTI line + .long TIM8_BRK_TIM12_IRQHandler // TIM8 Break and TIM12 + .long TIM8_UP_TIM13_IRQHandler // TIM8 Update and TIM13 + .long TIM8_TRG_COM_TIM14_IRQHandler // TIM8 Trigger and Commutation and TIM14 + .long TIM8_CC_IRQHandler // TIM8 Capture Compare + .long DMA1_Stream7_IRQHandler // DMA1 Stream7 + .long FSMC_IRQHandler // FSMC + .long SDIO_IRQHandler // SDIO + .long TIM5_IRQHandler // TIM5 + .long SPI3_IRQHandler // SPI3 + .long UART4_IRQHandler // UART4 + .long UART5_IRQHandler // UART5 + .long TIM6_DAC_IRQHandler // TIM6 and DAC1&2 underrun errors + .long TIM7_IRQHandler // TIM7 + .long DMA2_Stream0_IRQHandler // DMA2 Stream 0 + .long DMA2_Stream1_IRQHandler // DMA2 Stream 1 + .long DMA2_Stream2_IRQHandler // DMA2 Stream 2 + .long DMA2_Stream3_IRQHandler // DMA2 Stream 3 + .long DMA2_Stream4_IRQHandler // DMA2 Stream 4 + .long ETH_IRQHandler // Ethernet + .long ETH_WKUP_IRQHandler // Ethernet Wakeup through EXTI line + .long CAN2_TX_IRQHandler // CAN2 TX + .long CAN2_RX0_IRQHandler // CAN2 RX0 + .long CAN2_RX1_IRQHandler // CAN2 RX1 + .long CAN2_SCE_IRQHandler // CAN2 SCE + .long OTG_FS_IRQHandler // USB OTG FS + .long DMA2_Stream5_IRQHandler // DMA2 Stream 5 + .long DMA2_Stream6_IRQHandler // DMA2 Stream 6 + .long DMA2_Stream7_IRQHandler // DMA2 Stream 7 + .long USART6_IRQHandler // USART6 + .long I2C3_EV_IRQHandler // I2C3 event + .long I2C3_ER_IRQHandler // I2C3 error + .long OTG_HS_EP1_OUT_IRQHandler // USB OTG HS End Point 1 Out + .long OTG_HS_EP1_IN_IRQHandler // USB OTG HS End Point 1 In + .long OTG_HS_WKUP_IRQHandler // USB OTG HS Wakeup through EXTI + .long OTG_HS_IRQHandler // USB OTG HS + .long DCMI_IRQHandler // DCMI + .long CRYP_IRQHandler // CRYP crypto + .long HASH_RNG_IRQHandler // Hash and Rng + .long FPU_IRQHandler // FPU + + .size __isr_vector, . - __isr_vector + + .text + .thumb + .thumb_func + .align 2 + .globl Reset_Handler + .type Reset_Handler, %function +Reset_Handler: +/* Initialize the stackpointer. this is done automatically after a reset event. + * the bootloader performs a software reset by calling this reset handler, in + * which case the stackpointer is not yet initialized. */ + ldr r1, =__StackTop + mov sp, r1 + +/* Loop to copy data from read only memory to RAM. The ranges + * of copy from/to are specified by following symbols evaluated in + * linker script. + * __etext: End of code section, i.e., begin of data sections to copy from. + * __data_start__/__data_end__: RAM address range that data should be + * copied to. Both must be aligned to 4 bytes boundary. */ + + ldr r1, =__etext + ldr r2, =__data_start__ + ldr r3, =__data_end__ + +#if 1 +/* Here are two copies of loop implemenations. First one favors code size + * and the second one favors performance. Default uses the first one. + * Change to "#if 0" to use the second one */ +.flash_to_ram_loop: + cmp r2, r3 + ittt lt + ldrlt r0, [r1], #4 + strlt r0, [r2], #4 + blt .flash_to_ram_loop +#else + subs r3, r2 + ble .flash_to_ram_loop_end +.flash_to_ram_loop: + subs r3, #4 + ldr r0, [r1, r3] + str r0, [r2, r3] + bgt .flash_to_ram_loop +.flash_to_ram_loop_end: +#endif + +#ifndef __NO_SYSTEM_INIT + ldr r0, =SystemInit + blx r0 +#endif + + ldr r0, =_start + bx r0 + .pool + .size Reset_Handler, . - Reset_Handler + +/* Our weak _start alternative if we don't use the library _start + * The zero init section must be cleared, otherwise the librtary is + * doing that */ + .align 1 + .thumb_func + .weak _start + .type _start, %function +_start: + + /* Zero fill the bss segment. */ + ldr r1, = __bss_start__ + ldr r2, = __bss_end__ + movs r3, #0 + b .fill_zero_bss +.loop_zero_bss: + str r3, [r1], #4 + +.fill_zero_bss: + cmp r1, r2 + bcc .loop_zero_bss + + /* Jump to our main */ + bl main + b . + .size _start, . - _start + +/* Macro to define default handlers. Default handler + * will be weak symbol and just dead loops. They can be + * overwritten by other handlers */ + .macro def_irq_handler handler_name + .align 1 + .thumb_func + .weak \handler_name + .type \handler_name, %function +\handler_name : + b . + .size \handler_name, . - \handler_name + .endm + + def_irq_handler NMI_Handler + def_irq_handler HardFault_Handler + def_irq_handler MemManage_Handler + def_irq_handler BusFault_Handler + def_irq_handler UsageFault_Handler + def_irq_handler SVC_Handler + def_irq_handler DebugMon_Handler + def_irq_handler PendSV_Handler + def_irq_handler SysTick_Handler + def_irq_handler Default_Handler + + // External Interrupts + def_irq_handler WWDG_IRQHandler // Window WatchDog + def_irq_handler PVD_IRQHandler // PVD through EXTI Line detection + def_irq_handler TAMP_STAMP_IRQHandler // Tamper and TimeStamps through the EXTI line + def_irq_handler RTC_WKUP_IRQHandler // RTC Wakeup through the EXTI line + def_irq_handler FLASH_IRQHandler // FLASH + def_irq_handler RCC_IRQHandler // RCC + def_irq_handler EXTI0_IRQHandler // EXTI Line0 + def_irq_handler EXTI1_IRQHandler // EXTI Line1 + def_irq_handler EXTI2_IRQHandler // EXTI Line2 + def_irq_handler EXTI3_IRQHandler // EXTI Line3 + def_irq_handler EXTI4_IRQHandler // EXTI Line4 + def_irq_handler DMA1_Stream0_IRQHandler // DMA1 Stream 0 + def_irq_handler DMA1_Stream1_IRQHandler // DMA1 Stream 1 + def_irq_handler DMA1_Stream2_IRQHandler // DMA1 Stream 2 + def_irq_handler DMA1_Stream3_IRQHandler // DMA1 Stream 3 + def_irq_handler DMA1_Stream4_IRQHandler // DMA1 Stream 4 + def_irq_handler DMA1_Stream5_IRQHandler // DMA1 Stream 5 + def_irq_handler DMA1_Stream6_IRQHandler // DMA1 Stream 6 + def_irq_handler ADC_IRQHandler // ADC1, ADC2 and ADC3s + def_irq_handler CAN1_TX_IRQHandler // CAN1 TX + def_irq_handler CAN1_RX0_IRQHandler // CAN1 RX0 + def_irq_handler CAN1_RX1_IRQHandler // CAN1 RX1 + def_irq_handler CAN1_SCE_IRQHandler // CAN1 SCE + def_irq_handler EXTI9_5_IRQHandler // External Line[9:5]s + def_irq_handler TIM1_BRK_TIM9_IRQHandler // TIM1 Break and TIM9 + def_irq_handler TIM1_UP_TIM10_IRQHandler // TIM1 Update and TIM10 + def_irq_handler TIM1_TRG_COM_TIM11_IRQHandler // TIM1 Trigger and Commutation and TIM11 + def_irq_handler TIM1_CC_IRQHandler // TIM1 Capture Compare + def_irq_handler TIM2_IRQHandler // TIM2 + def_irq_handler TIM3_IRQHandler // TIM3 + def_irq_handler TIM4_IRQHandler // TIM4 + def_irq_handler I2C1_EV_IRQHandler // I2C1 Event + def_irq_handler I2C1_ER_IRQHandler // I2C1 Error + def_irq_handler I2C2_EV_IRQHandler // I2C2 Event + def_irq_handler I2C2_ER_IRQHandler // I2C2 Error + def_irq_handler SPI1_IRQHandler // SPI1 + def_irq_handler SPI2_IRQHandler // SPI2 + def_irq_handler USART1_IRQHandler // USART1 + def_irq_handler USART2_IRQHandler // USART2 + def_irq_handler USART3_IRQHandler // USART3 + def_irq_handler EXTI15_10_IRQHandler // External Line[15:10]s + def_irq_handler RTC_Alarm_IRQHandler // RTC Alarm (A and B) through EXTI Line + def_irq_handler OTG_FS_WKUP_IRQHandler // USB OTG FS Wakeup through EXTI line + def_irq_handler TIM8_BRK_TIM12_IRQHandler // TIM8 Break and TIM12 + def_irq_handler TIM8_UP_TIM13_IRQHandler // TIM8 Update and TIM13 + def_irq_handler TIM8_TRG_COM_TIM14_IRQHandler // TIM8 Trigger and Commutation and TIM14 + def_irq_handler TIM8_CC_IRQHandler // TIM8 Capture Compare + def_irq_handler DMA1_Stream7_IRQHandler // DMA1 Stream7 + def_irq_handler FSMC_IRQHandler // FSMC + def_irq_handler SDIO_IRQHandler // SDIO + def_irq_handler TIM5_IRQHandler // TIM5 + def_irq_handler SPI3_IRQHandler // SPI3 + def_irq_handler UART4_IRQHandler // UART4 + def_irq_handler UART5_IRQHandler // UART5 + def_irq_handler TIM6_DAC_IRQHandler // TIM6 and DAC1&2 underrun errors + def_irq_handler TIM7_IRQHandler // TIM7 + def_irq_handler DMA2_Stream0_IRQHandler // DMA2 Stream 0 + def_irq_handler DMA2_Stream1_IRQHandler // DMA2 Stream 1 + def_irq_handler DMA2_Stream2_IRQHandler // DMA2 Stream 2 + def_irq_handler DMA2_Stream3_IRQHandler // DMA2 Stream 3 + def_irq_handler DMA2_Stream4_IRQHandler // DMA2 Stream 4 + def_irq_handler ETH_IRQHandler // Ethernet + def_irq_handler ETH_WKUP_IRQHandler // Ethernet Wakeup through EXTI line + def_irq_handler CAN2_TX_IRQHandler // CAN2 TX + def_irq_handler CAN2_RX0_IRQHandler // CAN2 RX0 + def_irq_handler CAN2_RX1_IRQHandler // CAN2 RX1 + def_irq_handler CAN2_SCE_IRQHandler // CAN2 SCE + def_irq_handler OTG_FS_IRQHandler // USB OTG FS + def_irq_handler DMA2_Stream5_IRQHandler // DMA2 Stream 5 + def_irq_handler DMA2_Stream6_IRQHandler // DMA2 Stream 6 + def_irq_handler DMA2_Stream7_IRQHandler // DMA2 Stream 7 + def_irq_handler USART6_IRQHandler // USART6 + def_irq_handler I2C3_EV_IRQHandler // I2C3 event + def_irq_handler I2C3_ER_IRQHandler // I2C3 error + def_irq_handler OTG_HS_EP1_OUT_IRQHandler // USB OTG HS End Point 1 Out + def_irq_handler OTG_HS_EP1_IN_IRQHandler // USB OTG HS End Point 1 In + def_irq_handler OTG_HS_WKUP_IRQHandler // USB OTG HS Wakeup through EXTI + def_irq_handler OTG_HS_IRQHandler // USB OTG HS + def_irq_handler DCMI_IRQHandler // DCMI + def_irq_handler CRYP_IRQHandler // CRYP crypto + def_irq_handler HASH_RNG_IRQHandler // Hash and Rng + def_irq_handler FPU_IRQHandler // FPU + + .end diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.elf b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.elf index a355acebe2d2ffccff3aefec5671dafe77201a4d..28896ee300ec0620467ce58982e6392f2fe87250 100644 GIT binary patch literal 686504 zcmeEv31C#!x&Jx$-dQswlVpG-M3~G32wP^dsHkKifj}||gvBKZNNBAYeuMs*Cjv)L7;Vp!t2=5~N z9^pR`-a|N!@BzYy2!BL4f$(R9zao5sa1!A&gwqILAe=?`5}_M`A7W-1!GK^wupopX z3_^%Nh(w4+7>qC!Ar@g6!X*eJ5E2mF2qO_jBaA^9hcE%bgOGxdhHxpuSx% zi{7hD|G`Pt#NF;%`++9LoYWS9kC@r)v+o4Xch@-91+BEL$D6pZQmPL1}k@_Ynj;$`*Eh`ZE&> z>`fDVJJ!uS#YA~pat3vBC-)yYGuk(-_4U1yKl=;jk2}v?Zj>jo%LU%-&y6Tg>zS4u zOQ+;N7>Ea_doS~wz!eKF<}W-Gi`=*7#2=AAJ5xWTAiA6-I=T*@P@+qj!xufp0gQxP z9yv1zY15F)AmsAlm&|2BdY;b4f7HSJW6p#LDMm<%pJPumQ7Zp60Xyok8FhG@>HmYC2LT{Jl0s5P9JVt63HyG$p-4TiJKQ1Gd}aL3utJ~x~l^2+l4h<)~S z`=BPC@pSvX&ze>!Zw#$%4zMon^dI2q@3%*efA=WlA+MjKU-|dly@w|_QRc(|Pf+lw z1cn!xUB-l@Jmx?1rP*Z?vCqC_iJJmLviayufuXh1s7(P!dA3_=+Y}gFt~^e>4}VFx zCg4=~n_td6Rgufuo(?G4PX~+$W&~Td&HZ%1>NOVD-WKQ?fM_`EG(+CybxW+|IF zcy{f+^(Ytmr2mEPOJ`VIh6FG2X#diJl2PpGFU#HLHp#sykm%hM80n?bjmqXDHw8u) zn;$2RYrY(lH`9L*w)uGXcR%~pWrTa;OT%NZ+w)8E2AwcSgFcb?%u{D-yH6K)Zwka^ zZwd@Uh)1{tVK~AFgdqq+vmXj@FTZM2AjbPpfQeYF_dr1MJ{@p)4I;m-UxuSJ;V4Z6 zQrL^N^|p$-Zwf>fbCke(-nysu08^xF`#vSlnP8mG{ow(gWayL;GX$Kq+zD%-09}~( zb)O#B-FYc8kzPMUp(Y59SLqX+fj_EN|x=-&1zoRp{AR3r=+oNm4 z3!)m^at3!YR~S-+`N|r*$Ax!VeA^q#_IIEDQ8)7+4p47gn6@=XI?7xeT+|o;NVbcx z8{uOF1{-4uk<*!f>G`+!@+VkAIO0*~9}3vKY5TK3U0lhxZOf56m|N;%Zdj^2cke@i z2ygc|rSqYH-5ZA7n!8PVt`8_@Sv3>-*Z_STfj-C=y^E4W2AF?-fZ*=apMm!Dm))l; zfgc?JjQ9uLfe*d=nqgB4Z0f%A@C#S72RK?x@iNz@fYG@rVDgeZ8N3Ezo6LXdxiPR! z=C3~|@9_q0ll)75AOf{M6!*+$XYLqsM9H&3hq?Q?3!beOa%WjkyRvhpF6J@@F&HKM z#pk#$z8o$3;-8=sKj{Uw&e0;Z80$^}Ht(}SuC-w2S=qha!mlzH%qjOLn0?Iwx1BjR zmz&(t<=vgDHZMH1P_D|YYOXp| zB`<1nO(0u95xm@J7nK=HBIf%kW<7gV?}BA<7n4ZFBgCd>3`<=5`JYmbsQD37@fZLIA+ z-|(7I{&*wx{lGbC+r1bo?#@29SnM5ZCvorLoZNR zQ+v#Qw(VwU#ebA-TZK>N|1HL2wgsA>O>$RtlhoIn`lii9T4ykAbujH>k@oo}^wc)H z$YC7iAa8FUwil(WbT8mt%Ey4a%9=wbXUcK8 zYK^W4*65z575+PN`_yPV8}~(Lt}m|Immis3UcIy0o_h?zRb$UxfUpzc7=r6Yzz91L zjv=^~0A3>HT65#dA6;^6No1~TX=LsKgq;Y-5M0XuFSF*BmhW7)t^C-scgnZb%=~=v zO_ABoBch*?C)q4zEAUR#&v*xS0z=_N z!pr&4h_vCl+*3`_8;aF_FcEY65SGm$tP+IXr&L(YqYoeKMZ*__RX+1R?(9DOO?dX7 zcT?<9@%)8&{vICD>I*B_R?b{;d*S9lTbR^id$p6)Dn>`63m$_UHW1fWOK6csAr8 z0VhwOm;>?^5sN^~AYxYJbTD^ddNr4QNIOWB=ySxvMeGxdr*EC>KK;r0?$f8w^Q5yK z{ITxS@0?>J`2*$$Q11G$HroR;{VX@4&FPM6b38Ekfgyyiw6u{AFt$Z^J=HEHIN@<3 zzAQgEB2N}^3*r$*oA2QqLq`~%QU||u#DqT{eY~CIUxyKVEZW|NaOQmKo&z8G*T62A zlpQ<7#XdP`!V*r(Hu}sin%R`rj&^OUtwEcYx#Dh`3R{5np}i;W8{~TzYl)_a=uT@< zb?d>CgA`YuCEtQMm;2m_#$11se4>^oO#aj?8wxs$op}>62b*{xWG^%?C!3kLFCr-C zOy?k9eCwbhSKgrfB+O>nIkWE}VN3Re2Wv~q51$NEb~NolNl2<9q(UC#&^({)D**$oQ zh20Y3clO{Z=Ig=Rd+-$7(1SPk;3@Wc4_@iPQ*3?X>y5*VxgW2sx313pSjsJ+=NxM* zzcM=aPz9BagG*DV4sD$<;|=;z;ZI+zeYW`)?vkMCD+=BSu&Bv?&R@dk8-e(!-{mG` zzl2Ze8}0j3K($2?Y>QfR%PXx@Tc>_(oH{)vCy-Kn=Ph@&^47^88z)b{R66mpG^oVaC#X>>SsVHYQ&(9n`y=Ewd=9f7X<#RK^pU{xli#ePXQI_nFFtK^)z9$z@%h5!H^mdw27(f8 zbLlf>Sa@4Q!u~_BKBs($tgnV*az$|F_hRh+gVoG0GxVY{awn@%n3Z=sBt@!B<*c26~npb*d@C(lMf-{p`t4<9%h-hfcOe%V(ue z&0VpCJ2|vqR`pL$wnp-^@}~|#=eazsj1f&P=UsNl3oqP-baHe={_FGJX_u>yWapOe ztbXa_TG#q{Z?wDN6V?hiCzaqM*@wzQbzl>vY?g?X$gm$W{5cc$8hmk>OT|>yPQzSa zi5rVKJL1GAXiGs`C}>Ls4e=1I6twFEZH}N7_o2mM&K6T4($$D`h=E3a){N6?-*ZoiE`);f0Gl66vUbZ%Jg zt~I+MMJ%!!K2HFZVt z)Kp^-lMBp!?XEQjbvB0TDtj*GMa^q!r>XT|bGEk=)PzyW46Bk`V{`h> zyVSK2Ya2^*v{Ox3?ImG$CZA$7?-#2gwtd)szWtfc9$E28X9CUKPO&VEs+hIY{G7F6 zoF4;l6j#m?9z<>~e@mU~R8wkPxk==XIOcapu?B7uYhYUUl1w{x zf3O4cB7DV_)+u3Kwnks0d_r+=Z;zSM)ZUC$6!$i@ODS7&J~?9Q3~L-F^~I~!U;FIK zjf1BAxjnk@gWBfCaBJF>ciVT><}@y-WsT0-9qr%EF&^cqZ?uOME~vHc=U!*+tL>X| zZ12!ciLs!q_Nx7J#IDJl+UWhXe-d4*`nata=k5C}h3;yeCx2pQ-KSscc2^qS$kp_WFpQxuURdVC=%4Nr5X6ZRcs>1<|$8I`(l+buh8dVCgz^ zLXIq8mVE0JmN07-PcwiAGj#BL(t(-Q0tGvc=tr3M{2VfAFOeaxrVi_h&9fAw2BMvD z`%OM~Ad>u68deCkl92G%4)nSgLuqAe?#Im8)J{0_K8MSY&oBd@-fiEDc_3N>qngkp{3_Ep_UWrF|M^k0|0mpxbBc-b z&lEKqWxJB+5RmpQ+#E&k@hyWBi%TSv!X!y&Ew@=V0Hq4V8#7|Kv5p)1%?X z-#=dBHi1aWoH)!&wj6 zADuf;KM4R&wtt`KAzO0FI(XV<^o@H(-#FQEkH{_BuRxn0H*fGcP;-wnDPdhfWK%KX z$@SjPpkC=jm&}wG`S}mTzr(aAQf!ww927Z!Cyx zEomkVuQ<*U7x?09|P@=ZnzVr4i zXt%NgqRWXqZ4T-@Th#eKI@oxue4DSv4yNqhwaUGUxp%EpT*p=(U*#)$a^tS1B^!@7 zE!p61dU8W$6L*%c^7-N#UG=4n3+lHu?yP^e@p!#+?E`@^-s5!-1d_bF@Eq-}#B-F_ zUDthj`Iip_k{R>+<>GOd|DL%nMXQbLl0UgjN-KI|UfnYsr#(E)gYr1LB+O>zPcD}x zfTE1Gck)jr${)|WB4x=u%)eb@;PtXUwN|#}B)u4&SGjiA+6c(GTuR7aKX3Nl=qbY? zgLcGY^CXMm%4bfjjd=ZCyDLugwHRtwXAVX$YEKC*d6`qe^Kz#V&xuYeo>w@>Cq$r~ z%Mnj@)*rJ&Bg_?v@>)ddC|E^&2l)c0*fl?Y`r$Kg9m81*?22rP?2K%VY%FAN^o?c2lK@U$QpJZ;zPPk+7bPnbM(rV#By|&$&Axd#v~F0()a~&J~?&0gdy{ zYTS^M)_DiwiFGt(Z9uxCttd*g~Y}Y)br?l7kVp^Shb6nQ} z?mm6>Ip(?sZGHaqU%vQr*PLLg%8o*mUiAb~H&ZQlZXy4RMbrgJTO=MA0E6s7bPrrKBj`N#b zzg>>59orhWHy7)mFqG~5>C!Jav}i_|nEUvuNS7pRKI}bp>?PYIyQKLC*&+EvvQe_l zXumVU-tpk{&4F}poU}P`sTVzseX}UJ+B+qw{DJAwxl-$qIJxOQw9xduR5D{H{3S{u zp%mSx?>M`@;J$#@eqSKPE1%$)m#s%Vcdl>CVQ+`+zZ;sp3#X-(Ynw$Y%4qwnHO#eE zv~G9cc7b)`$hP>cq3BO(E09K-nAHU(#aysKg%(jtpwh;*S-F#y-8`;gBIf|74jOp z%0yWtl<+T2Ny7f@t~r8sW7k|kBg^fAw8~ZX;0XP2;H|DgXvT~g&zUpRdfISs2gYP! z>xK@KXchQXl*WiS*~a^4?5-?f1GfdzyCwyBl@5d8b+3J@>oSz{svkerl^&G-`WbJJ zbX$*1lJyhF8ZTsB8wl^hN};uE|Hdwyga`ZD-A$nqtm(4D^2B&X7Krg|7@%aQ>y}u2 zj&&}$g~qI%c#d}-!*hbu^$m<#&INdmcJ9P8$$1RVG4Pr1tlZgJ+H$OQTT5imnB;1G zr+GnZTubHc)OJI0LPPV06pV~s=TMB(&l{1 z7B}U7mK;02Z1-OIM6Tfpc4^VrDYIK|+-qok3iFmJtvho}own+R@^!5#YdknnZLgc# z#W6REt{nsYo5uYPC#Rz!Euw2wkF>F6WA{UvvXhal)V(|{G;W#3oj7V9mxS5oC6GWN z1|hmDroe$U59L1?`QLZTcjE>ZO=$dnj{N)Rf+4jy)2-!cgYcxYO4GOxkA~yZ0vKtq z_%+WMGDWIR%gYTY!8+cEr)B(DT8W`l7UYgI zNHI^viSH(($X)3)Oy_w{eAo1u zo@uUa?40V$E3j9`l;4MzsOy>n=~Je&);ZZRxSNuR8T$S0S;!@8dUk6iaK?@dUna^J zi!zorNnKa^Vw*}a`z0$K(sf0!U8csQ7dTpTO7Dk^l!D?`wKY<)f}GvD88UcUGAv?( zu#op*W!}z2-%Niu*5BJ8D{jHImL%xwP{7F6wU(?o6d1xvnn&cDTiM6xTZqvGqn*Y5iqsL$RJ4OScf399?0)s{@KB zuKWqq6L-Q2B1yjezSdG`I<6k$UOlX~H9Rf4N!@EE9sUXTR>&80Ak;#SbWbQ`Go;s$ z|1j?}`IJ1IKqk-~Gy_h^n{Sl&Zk^`LJAeAaZaP0;>=b0x?hE&Y7rr02+s;M~&-+e} z(s2!Llx&^GFAaRi{9^;=LW}Rh)Y=0L@Cg(egP-<&xx=kfwm?Q?fcxYCpBebjen6Tc z=e6NX1MsjjA7UNN0{hsDffvj#Je*fMt#8~Q86~4^lFhP3wkTF5OtC38!yrSrA;J)0 zv>WXvySZEZG3G#?REIyk-F*kAi2kQ*K-Yk-0bK*S26PSR8qhVMYe3h4t^r*Gx(0L& z=o-*9pld+afUW^u1G)yjh8p0KtWYqT%ocWwZ2jM^;@+P!^CpDimHkQHH?o$)NuLK2}R5}$W&yJti~wC!3Xo9atx2-!+1P*@oBla zS2~lX&A8e*cg2dO_0B~r>YS5j7dfXcTTr!dVbwxsaovi_s`~mBb@gMMmnNqtr#Mr+ zDVKS#NV&q9R9CgMs-nJXjI#_$JXOIY6E2;wpsr$hWwo=eYE?~r&5GsD)O2t96_;Jl zUPp-}HkO|JZ1^C?@dp5bv-*aLx`vgt^XnUyrKK)PZ){AS0}}oW(mZ@Ak^DU839`kR zkT5zS!Q+t!ITPFo^0iLcnhYo=IaQ8GPDn^_r6jmLvK?%}KX)kdKlUl#bw{#Cl2G`A z2C7aGJ^NS3v!b@av$(QyLPg!O3CmY3ubMz@GU3ws=@UqQH>G(r1W(QK%B3q8R(Y0H zR94q4uVVjb`uf%NY-!B`&&rxwmYnQS|Ib;mvaYhq(@d$1@&P`r_$$Ao%HHN%_7Jq5x-peJr&Y*AH3!^%2Q zZWML?@~WGm`1zaDp`Smr+7OE&1 zUPINgKD{?sreG06#l~W&r`Yo=7LvTMzNpFyDv}meH;}7tH5FFFwyVTv*H!ob=pRM+0 zY6(qs>naDNtXn=HVO_%juKI-oi&0-YpsHxk%0&a@!9x2NWMw@ZN`K_dJ(XBiQy(nC z(ke0)wUfX*B95LBv~ydi4j@8*eNvl)~X|fq$Fu?=$YcJ|~4q z3O7zNrbuR$Wv{TGBH;In_SzE&$+`_})t zN0L}4iZZ~5U&J?F+`;|tx_I>Q{%-qk`Qrh#>2cX#;Q)iK|KipBr}}Z#VuV}n=cwS6 z`?ywe(f!>vxxXII2>;yUiBwzc0q3h;2%fJO73{qlT*&k_NVQ`E)yJFvEgv2nMfy4L zK29429q6>-<9nSpIJ7Rhk19eg8mJ4nXqbI(6@w!S#)Dp*>Y_CjtQ7vZA4>RR4NmpP zT2#m%Yq6?7*5ZUe)}Vs^Sc41sV=Yqk#~NJFA8T-`@71DIx23_UzE^`%9jz869IXZw zbhKKWaI{)f$kA%Bs-xAQf<6_vpif1tcbd@SU1`=L+${OgF7knTaS^q?=FSAe~!l2Ku7zr-!1OK&M{e>s>7q8Vn)wBOs2Pn3z zqGtKuIYGaG>x0wm=`(#?bx-%{?OJ_C75K}q@a28GD*B%oU4pJ%Ox1hcd>_|-!TC5w zf?n4?a6XPAXq|m8qGvvSA-6781)ee-$ULEtaDBkai2g0yr|@Q#)Yt>&y)+l@nfJn@^i1zUjw<99 zf*nQUK2&oDs*jWG;}KycU*Qq^xxJnOz!6={1!`uu16?5U)Vjc4M2`yu>cURHr}CjK z2~GD=9iv78s$^MPtm+uGIN=yIsGwui;6jd3i&Pz>1{ZXU z8l36`wJ6mIYH+F()S%QUuofjeo(2{4cv_tBcv@7*<7u#}$J3xf9#4Y|dOYBQ9uKkJ z!MkUS?-|(18z!o*FSU?nx)~+D(3G&6@R$;g@_ZvR^Ty11k`+_MY}k^--hkKvp70{B z;w#91YnlH4)3=6pdoNxFs@T8m)@{&J318U9Q_%{z&yFe0z4~tuVAj^_D9OkMZfYXg zSNJ>fIH5h^e!j1dzk}3)t9i|o4;;Z#hqhpQm-E3b*j_}Bzw2AOkZ04Ud>Y^We`(5h z0SDM;{MPtFnlfOoHCn>24$unvdGdj;Gwui>4ih4UyRaJnmF+sY>5c+ITq(KN9(O2K!@Y-9y}OTRCFlLO54XV4&$B{u5EJyQy@(#qO1Tdl2Q+mE?wnrWa7zpdq3QJn zww1pwo2eJt9{+dSU=7VKHEnjGU00ex#*=&d6T>%5gdAQvVcKOr*wgQ-fhUAeKV3fj z(GDs85DBfug&S^G_>j3+h|BUII;xVdp+&jTX-qFIEWNpOW{qs0BsU~y$(BW9?~>;v z%gM=NhKlltiI}8#h!!1n&fVN!@F=C(A$NPAN7xO43;SJtNP}bkEGH z9xZN>K+ld}LI=Mx@*(ael11fAC)5WTlxU-~Mn1SS)g9Vg7r1*(!BIA32ir}2$jBa1 zf@eQag-93Ne;2qnFM#{2i4R37g8Th~ZKIjT6nY;GHL<`w23(5A<%JDvcwaS(^`cpqIq^Z3kE=aBrw>A0hlQtsvnG``|0VgR zo+DjHa!7ZA?;a~3nu!YYKAPWc<&K^s2*LFOU_y&Ofq21+R=8e+`Yj}B^!;&v#F>GK z?h6|r=ZS%+LjzIo4n&;{<1ryGBMRAKQ?->DQrD6mb;Utl!HJw;ea42vpA>IqW>HXK z%X$<>n(fQ_7aJeaUt`UK`swE$AhasH3;v;Wp1gXJY@4JpukC{dsNk7_sMiOgJ|NW0 z%uv(KrLJ&p5T=&Flm3N-Xbp$6>emtWhVvoS{fzv#;b^^JLZOk<7hu{E(T{0w1drBg z=cT@wTd4%Uh=6WrgCNxH-U4D(Z;>_=(}@Vww|^g<*bLY|o1wLC4@tJ5@w@@ug4dOlI$KSmC$Xj9Yxwr>qYeIKYqt?&C8arLx; zkpCY8QJ)P&ZHVsI!jDGtp;;;JyKjNGzAgVI@H9qo<8{UxE)7L6){ezZld%{SG`e5s z;6qD7)-8(jprc<#yZa;d^hf--KjKx#fLeA9M4d(6{kzSU!F-6ufOg}OXqLu5?;DH) z_H`CN1tL@9Cf`OPZLR(|7+;pEdi5pHi9|=RV%8wZHR>5O{Ciw-Sz`_IPpWvN3ic_Xz+VmFgRh2oSq{@ooYKU^iSiZWN&;fsxX4&IIm4G#RN}?xA}>vr z9h2mnlCu}f)=6WU?~)JPkt`o(PPxP>k9KOxwrePIL2F)kigj~op)a$j3{nRt%PW#) zOtyOFYEzQsjAT*bcd)jR*k5Q*vHzt}TEWr9&5N(~{-svG|Ij_tzvB$%zqmq9X5zkU z4&7J1xCZ~Zt7U9$&@E4~RPJ*}^dDF_BQ;KKG)t7=+Dq&?VyPKiLSxwq-r%)U@b_6y zYxV`z^>-*SUA&%;<*s`74_L@hFY7_X0`*cVmfh+G5+Xf&p@=W&y`3sn!oeeNacwae z$MwF8t=PfRd;C3c#g4LTSM0rro)tUQ@9$f&59+%Up`QI{G@-88wJ34+(+?%iel$4s z>_>|Vo&9LB>e-JLC(eE}sNmU;1{XT}(IVBe9}O;e_M^e6t8*<%J^Rt%)UzKANk#iivMaT-r{RI$9C zF18}7ZVah$+O4UftF5rEnyRX*MZt^DbyZd3LO0OZV#3(~SYW(8x4wbziDSLJbVcP7 zRY)ax=~_5309&!NN(Fk#0s`$BuwP-Shr3CGvr=5Hlp1en1e-|sCuQ!)O7yrw$a#d^ z$NA*zXOv=4!(A(nr>vx;WWKnQRU=!j6FUesB_-toQ&dtWuEeIy$o3>;BxKNLLk1Ei zu3RhzJsKAAm5#UcO#iLPxZ&jqjc-Z^virHjWh)P@{u2rHwuB!T@y#zRl~*Sxg%(&# ziQ>l$2BT@h^>?Ot(i5^$L^3OyMPe^~)jluu+Iwg9ZwvwT|2Ou4HR{mbGWb^;!Fo4r z#c=cA-1P0;F6=WbY5IGgZQv)!=wS;&#H`XKrJZ){U7rt_zQoy_QZnL(RU<~E`vMM^WjIjI{i5%Qr8QZOOrw zxXzebdTENhus|LWLKPTO3xS%oNKOr*h)cd9886geM{x|a5o$9b7iVv=HS*2ZL29Cg z@P>)U$*T)c>7I5^mFo*+dq2L-W*(cUsng>E(|0UBFo0*hmB+YehO{M0(r)E(S!I`n z{^J<4OfE{s(P+>Ak(vU26DLnu+1@Prm!^^QMi}11!Ea#s++X>Rjzd=`<5*Qw@*mkS z^B6V6*<>lXh!Zm-B>rao^7y^sGl7Rmpvw}!;Lf!25b&3Qx560!fhL0>RxH;8-Q zgV!uT7yf^G@ACiVyy2Hv>6N9_q+}I^W(mR}cXK*v3%-yjFwaSRXbMK?zQ?!kVEv{! zeLE?^FT3YuxHSXuc3O`0J*0a@=5e9jJR$t|*nHG*oTg=8-;>O{aD_<2v9&*9ufk*d z7x1kM;9fG|ib#rEQ@Q^z^gpXUeF3g-83(4shz)5yYS}@f_{T<`SXkz9c}96Mvv##D z&TyrB5KHiQDfDb@ikg3D!j+Shl(Iz4H0N$}KaFiQ_p3ezTCGlB>Vug38!83vx47nM zT6Mi;0P4FMR4pZZM}yi(s5^19q*vBsgnCMY5_NfXAnKR~b(j*i;UY<|ERpa>15qCh zM781`NWX-;2cq5{h{9!&{t5T0w>$dYzxd4{Oc!~AH`AM)>M2dt&TGCUaq(3R^fsD$ z%S^rSRbce?zxS{f7eF*xe;}O47WR|(TzK&6%;oTXf8zqOW+(PfRM}3i|FVeIc z_-A}!PLJpOG4^cfM6aZ}_dkI*;zVz#dM@@Sa+RNB8+S-SN~$|0rPwnfH3hL$dcQ)v z_>h`d^gs)~jOPBkw71|tl+#cZlh34eHdubWbao0tZ)+< z=K3eQ7hA2G1?6EN`ib6(mku&DOi$v~gnmqi2ckA$>7^~wL+bkneP3UA9j_`R-k_2G zYYWHja*8L@>-DC(Tr+z1i@Qia_gcAZ zRh-6Ac}bcr&!@sjV1oLMNYmj9CHBhSHJYk3^$Wc&u70KG-!U2gr{5F}y|6f7UGdeG z2_^X#TUrdL!9`X^1Lxy^-+mF7 zNofCu87!b4^|)wl#<18m7cP!8Pg&-lbPBeg30P@*hq2Kk^;U|1++T&97>#p)WBO z-wv@54h>EPI_tUhN;g)6mt=wNxg?ADz*nh(?72A$*U-lSk)sG*n1y=?-J=D%=e}&; zIAwZ)Z{7&rrv+!|KCLQOEj1EVETzk}Y7N9Z?rqr25Ux3}OyFFd+8l+yl-RW>^Z?WN zd*4^U)pvXi^u=4^f-n$824`wyr6`@cP$#j2r8H~p zPo78QH}HyJhUTgU&Y==khWcAI@w|uY;p!J{Iwu)Dq1kaOk^U_4(YLs2%0$L}sfUSr zpG*_t;LEukggKA*NO2S5dhs1}Vt^QtmEjpBTav3wOLH>B_tVk$J>wm#$(e9t3K#S3 z3Eq^nj1-?3S&Yf_zPRF~hmqbkQ;=G=e(Fog@ZetNJUquaW&3rH$b-c-c#+ElIZC|~ zkFN?%R9`T=&L|IVkZlceQ~`)`^rFJj8QCR8@{f74Y~M^-i+A6z^5UPTg5VK&Gk`{P$>Y`*-Aa5J z8Ht|B)ktTa*GL00Hx?Kvm1HF4$VO6~d{velrru1QWXvSP;w$Nq@6GpKn~G~Vi7AC8 z8F(e%mz|lCE{B<;s_~t#nHi9Z8!p62qddQA=Bj~ZDymBCE!60>9-k-^y|LiV@cQHk zksL4LWzJY!;-&Wxygu_t==#TauYrU@1(_xINr!I-0k;>#>WtLVj0B%Mlhoo%oSd1S zo|!f~#Y^3QQlw-SCRS(oMx&ThGQHKM9#1O1w!q^;#5D^Dd?_s;*;-JI3QQ`@EJmp^ zQLs!b;Ytc;m>YfBxjww@AlnK&37!%hEaHn}G3^MwBO&?}R_ncnD=>#-KDjh=rf3wQ zz=u#CXS5RqAu*4xtc>U-<;A)C7%wlI*-v3&Q)5UOP5shT(Shp}JU`A++to?w74=fc*M337-^q~8^CFIS}$3r9Co5cQI ziMt9jT$v9DVT+7Q%Bt~Y)vMqTyo-y2xa!bNiD&m2 zmQ{IHtyodFU}fE64|aa4maeE>hWFAuGi$4s=M>KM%&n+fT-5;5vK1cu>`rb``uyO& z#Qd2{@dF}_DmXRWd-;6)x{n7x;Io*~5ByA?<4nc<%;Z$Yn@++=73L6Sdc8?uwr|f; z*wZtqcK@c@d($F-T_eIwX?EPZfzwHJvgu+=95Vk>fI>lKceXro-u+ zDfm`e`jcDpB&MWnp=eB!!VEctm(M+bHy2=ji0=8l#EhB0Mbvx{k3S$-?!<#L`HbDb zjJdA^um+H9xbZIKAle}8*gPa@Rfv^^buI?edgUPEO4zP%$;`Mx0LHM=yNt|uk4Rz; z+f;#4ZWe&ewzO(FGu|iI?6zg~^~~5NfT*yQ(5CSL0XS^+70mc8WgjFDvDL3)#s@`w zsI9JH1v73JKup-yp%A=70I^|@bRqde0*DLS?c~h(umFaIUEYe4?NmrX@ytvzJ5Uu- zQ<+)sd=x^AamEu=y-ftfi#U`*tY<^4sOq+OnT4CO#!Jw&D+7t!U=eNHg&c;G;ZHWQA$#}2bROrw25w0EU9k3BQftuPAKk+Em6SxCY7LYyfKR)%1Fg~xiHV~m1jY;1X%!YG6##@+*4 zrC=Esw-Ek?f-zf(8#z;96pV8Xu{W-i83j{KY(%qxQLv1UJ&gKOFkK(J6)i)-w2U3V zw-7396-->=!tFV~ZxC+JiF(jqn~|~O{|SGlx;^=+#|=!mKXOLY%?7Ocf^_=L?7% zw&P*kC|B^4sFtbZNduEBMVy)Pe*j=1{}nhC)4fjss1ktL^j88F3BYEalRKNqiv?ge z=MqpY0EcOu|W6#|%Oszx2mIiRanWd0Z?vgr+ilNG5`&xYyxf;qFb4TYHvM)2h}3%XNZI`Ljbz6lEN+tAu*f` zLfk?KLAg9c!3Z^)D1;D{Ss@BWqsc@egq0d&NiW3~O{Hk$ zUIjM0Q#RhsUx$Y=7s2?9>w*c2<^Wi)CNR$>V1oe6rV>iLQ2;hmB>|fRU^nMa1=~FW zaG0l3ntKHhW6qfiV6y9FftyEU^mYs;JX5Fm|93H-;-`eePT>;l;$x3#F>s!-5wWg z@#e{J0LCYzLnwfgSt)Od2J2yv zGPDbVViGO-j35}zIkQ1|R#40)(SrK~#cIwa(|t}*Y$nluKNOU3P*R!kc|oz8MC~)5G2K9QeL+xSOtGZs1A-FAY~!hoe=r(jx?(4 z8p?kVlhY_c^JU1_O4L^n5hh?_(GJrtH)aryMyl&~sLL_OEmVfbD6S07pE8x1o}^Uf z!L!ltO+TQxW$={3TxNQP;#SAKn828xdyb?I&MV4iru~GEX7VB`& z@SCAa7JfT&VzF*7ou*>LmW@$eo2xMJgWt5F}$E^)lstV>_tPX7jBH zIAV2dq|9ztwbBkvo7YeU6vuBV-a;809Lpfdd^Z);=%|KOnb%VWCMI7^OdEd(Alh!Z z>^743XJlcSsLHE`Y)huv(k8-BR$KZzNM@O$w)6}X-r}RU)$s!1XHeY6|r9GAT%ew63bJbDrBxfN_L4tLM~CL z9K*L$kyg^rV{HYcOo?zx;Cn6zbH;!;xE)tvl>w52dnG{!ZeailE;iJ~>G6&jbe zUY6{uMlvHsAbAB46p3zW+8bNj7_r*w2 z4iufLC(=q=s+n^*DEXjxREj)3FOl@Ik}+ozNLPcD+mnbnlYy%M?%E)3%RJ`H2JR&^ ze@jq=^%N%&TMAG#^A@&}xy%#e6ZjlbvaNzAc4}jf^Lq z9w#%TA5lvbx;NuHt43YXjMevXGUg|NbRGuvP9!{~iZs}mgJ+Xevy-`g1>zP}s!G5L zcL`Z+I{gS6QoyLa5SnxhU>Zaw{Q(g&b2jFB*tvYIQ65X{F@81uNSjk6xC05sQK}x) zb>sI#0|a8y!Q>L7fX@I9C-?><`qFR6eSVvM$L)S0#R1ZYJgVfQ6g9|?p)w=xr;fan zYHg4|Cd6li*rg)=NQgybkh^JRWsr9R;T!^yWR!nJiJ(1I5K9^b^h2n6QeKdr)PTqp zRN2NLiCIZ*B61g_OEz==h03#;(+|lNi&8!cV&Xh1!+o5_9cjzL3Ev^6HetYTfywz8 zxF17KE5S%v!H!z01f5=VbDA{s8nq9_en!j>6hu$hItifl0F4U z3QzhR5h^OP9>tS_D0C#VkS9%ypfV2Pe&7xA&p%>j3nfpcjRF}P1sGR78zG;9^*s0d z7L7~6dWL&`4TGRy{ucL)fv=@tzMFeiKqLiA1NW4mOH;5ka?gkOq+tD$d)@#q1=B3a z^G)c5g6SH`lZ$i|Omihq9Ox8GS4*Cs;FE%72lw2CmZV_0gL^}p1YsjRt3jqODWk>dW$4hvc z&I61?QB4wlI$QBU zqlgQU#fUHqwX8+m0*eDZD|?wX`$7Q@~HGYI55kB$tgPppjc?NqwErZ*+Q!wWw!uqR$A>S-w}Y_ zN~;~^y8>`nXtkq!PdWf$F&0|wD31vs&O)ml<#E9lZ>80a@`Q973gBd6ls83fv^#)3 z2`bkh6Y)POy$4jdsD@$kGr*g~oYW-dq$V*ZHT9X38uIP|Pmq7cTf{Hsq^3S|Qp2pD z^zxLL;2=z5PHGZ!Qj?gIn)=L14L2O?$iVoHCa^>;C*(9TC4=loi$#?YVmjHb0Y3+TZP|Q6%Ql|ju^~5YxSPk` ziA*UNHuJcU8#RrLy%H!2hAo^;#!O6M*I*b9y3X^@sr~p72&TX1hA{Tx2Trka9bu zg)85Mga}0j#;%;fGgA2gJW5Hzz~fMkLgrxQLp+Bl=Yb!pBq1K7G$J0W%s{F* zWf3@sDN!gxyix?}CCdH44_5+^KSFs7aiv*T9cb zCIT~BNrdDiWiaAnltp-sRW^fXoKl9ejaS<7oS+N^PqOkC#63y_^7SeWkeQ+^2PRc% z#4}B)N2+w?HN-DfUI+CuWFu9!QUuP)N*SIx%4pzol}GTLqHG1{ROM~(801Up6-Juft< zTja*OPva;rYZK8`&u>(>$iFQx!t)!{qeFvwbZAnK4h`zjp;6r;UjS~Sx-Y(#qUyf* z{fL^CaA`9CHaeK)6_i@a<<#Mrg?5LfDf}P+r)368FHPkg0GcfEsFsu`D34fw13gI7 z_-`l;x6*cyG+j-^t-C2zK7WT&aVu>INd;;$Zq0|DBp?4zN+(%qOGql@?*np5Vfi>+ zF5(u9o=mpVo{%(yhXeA<)}d6ynfy6Q7S3$+`2&PO^uYG8A`VBx-$uQ{sY*7AQK<#P zP!%aCy$`{2D#UX+dJhfT2qMj2S@=sNjTi*y2v8y@yJm^muchJ?^W)%%+$dG>Jal3U z9VbYYBF-#yoFFX}1y(HgVqzy%3BYXmD*=lHV2h&T1ZlAV>``=_AXN*%5k87IwNfpFTEkel4{;kQtTu$?EW8}?XhI0e`Va+&ueT6|5Q4Hh zL{V7y{X`*zXrG_-QtWh`AT{!FXtGGVR4$p}$SwQG@)k(Kge+4Cs1$$_bt z(tHk-kfk+(s^8jMNJ#$l;|Yd{z_3K5z$VbkVMpf3kF z978{b=@B#<@@pwe`h_yJEJL59!d22Ql-YsjJDfM8rhJU>L-Hwf+yokQrL9H>B)M)t zMU7VpEu>t6{=_Ds0Kq}@M{lZwXa{AEzca(oi$6s1`WzriUv+>e4LCrQ1{@$t|D^*& zx%U83?ma-1dk+xh0SAai+SxmfVEP#zI8!C~WC+H2E5S09E9k*Ii(PDaciHmElkpB)k;e~$yMG}B5{MBv%vHw?u27p}vh2f7=JR<9LFvbW7 zJCrMa0Vq~LHXiZY6mZ4~$j&2ZfK!G^Huwk!kC^-hpm;$m1?LVP!70B) zK|9PNGQz+)QW_5_Kj#sTQG1ONG-itU;Q>IS1*DiFhEuvE0pU!l9bHoyBOse8;!{7M zu>!K2B7S0k%y9y8m?FA<3EFr8#h40{tYC%1=y&o z;@QV$gLk0t`o*(9d;+}gf(?vkuYLqr55cPA*-tW;dI~lyt~&zO2p7@7ZLb6`(W_<1a@w=gu@bw`e>fco+ljt^ z#eG9Z!jVKy8R+{F#I742kV{;yP6Ckl4;ke1R$(>O|ebM4$!a_a|JM`2I!EWl5wWaZUl~T}ZD? zv^WrOSHe|^JGuZqfN;yiO=x(bZiL$=CZa0}9Z0xi;w4m)PBd&Gg|=!ebNn;wbmf0K(%Ff1%7k!jls1(Jh7! zBRnlJkMu!=&rE!>AK=3Y&rCc`KZg;Xo#@{Y^dkt*P4p=QJe=_S#B9Px623H1gl;o5 zg7Bh5w~qlIMR-Z#=N|!&B)l{+xGUt3CR~#!VR?-ryfV?UG3dt-z9I4LyPzLS_@>0z z`vD(Ecx~co=EG>h>k`Y+h(gB`zAF)F1Klx%H(J3*Hi2=%b*xA6(1iLJ;}dJo2Y({@ zR-!4>F^RC9_>6funQ$agh{hF~LKt;16FpF9>IbNUxj#0*Bl_|DOrT6Lrz3xJ2I-(ikM5)N;6SLx* z-iKH(&)`}yxIZ2=YlFSSAfM4_1ARo2TVP5r#i*<_emxDAbw*=Q%L(Gnk;y3j`X?BF zJU}1#mBCR$T}|VAkfAQ;J!q7ilfoTLzDu*4!q$@#Srg#p_oBk6r=*t(ES_lS zR`~oH;b^g359=D*^0yM_Y0%V`HO?nSC3zSJph=%@^J4m5EIseV^n)AIG^>6$bQNkQ zGn^kWoC{}FO*Pg{Dx`d7vUs?)u0m2YlV-aEZD7UVpe)cHM^w@yD0eqDJk?U?JrB{q z;_;1EqV22M>=v=4*5EPpmg;;)cLXSnB;6Ni(GhuT;XxH@)@?{A>Ja3uyiY-6mOkgw zrh|hOiKH45%(P}(d8N-_@CK@tfX zR>9z&k1t&%Rk-PyF;+_($nGcxx&Gyj%M%$BfT6| z3427P?!~a&i{X0K@cXftK z4uP$~uC8-h^%JU|yjwU_o#m;%Dv}$iT5uC<_vhkTDRA5+VF^mBeJ%y|8pL#Fe6C#` zY!y6-kws;p9%HBzr4yi3xPiS`md&_Sb>Y|OJ%O=1QiF9l#)OxHZpn4=P4c zD%7m;OjMwGTTC=LDeOfU($Q{_h(33teMzu5?CWS>7E5h_TgBf~J?5BJfP|pr#vsxX z@i68vZM`8{_%dexkkq-T+elpWW{RP?>>VqG4I9{(O+%M?hAPF-a*_+~eG7?fgT{}$ zNDL`r;ZM2v0tH1?t0M2KC1s(IfN>gEdRO5Dvcb)i0{YihWt=;({lTD9Hbu1d*t zOa~ytRBRGwc46MC#`%;E_msvNrKv3Daia95C>>8Ld>n)aEaKb+p3>QA&YkT!w-s|@ zb%jsmZcpWkG?gnnm4i9@-r`f)>8ae3rgDp?l3*La5{Sf}pC82Dt^i+1cDkXc~fZ5%WXFns)N-}U$?Bey&t6K#Z zvw&@OS?=88YZ}?Y24IKW7WFMA#lYMa^#D65TOMrmgbB8&kYL9HD}9j>ghg|6hm8)X#Voh{5{tkXY(*>+*}C~?e1v}y;DJQTQ9@B*i&!`aEZ zvxiksD-^ZiqK59Sm=8(S8DTTvYggW&{ec^yeufC1>e)X_Bv*_58jfc*KKlyq&=iVV zjj`Y4Vm|0yFDM;>Vdykj&nEI%Eo#}tl`!~hm>akfvj_E>m-PyPV$0sQpP;Y6?2{fh@y7grC*bLU(yWfrt@$ACFtqXNn)%t&j*y zQ4#ZY0_8;WO=w_hh`DRyPeE!0H18__oDcrs@$$Y0iH>GLSBvNW3=*BvD4v1i&R(@( z`>`q|o}rM{+1?_;VtpGX^*pwPI9I|>uec=})j<){+I3DXr0OuvNe&X#BOt2t=n#>- zoT^REKaM4wpsRf+=Z(f{gk2fXq}HzZ~-SZGH|+0;G6=KZbvq>O%cMXn%(k465H)e zLXk{93U=c5UA-WtDkNcRs3_Iq1ykb1x8ZrQ`lwcxz74^W3)sj$606U7S^9}chA~I9 z3d-r2#ctTDz@=(JXhPb)6*V>Lxvof0flsJ~Csg1GmGFk8wNI$p6Kd@VS$Kk?4iTX$ ziEg?lbciPuWyT-t6I$j89qS1_$=WyFC-krRuEy9uM$eNPHz#i zX%TaIRg{&s38&7IzpJ#F!B%nmSHRvHHJ!{rQS9X@ZWYB_p{T3ut0LK-bHd_mjMOSB zFT((JhyC$Xti?BBzOAmX?fi?y&YVg(TghGu(17?n|L_GE7rN2BU&>DTed;d?;~_ zSi2R@>vW$hlJ7Gy1;?_W7mE|RK^_aW!U0U;V$X?YcqXQ9@cF)qLT!25af2&VIEX4P zHn>C#Xw1gE)+#uD8`yXI;(3B53OID!?ZvYW(=_#{2#<}$SwrVp5PO~{tQgtdweREc1ncj;!&R}=BQzfmk2``So93AIMa>r zZ8i@V8(bpu!QxCt_zy-X6SU$?R)!+v2qP>NtSc}*BP7F^SG8i!dpLs&?-e}_7_aDd10Nn*;VLhcsXAx>kGtYTeqM>xQnJA zj0njN!Y?E$kXzs}-Zyy2#TUE-y*ncQp!>o(-}4R(IG7aoJxjeW!k)JZTR-r^^F**5 z_l0M|pf^@I6~}Vh*&V8P=AAmt>_OB%1}&#(@Kp6BU6@Jv-EPS%W0!7AV-!}Gxf46 zmQtO6sswJd94@6gd$7hvBV0;FX%Vp zDb;ZVDRj7$>VyO-b-0x3gagfBy29a7suK}TmBXb}Cn`uwhfAqWhKRLwxRmN-3a7Kf zrBo+NkZul_Qt^H!9qs9GDbnJjN_ENvt5z{Cr8?yS_9nwrj7zCbec_E& zF)pP#6@m7!GG4{FlVs?$Vx*n!NYRJ`aabs-$%QmRuWSR@?dQmWHTu&i*5OQ}wC!Q$Z7?)C=PJ+cDi92{_f5GzNF)pP# zodqk5$GDX0bP=pH9^+D~(^asFc#KP_&H;i|B6dvHoo)d((yDljOR3I*!s{20aVgd5 zF4(|$Y&9m5P7lGV<1sF!Iz0vBQYsrZYlO6ZETs}>*$R%ORN^cp!LgJ|oVk(BE{#j6 zB%EjnSW1m^DHU%cv+3tlIV%Fld7PDjuE<#>vBER-K+g4o*bX-|JF5kWINZ?e+#pC+ zf*YEh8wH6cxS`ouBS@aZ4b9G<1C@xP(BXz==O#gl9d2lLZWgi91UEE0w*<06X!%x% z^@*UQ1QToe73YR#=hgr>N99U)7Vgy>GMTcWIa4+?XUc}=OueBwz?ba82V>RO$PYT1 z^0J{hQ*USv@FlzO;KNcfM_o-B+0dLR8=5m^LvyCy&>Y}PcHv_ur{qt5gz~bXIa4+? zXX*{j0ls7x9=8hIyhwOv9Cye`ZfFkAVkuZjZfFjl&ji>>ZfFkAWyDUB8=Aux(a}(n z8=Aul7(v(y@FlzOrOyD#+Z1Uz0+a6~SF9s#wLPv_N7@m#lU%Wmv?m-%a>Y8*fpAum zE7p;YgyTuBSV#6FoR{Q^b)*wvJgjR0`TYr(Cb?oA=}fpH$rbBJ7s8cEu2@I960S;e z#X52T;g(6RSVy`MZkyzab>u+89g|$Kj&vv7Ims34NDso@l3cNl^d#If$rbBJFT#D3 zT(OSyCfqN{73)YJ!UL0Bv5xd5T%F{Kb>twz!;)OFjvP#QM3O7kkwXZNN^-?I(vR@y zBv-5>{Ry9#Y6_g7BgwSF9sP5nhtyigjcp z;iXBgSVxW~T$ALAbz~Ibl}WBxM~)$ULy{}jkz)zpl;nzalU%Wmj3&G;$rbC! z@r3V6a>Y6_hVVu!z!mGr2_>kH!H9iQ{}5+Q#W<}e&sIG)W4e{!x3{IFP;fwf+xj;bHlBCyhE#`fbcm; zD}N9$DI$C&3cR5}rIc)yXXW>=K8VXOw2A|r@(+epDJokfto%c9%7GM?t#S~@P)kX1 z*(wgjeo&MW!>%POe^{S>mXd<9RVGlp1lR$2w z`8-jhCCC9_lQdSW%W|^DimZa(Mb=)H%(*tR>Ax9aJoiO?uR4F z85%>e4+M6G#!%`*dmU`4Gc}sPZhS^^mPXxjKU-rc_k#!GCR(r<3Z&P;hhceLGfjz{ zt5KH7GXt1~r%Xlm=qx;yyy63pZC2n}Fx7d?I%MJ8mzafJ#(*?W^id-DLs+RU(&pgE z5LT-B8jWMsXdr|y7L=~^W#w3)xrn6juZJ@3^FR0EoE$Wt=6p`cn}e zgA{#Fcdile1-h|p0H}ERfLev8_Xt^WwU8v8kVUToEE{qr?(_x|8V2a5)mo!Ig1or8 zVlKjD`%_?R33I?ob|rijJ+hNe4FY^Mql=XP2uc<`SxpPtlN(c>tPxLO@j6DDJcr(` zWG%Ona|o|u6}6M4tiRW5i;Ox;PeDEaC?h)#{hEMesGI3u{maJ!T%@e$G3LOMs;U1R z^>0<3LDA1fD|j-Hx_cA|Hz5^8tVF;57T)qE9IzVJ z42DiebtHtg!C2$*aO7wJ4}s7GJ97s0t85}Wa~e-`Ij?C>AaaPym<7fuQ2EkT$*_95 zoVnmk1&5DhV2sa}*MKw&q;gFPoB;;j5FTwcUI)$saJu<9s$CPj)qH@}_0@-U!D_N^6(fIE~xUwc6R`_DSRJ=W>U;aUSDxQ`tA#)#HWy=` z*Q9Z~Yi`pC;9d=Xn@$06J%JejxYE{mHh}v9{8cNm0$Ppl0r3eC-*K(67zV5MR^!K9 z#y`>+9jwMXz<3E7`KaCSj%HZy(-GBsUEmtd{N>W7KK6L!T;k0Ial{ z+BalHyV77wK4QvP8samI(7PZMzzxwyQ*JE};cg3oq}^&Qj{$Gjge_sbYJWZxypElW z6PyjlTdyZ!y-SE$72Z3{nRtiUyxW}lvub-Dh8bR2tG(Bm!+4$9yyhInYtG!D$1AeQ zX~QKwUXh2LHeAf(6?w#I!|ivxA`dxjxMPi1Qv1;H*IbI_vQh(mVd!sPBOM@?F|F zC~fmD?emcE-lZkty-Q2PdzY4o_bx3F?_F9V-n+Cf1M%LaCE~qHOEK?V+AHBeg_TJf z!K^0%;9Xk!W!|Nw=P^l!)9bIG@9SOK8zAG#5BiMqdwG|3Yf9!Q9wWA|cWHSDnQQap zLnvS8yR=o{x{_14!7@ApwaE(i;zYv=-hjZFJ_K~WZ~Fj3_kCMF&NlDcGLhzeTRLan zw`F3?`?lhQdEa&hgmRu@46|s4gDcKh#N0JedNzp!xk~`+?3VQ!M{GRJV}nLrk?xWd*l|iiR+J8b=z&>{@Y5N@Msm7H9@`Y2vjT z9y@~tYF$2vz);&_K(d#jM05BOv3l?k^!RfjhW!tCBvT*-{2&WhRTs2l6?l+zHMp=j zk%w8YK18_}O`15>MMHULnIC%Gp+FG@>tfDPv z+$eP+daGzNPEjR zhgW9u@Jjo9#qmD7B4}Tvx?(7($HOaa_wdSkJiO9&53j7p!z*p~@X8nuue9C6D`Pyo z(smE8tjEJEZTIlXdOW<+b`P(t$HOaa_wY*nlHy{Cvm(yJEA1;3$IRI(&ciG1E7eF~ z>r`A`Q(U6P0o$T-czC644zG;!@JibpUKy7+2+iS@aUNcY(Sg&atUz2|1vH0O#(8+9 zZ4R%D%gcY}@X9z3ue8nKm2r9b?^?xKT16nv!z=CU6vyhGXiXeJ=(AL_Bdri?0lgL+ ze3m8s7TkSvjJkqnS|OIu0)v-WnLNDGUZHUKvz5qlIyXWC%;hPHPFFU2E0?DzI{FmF zT%Mxn=u;GPd5WT=Pf^U}DT+jSXOE{3rQxu)?gzelm zq)#Rs%H=7F&J4nlT%Mxn=u;GPd5WT=Pf^U}DTH z{5_1HrzScJWNw(tQxl!b2-|r)HPKnbZZVR_Qxlyfgrj*pHPKm0I3t%&KAjqxK_QTo zjge6bC5&^zPQyI(y4!VJtIq&O$91DGuA6*u=@S}tT>6AY9hW|#QO9+U#FfVr8Xf-$ zjn1ZG*iSx%DAoK>42k6*AB3rzXTZsyioO^eM%NC#c){+|Jsk6xdBhfo-f8UPi+FyO z`twYTBl>~Wun-2@Lumca1Q$T4WFfds(dS1&DAg}-mC6*WWU0%+QlX#I)+)KdRSn3k2>tk(5;Y?gYQ8GIMSm^@W;J4|So9;Zv2;ZntA9IGBDM-6Up zJd^w+l?t#2q`|B7{wZcaC!ny*R?(1$aH&CR^GjCbYfx3qM^G+^P<4ny50EF`2V}5UyDbFRe z*zjsP$9oSjMQj3XNrr!>m^{opW%Jc%nAI_LR>RR$$wUjcES$Uv&sTXWnE}5_X24Zx zR5TGPy=17T8hbQV^C=yYn0krYS~!${C>`t-ATO&BqxK|bY#-5V1su7@n%*c(A1?L` zP7{L%V7{UaUMvO&LZP4)^H58ozMaK6*d;Qjc#-BB7L)z3B&N9-nE)d?{STYO?5AZ| zpiDo{KvTN{+mQWhMCA@oWtUNzMU`FRpBh{sXV&csP!5x_a+^)E;Wreik4k28ey3-q ze$dTEF;hS2#@vV}v8hgi&fba>%p~@9cr2?^-AUAr#D2K~QPh%1^}~#+v*_IduGQ#4 z=IU4>F#hYs&;rlUSTXb+4gH-NttDY7G#%JD(Yu{H)nu2{>GBfNbM&UsfsE-s&v3aI ze%v#BpBU~^0@d^B!UG~X6}Z)CAY*#TQ*9}#aZG!4;$9NfE2!$QHf@zypCw*Q%~wS8 zGck1ty@=b(VS<0iS5&tFo~gLa?9D2LDqhUDR6-ACPvm?3>Be( z3gy^8*P8+{aX{<-_@&~IUn-vTOT{yPDRs#&rFf{QJ1ChHtanN6Q%-DlNgY$9ZJHE_ zOtMf|I_)&n5d~Akyuo5N{#N2rH6<7Ybv5Z4a=Q$vCS7H`MQ2s%-ZQ-m@y1`Go$v|= z1J>h+#Nay#;qoD@=(9iiAih0`|s0U|#O(lPr3 zq{QAMNat+1F|nk}?Uvn^)>M$Ac+c!%X8;KZ(pMi>5)ATQqhIz{Oph&`>g)v=Ic!Ie zVcDaYgpeR3^uZ-zF+D2#WO5?H8J&F_6BiZaM16)yhL|3k{WE>b6wahrAKsy52{KI| zU{X)S&diR{KukEZvrlB=vIUuIWxYczKR5|R6UT`ceK8K__)fgY@tt^)<2&&pXD=sS zWI{Kw0Y#*qL%OYQxKvGUYj%lyNWfAQ2y-BnHvYh9qsZgEZf$8<{hfMw? zO{LJmjnKU1we-$12|NY3l{}0_Mv595F--HoGrdE8q+(U2?VnIAYN`lTi4ad8E1X8B zrpok>LK`@oFZWq$Mj@H*E%z+dM^33HM5sb6Erd}1HT3cc@oF)=%Ks}0&c!zXFPu%& zny-fBKcC{hOzC+k?$^Mr?{i5s2EZ= zfvT$WAEhCU9g666@pTaHY2wbM6yUL5#>hi75~tTk8YBH!dK$aRGjf!0?-3*QnEgCN z&KSXVNGtyq8hK2_c6e%=wb8~9EgHb`f6JRT>zbKt%hJIPY0p@1^kMn)Bp*e&1(bC{ zbnh~d#*&k$RuD|7A$P3&5zM0kY0fh|wIad)Bx={Q6g&CU)_H23MC?&dt+U|oh#EJs zW9nIhdGAIO??wEo6n`FYY~El$k(@{~A4&>vrwCW3Ao~0oM)sjZ{s5Jw-F_ta8&pp2p+MLM z=+?tOK~2}gux;w$07l8LJQ<-)o=~ADRG&G|wrYglrqC;_6V2r9EH>`BM0@*$LYR>j zHm4K4Jt3ZBiZ|(py<$#5mV2mED5T%7&q42@eH^EwA8aK?kyRFaIq=6Iy3Lo<^REj^_-XtTcq zuA32OQAskQzbKvVDfRc1CbMmi@hRO-CCP{}qV#7^X^f}DX`8yz+3dOZE|nxBt`w!W zJ*6u>rCqGf*vsg-SICjvz5T#%X zlco`#lEawh_>_2Biq42RqI96AG{;l=oH4EUDGjI6ShoH3^2qHBPiehTDqYEpcqPOs zCjT&LNAp-u#Slk{S443=6m?(qn#BGR@PeP&HE$EVM@!&xSN6JCx)iuoI+3MWAhXPr z>G~L~%DPdt$X$b*RvQO4S!-QzxZBI zMQ2&(^uyN>%JzLD^G6+v&Nqtoq>31ZhPM~2yV2hM_2q~1eB z?$L*(*XuqL$h{&~VTCyCQb>Ho$Jl5#^BbDo7$^sv-528OXh^xQ5^n*KQw(c8%IH2I zIkNKIGe;iQCJe_%#c-N^J$E&nMkb0Ht!FnBa}R-A>a;~9R9o2(P-Hd`w}Ewn{k(cY zq(~=Jy1dir%xt1Z0!_-)-~wj%nLw>t>Ya&5+P6@?hf5LzJOI>OI? z<;vuE4f7l#`6H=tC(DU{g}7ABjS$mK;BGS3FgiIq+*ulW4sa2>WnLkyl3as!Xj@;S zF}bz(besXi+wekZEtYl9VU~4!#lCB=d%piLM37#QPbMYpeE&0rvhVZ#eHB?B_1_vt9)3;9U=BzBa@pV5kmf07K4Z^UqFPEoiX2CXUuok8QaTU=Z|6|)QKN~jq%e^`W!?n z#!o|qy0hNeF@72<)QfN^#!o|q`Vx-B_-Uxn0KyqDei|w?h_L%I`U=O z?ui;18cDtt+wVNU#}E$0niC#P*p4-s4fq7Yc=sXeTp%YegKqXnfa(E|t)FnIn&p`P z#orVn!N<`y@B|8N63w6(6KZ?{R`pCs_u$dFJ;|fD)$(&8KTy+yJrAt(X^?YrI>)Co z+i<$q)>)R$@#)-UI6Z3X@GLrb7VN1xKAoM0)3dft0Lc~|&2e>@{u0f>ZY5uG$iRyv zRB;);SOyteht`f|Y&yrMGe>jmo&zfD>v%A7;sqPTgE%}gI^sN=?JS!gol)O@ijHy% z{fpu_Y;&HLd(GYv@Lq_Fs z<6PWkK5rK#9nVRg()P4?wtMmPq{`Pmm8G7_*J&zWdn&WoNLwNC=q_-n zn&&)~RslEnmvu2&n^wunpOu6~BjKk!1UUqPSa9kwup#i+#QB*owEX zA~xCBKZQO3gXz3>_$m01`87|JbkawAO7mPLoyGG4CW{}X%1U26S9vNcO*{`Wi&u)< z`$;^_8S(o*rKdfm_l?rcOu+l1G+dPSFed#J#VvnN>AN)NzVn>RVY|sjUty*g4UuI! z6S9LkldXd9=nS7bn0u=uJfXg>kWO^pph@(5RN*&P(5P`?bDF2J)Kw{ZnTZAl{u$!` z(^R_E7te#9(yeLn-0H>Cfc|gxseIw7Y)(_z?5WIWwq>IAp{kzf@eigM>0s(pk$_QM6K-tE97_%r;r@E>#AIik_A&_f!U$c*^L@0Ey>5#G_3N z&?bgh1p}BNFNle*;%Yf=HFZsXK}_t1QqgoRMQ8iAH%4A?jTApkH(r!S6(@mP{28Ov z_*~+RsIBqUz)SC8CDOe_~WIN2U=b%{l1tZFK=!P0x zEO%fzq8IJ}Qb9g@*`DZ-OmnV*5uJlQ#Rxh>G0{^@G@(+-b~-!8`I%by8-xodWuiOQ znJ`8a4}qx8gfXJJ40!RU)I3oh7d${*lBx0S#3fraZsQ(V`+JhaG!Zz?lVWl(dBI;? zq8*QDwZChPzmoH7CDMg7B9Y!M_#MP0QjI@Pqh-8p3@;7647l7(!f}E^xmg#((F*1M z^D;g{{I<0L_0*l5cSe2ZKQjR@>sjrl~dj$1Y%CSX|*7R4(?eT)>uaw*Vuaw&p zgwrw1&x+Xouaw)9grmPwZu`GdZu`Gdj$MbmWz=6O$F9TFSIV*LF!hyk>^e++r5u|M z>D&MCSITYum2x>?=OTTX z#|9X8DB^q&=*{S;yHg|p)96Qn*j82+l|K$}n@ecE^F`oI^j9M8u7HNQ0_wOcpkc0n zI_?T+ge#zqy8;^K3aI0*fQGpO>bNVQVXlBW?h2@UZQ5~HK*L-Cb=(!uFjqhwcLh|w zJ?;D?pS-RLa|P6KS3tvD0d?FJ&@fj(9d`v(zDkV;JDZTB-NIY}b=(!uFjqhwcLh{F zQSAif3)B6=Tmg0570@tOKpl4lG|UxH$6W!Hk5@bH3TT)sppLr&8s-Y9-+N zF+DcS6;Q`r0o8f#u7JvCt(|%zc4n9>ppLr&8s-Y9lePltGO)Kl=Vm{8rj_7F4%Mr7y@vPuMdv{1A}5GpqatH5s4pT~X-U~u;T}Fy+=x@y^)p4w!HEP`^d0Yb0o zTe|x6B4B)Q0tKEIU|)wg@izZ9i+w1H;m`PW8sN#b%IB;ZQ-V+vhy)G-;?B!4$;9m& zQQSLow)8kgO53_Rm5?zP>V))k6LQ)p%et^R67nlfc|w;IuvD=1$uR6}#$KafTMnhT zJDYzn4r*$Lr&Cs7-J2+a?lS>~4#3Z)xWfE_w41yihYHSC%YLe=VwfvVcFLRT#zwk+C&ReIWh{POR@jZt`Vdt zx^f59uN9;?dIKK7+1CkD65W43JiFdzj+E*%uK2|xNpR4L%t2wbw9j#PpPDga?0Cz* z$f0>FV;bJkvF8h-wBHwtR3LgMbnFF!*wKwdE)gV@F>M+QTq-(|=&IJHG(wAIAg+zmc2}TYZx6vo#kS!GI}ME8sRjFE+ulUSgX=G#1A^T zRU&csyC7~3-60m;99k!cn?vgbadT*c=(ss_ryy<)-Q~}r zyZt$IkDEiMqxsqQi%wp&g4v~!Wb`xU&;vTVGEPOx9~8JyXPriht;oDpsP}epi2H@3 zmjSU$1qPJ`&j(AAbbd)ux(xTIGVd5O_r#D;E_#5V?lRo7&mhUZ!aeCM%XW_ysdono zQthv#rJ%3qhGkFmYDn)d*YPqS1PvGW?RoOLKT z)m5a`;G9pWdw|A<-~$TBz(_8Wl(&|grvSN6#^-{QL=LH^=n{IV{C=oIDp&P{cj#^4 z-2)KGjv_}vCHxJ&dy(nLmH6}bhgd?ISiuk~?+(;JS$e&i&!G^5RAc%V=2+n|DY{v294QB*hFS(VGj+C?jb?>`zT$fu0BeACu%O?=k zWuPT*1YSz_hPMd56m&ix#qvjgEw`E{&oDoEao zz$+r;4+w968~HYyNgfax^d8{WtjoK@L*50vl0MW6b$bi&i-hB$zJ#Bn?uFsL?*M*{ z@DHK3wDT9jot%6A2KXJu*C%o?ZJtY;4MS&A_e0W8b;iE|_)*etbn@Q>{4RYcj(p2_ z-=fTS;n9STrOcP%LkW+k58s4G0B&&N-*rX(3Il!|jeK;7UmI-E1?epeY{!Sqd4s&j z0Ph*#M+1z4liN|33hxKN;w^myZaj)6#4R7p+jdrA4Lqiy(n`d}<~2g|0@Jdr!mmK1 zeBn<3L~7#>O*uDZ;dzk6mF)(|Ku(ETB>-VvXDBQTq0}H}1-Jw~P=@ zFwjC3F7VHkP;-#PQYi|8$t>&*fC)zf3H?q){Nks!{>59e=meeO|Dq1f{wqz0g zMX?IWABK5+R~%S2=Plq6J{BdA&DjcYHqr&a%YQ<@g@?A(yp{MBw50Jpz$<#w2fkYc z|M$ zZZ$Y#&z@rDKm({XZ1gS%})qBsjqz;bY@dF`ZJ+bDrh|e*&R0 zNv~hl5*AK@XnX=5L^l%!Y&)-LGI0`y6CqTVQ}l0I^n2*aP`CyWHs!>ySIDa3p%rS` zRUkRRZRnS(He852z3z3S!wIe=VMh?{{1$Cv5eb|+s=Jt}PVfd0LM+c8VL`@MpBTbN%$7i8Fh#DxrT%uCnAz*%x@>Sm4qR!Q-m!fJh&bzuEet> z@K_CXHK#95&_=qe1~ZnG+H)LsQ&H80sdqguPQp_*Ku$#?ADQi}ghQDX9~d)$at$n%wf&P2^>g9_&5>j>uRt zmMP{>`XoKrhckK^h8LtHLi1K(HWMrk-3VHkbDUtQz^>@sMid8eEW>dqF}ueHAVnJ< z2!UQSWMxd9a)M>|CLD^&oU0ErCF>8H6h&6g58>;iz3)VQjdlU7`qC{cnvYyl2Qji( z^nKC~CLE9UBzy>~LLzz*W%?1$jXqC$f6C-VcM(36PUlBw5FWq`ERDWNcp&K&(FueP zBfSYue}SQfs+yAz?ZgmqLgu+@7$b~O;I+Acg?gG>Z!g+EN zppK$^zT5+-k%SB67C;?MM+@Z+K#igk#c~6njv-ubMH<1oEOpX!I%F}Gr;uSq&tN4U z%M{qrZA`(bG@5~PIVg0)cyyYm+vs%efo4oJZy>0uvqklWO+c1)h2LdVSi`-jTY=)p zCwi1)({zr_j7VHf`?G;tEpcIQ^wJ$@V^>Ui--?_lgr6a$?JS|4Qpx>!$`t&rLw zQk~J_k`*6V5hkWJkbEqPP7CGZ7#~a}3a)cGR^GVjXt6WYT_B8>G#m%vLhpQicfvMP#ZGiRr30w$sr z(kvUIS14n{MJHO$PBeJ4$^osM{b%rSyD?H+&aN{!MM|QaJzOv$=QEeHb2BjeH5abW zF3s-B^jkOtFdoXmuA*S1B+@vN%aK#%sK&9}%wVh}c0@sriWgkdy8!q7l~!hEcTmwC zYyl+OYhfh`xU=c0(4+*oVXqez=?T7-0jdKUhvcM;8Gcl4q~b-NfRwC1RG zpyvQY3fqYfi3Oks3K#b-L|>3n2q3+A*+?&wll0LcmhYnQr$hHN-)v;VCmtctm2E&CI)4 z6?mmcOE!QAfq1UUbPPMkS3*cm!WSdZT!?ywpXZ9Y#g%kLT{Pc|R%%LtR}lm)Z0&m3 zw5USz71fF^G%f0%n^x4tcv35z{<$o<5+8~JD@B316^Pt2Q?BK$wY|(*6{miBc~|)B zO9NkhX=oVOqUf4}YiW*Ers_%no-|IySStm%W!1Gsr=V$_wYn-*k(;Hm=OQIJ)ZDKI zTAD8{%24M?8IgGua6Bw=SbH*Rs+U1&x%BG#?tHDXbNcCz|LHQS?^1QHiwx;)Qs5|NX+rOv^ zc#90u-;nX0*y!Lvcz2vpq5p;%Q97)X30Tl0&>XE0O z$@-Wcu(C}L9`_eTj=xa-eH>Dlt9#YFRJ*T`6eUw#5Arm{?Vqdp1+0>~rTe-H3>|fr zWs$FEKw07$i`Q%=Ms#-Vq{vEr93-|ZRkr26BCqdvz}E?3YMWkZ8q_ZKhAF=868`HA z-jqk!lt%>l?-m&52VPTv1=fMc9*cx{~OIhyz#^TmkA z0x8#YU8+ji>`_Fk7~Fi5C=^;S67XLx4)xD@uHL3nCEsrx>rfBn)yK8^2p4rmzkBx`dW~ZNf8SR(q`f~ zN7z(x^d$9UVpvk(o2`|a+E|u~0u_mpj02sAu6SvESB1Y~rHw=WdW14HJqTu;9Ag@} zF-rkex~|D=ly%6PqBrriu%@ZXRprI%uk~y#%~F-ivQkqbi23Ru1zVVCFdItg=n84( zL#XqbU}i)@U=7{(jHq3z4%c0OQ&o0fcU4{mkqir)`uM+X?vdV={HnQ!sdWWDUF5Kt zdAQwB5z5GPL#RG!9cEg!^LLjpN?RNRlvM2 z`6CMXmmO1ju}4j@b2yYyFw;M0$@0%x%&ju~m0RUh6C=v0r8kc9lXJF`*flS ze`l2Inn9`13~%t|lJif4agn{_m(TdTRRHXHUFmm#&4g zCsX>YC~kqf)re05yxV*8B*3i<38qgEF=<1@znDy=H+fW_RBryu>XYiQSzl_;sysi^ z3kAJ~+ljdKti)7}Qh&s?dIfyE%RFxtjubh{XPdqZ=8V#7 zTIL?cZCcs>EbwX+dp&Hn(oIfxopnFoOlAIFpKT)B&9hCndj9&m7}o{2Rk4xzZcs`* z*V9|0blE;pGzec)(+#5hkMA-4@+ndrWIUHdfF3SHq~xVoJ6@#ukpm8jt!sft?&TShZ}iJdB|3|P1)i0sinCT%6hp#YRSrto>8&D; z;`VWCm%bT^QjeBWxt3OK_>9T)jmX|q-&lKUg66B6p>Hf^n=4FJC+Qr2A%tPl=Q`r} zmo3Q?HWwbxbM%n<9C$5yEYFMVs4-mBvV&A%LGs+(Iq z&EsJgt-HF_?i5(rd>LaZ8#4yE&B|uDKyys(`W-Vguld%lU*6+mmR-KD5Yz-RTo|*I~IBe^?{M->Ao|NF0^S{BcD( zU@gI~=8yl26&Vj~8$xbA#D4h5HiYJH6o7zr3GRWk{0{I(fY$kOE3y>f7KA$yZhXRu zR3dx>VHU!j2rCdqp0py2tp;H=!cP&BZ~mwgz<2qK{qMn#gBE#8zgC(q_i(+WF z_;;5MthH{)__u=3ylVS27U2;tLO53!gw+~&-g7!XI=Uf9dIuCj6MqGX_{-CQ7nZts zTQ{t7!-`rV{p8<39azjC{$asd{;)tTf2d*dhs=~eLpPzJ?<-_}@{j4~pNZGRXW}t- ztF)NB2uZNE{RC*kkUz|i6A_y9n9$@?ZQP$yGALaLh`C(4zHpgO{bF9sw z)YR|BAN-kmeXK^2CHilg8#YI1PE#g{^5%SF;@9&Bf8{!mT|@*UE^g9ma8v$MTz+Ra z{Mrpyx*>y^$kTvT?-YOfjNhjGjXhHjOg%Dqg=^2?wdHHm4c~;d<1uuG=Da&b(Y97R z#;!j!C%I9S?VW!N%@JzmR5WwYncQsOJ*KU`z zV@~R!j?p)Ar2T{ojgukxAK^C)uzf80Oz83C(& zzL7WYoRMwfA-n`v!1~aWv+* z+4FC9^;6FDPnO%yR*~rb(T9Mw4({pR%WPOAn|Wb27n<#ZW-}o9{M&{3-M6@c)>M0i zCgB(wOy*|d!Y5@`FuVnqvW6LU3}~s4stt+Lze-H`lvT~32`<~hyBjMAwbcv;oE~b1 z(R>G*AK+qK468(VSaSsJHE1L`m3#yj;$W~%z+ntHxlFgZ64S-!anUNnt+xp z+1^52FvVbrfWsK9)KmsHX{yoL0`w(Zjy2Wl)OZEKts*g$!5g@chiV0Z9k@c)6g$*N zd3n0cr3b7Yzn}%J=pK(~;)8DnOix>#3?r^A443+knu9&lbux@Nv&+D~?DCUS?BDC2 z-&!4!_(e>Fewwm|{01`T;P2r=#xdYjJUvG#@UaLCW?%$T8TTrvZ{ea340qvz>kPiY z6+)GmY&BRx_*>1g5J?6uUjk{iw~(qC@J=Az4GQ!Vfx!%nK*|jY3>SeR42-}Kugp4v z+6@=|WH<;HJYX;c7prdj1}g|^GebuM9EZ#2CavBiq-q8`pCxw~1Kz8odra9|MRqU) zBarfVDX6oa(GD@Z30K&fWbr+W6-2hX%pI`*4laKwQ~7#aq%quuOIgF;g33F%Pzf01 zi-Ut1lnOY6L1$b6B)2!hewxf+jDUj~jMY>I<8eW_a0G4;?brGoACEHY-7Qn zdp>Kv=CXI{KJ*RSr<`67>SP$rIvg|XI`!*h7|nm1VPA^~S(6^|7q5?H-0+cnV2WEu zhny1d`ZH#1szDop^kjPDm|-jH2m_iht<23GhhbtS;n`q>L%klvNTf%&7wf}~qzUUR zsyZ1)Gd(VESTXE<>hE({`y&MQQ9!=z{+%W0O|Mev-e;Wu4;5k^m7orCDv!MC@+*5J zK0um=i-sBU!H}>l7Zd3MKHKRGqq$Gt_SxZj>G}Q6dvPdhlyS_2zj0XhhK#T^jahk~mvbPTpGHW< zaHIiE=o`BJhg|aJ5)o^f)vAN^!M zymvJ(kNu*)EKMhSwcwT)aD#@6Y=&Rq@=b$NB(HLH#z)0R;PO4mG9J~LY5%V<9z(jH zz{T8R$Yl^;iTT-2gZ(@%6aj+>B=IKARI73Y!3v{K@PyQSDBGyTS%b&cU^7?g@v z(Bez9Di%p0_7<+FP$pZU)DUV6{`NvJnswA2!>-ftJ_Yuv`CpIOYklnRQcqO4Ff{@f zdM^fNm73WNJ`X#ql;G=srI9)r*$n!(^Pk6}_rljJZHjD)Yu$$knK z*$m&nh32#r8a1vK!*#fPt?UV)&%11fHTZI>k8R@i#gm@Sy$JZ!eQLjxnzCO<-7^AA zwt;=o?uC?|0H5r?jbWTf_i?WyurHf_t8_bG`aRpeEelOUR$kBhD{q$QYVu*4-Zq#clrIZ7LQKL3nSAL-v#B7a~U#_jat^5z7F zZS(oEuY|lQMs0>ybhR0EYIqD2*Kbdq(cDKOe!t<`iSbhTUyXTh`gk~MMJD}*L3?jT z92n`>*efw3QfC$z0e==oVI%r!W%Q@L*{P#L`xUnUUgi2vXdiWE*l%Z;EUq(){yP}P z34b~JlCpR9FRHswFYS911VR9Q`9nI+f$Zj6_n#*s?6O+e21L-}D z5lA=d^;AARBk3dU-Bg`5J7vMMPYZin<6CTGz3`8SwvNHp#8GD+czQ;1pGo{Jrs^2T zO!B;MsAyWV{gA82$Qlo{RMYR122}N{hO0>N{6c}*r=V4sfGDNrYaUYx0&VldNliqKrm zV8HFZ>CTX{L<=xj>Io29sTmAz@)#z+Nm-`_7~JIv5Za^}3^scVqiMoAlT#<-_iNIN zXSGudws=kv+NK!{c6baEmkH~1s!qoLX}US^KaoQw^ZosquYL1Yd%x5z^6%q#4SXMw z)!{=Oi)M_j*P`|{iv^pVm#fjSvRGu_jF6<4}pqyHOcFy1~Z$Lhfq7GuVQzt^2Q z)A_%X@hSSEIKIIH?-* z=yLut1>1ND+hTHP2tkGhX}wUu!3>IVg|O>)DijEp3u|Zvf+iYdP=$+YUz8%;MzhXD zuvCK#mI*k7!7ZA~V6CQ_vZwPsL89{vduuj>zAl+zwI&~nV2lR+21bEI0}LsG`pIB| zOJ;b1Ci}H!yKIIFge|_-XwXQS@Hf_Z?YqD-7c^~qzZYT0^8vB zc5%I$!46#MRcfCW{v!nTSq#3mAG9V-^E#V!`lP+le`kKBcVFJ_6_cImY_E9t!S(*so;#>NtN>wt1QE z8~9C3>4v?%iMcZUY;5DKJz)<)qPYIukWzYsZ@CN8M_)L?{kdx zbba|%7wBb*Nk+Pt9{X32Qx;P9d%kFSqi94-=(n1>0X5uTQvC8p%5T>foe%U&@Ey29 zT&UZ{2r4wlpdl{wDd(*q&{osiBj~I_23>K92m!u5=WiiEvxGF50lDeTjWOdm0_Y53 zU<8JEnUW7`r3egdjev3Z5<&S9X*k&S_twE#4cMF$k5(BV#^#Z#=(6(lSa zzSq)?Q)z|lP4d$VAthT!AsYciY7Ht6^208veUc!a_Y0!GiTe`b1C34J)3dlW^}LRi zQ_m}HS6M3#pHNEA>$~f$y}rb!)app1&j^e523#O9xE+_S^n^ydFAN5J!&Nhk^%)Sb zL|{0BN?e)f`MZ_N=O`MkAfZYV&+~}AK^Tb(FPIrSbAjHahRQDd)mNFMih){d8ZF}cT)7dJ=pR1=+n3(&<=0Nn@3r$s>+uwmVe-)F*Oez_C+$U^v`gKK%MrZzpue`ir18OiZTcUL z?<>FQ10U4Yj<>cRW%!7%ww%GqxK8= zcoRF=C>9=ub5w%!@Imlf30$MHgVDH?(H0-F3uXnJP{oD#W>+M~$zS^nKG;>72!8-E zJB+V7;rDX>2J7X9Ult%14C9-HptsJ(uT!rnjM%|tDlc3whl0yh(kXhrJBc+aKirta z@F~K&Fi_xBErPdpcqI;63O>7kp;O-LB1GA+$f-ZL8Gt4+JN)cQ+G$iAF1e9FWrG} zaRU3b3}5v$fljT$uRTX#|JLC?FB0h7CfxWX0$tjMN54*>YrF8AzY#c~efVAi&QaU) z5QMwDL2Bbnr|iiV_*8kYNe8Fwp;e?c&2Y-*-;9rh2dnJx1d7?g22rQ!si)y9=D~&` zr_(prA{#q*49@~Hc(4;*!f>(L&kkOpI@xx33zfoGy-910!p?p(?nlMgKV*j=`8!BX z^Z0Ij{juf!7Y8k;^@5!s;oowsuLdjp4j2it^~(GFjXxB??=!o|UHd(MtvFPzk;pa2 zntZB*-!&&u=9JGVzb>DY#k~oy{gT!O;+EU7&N-0q6cm+XeQ*=upA(cE@=sb@cmyrX zJBQo_-|%sPmz0ADPz2L2H{n&@V5$Iz;>+DCR5(QC$b&uZX7J+SbxJO@f>ScE6ThoKD^VG zlG7UUw|9uYZ_cLV^>bX{qa@_8%9JL_%2!|-uI$GYTw!t*u} zzH>2k_pGDVgY`)1vWwiMIEL4;M!!gS`g8p4vyyh&XOq5Pb7~!go(+U6Bf$&*f2Qnw6Bf0(c z%cS?Yoia@%pU^l*y)}=Pmwir|3&l>)?vz=0G3C{V{9SbvW%4>wrsq`tR`eld#!On6jBdrTCVs@< zH62NLqLB9AK7_ivE|6Hn|4G6XK4To^i@T8?UBFltqvv<5MlVz5pXmD?>(Vge zni3;-)a#6K=5lKFxQCLbzC!v#eJMHZ2>u?nl)pU|^Y@r{$h|Fx@TpPS8Hu6BvG%`+ zcIKg%Lry+K`0MtBTjK+`=-)mj<+-m2x1LLQ&j@nw?nlaq>qse;oH=R$DVOih-&;n| z{u}5_(fu}Jj7OCcEv(Y#B}eVx@8V(nt(MyR zOfRPQ=MALfUP<|0lA<-ENFUmr^k4<)tya_iHI?*a`Q?o9`mOw3IG6N!A5e0`HKd#= zCAEDuErg{v>olJ5s!f!ve+Ff;dy{h6iG)8ojW!2O;qQYRX=m3z`MVw`7bC6`!h>cK zUWf0pI@Za1_AO}E-m;K>UZ4mcDtZ1iUbS|t$V5^uzJ~DNW9h@qVM>-y z=I^7k_!~T%+yPIL`{engoFsMcUTJGLN{cvKT5JAslH)t{5-AnEx z_=FpJZy7Q7^ru$;ZsbmCN&5%Mn0wwQr1zdf$?Hl9PwYuP1ni8x;Yz?$Ms|R;X@#tpGwwYElsW3W5;#6jb;^W|i_{l`Abc^tqm1AZ z}GXbckpgkL;FMFK{bXW{(I_t%x#?y)DG74ooeE7AbzY~ zSpg?LRRu>w?;q;LdC*%B=z0ctmj-@19l^ptYs|Zy<$AqpT7gf@${9UI13q&!Cs${^|0sUXZgU&Ws@Df1xI{g{ZtPMuoTYJ@3OT?Q zs+|YBWmPdP8!DZb`~=x97nk6-Ym1@q_`sh}hBt@*m9AC)@E()~&-xVfIf_Lt9@#~G zVKNjQ36b#I|A1;_?kI>vr+$kQq*)Of9F4Q2ovLLM@Bx6?YTQWtiDsqad%?mQuX5?*tlICPyy@__0cML@~S!pW4zeN7}gmY@$cB%6}PKEN*bKs8zP-Ij|^F% zE>0ofuEm(Eh7M@=Zomq3J9WY|D|Fz>C78K&@6$bGIX&uafo{)C{`RWi47c~V=iz;y zmkxxrz6Cdda?mxOA(lbyW&u9p?fpSNrT|~ccE(=*Hh!nB!S|k>ndfzYhjY}z!C+si zF1i=kmFk-qSl6lJUI2EzdbkmS+tg8?AlRrbzZX$Hsy-MUutI-P;_zlA9zU+cw}X(}K^np9~D0wU5xKn2Byg2J~Zzwe*7-ps6-z3(~u>^(E*81B+i zU-%1M@VAA3;D48;qCogBy7E1`0s1kz{?_?)`Cq(=t8YKs^E*UYsNI7o%Wlx7`KfCq z{(rE|-;_^<*!=b_;DF89awwB*ZqU<^Z1YhKlqoi=rvXmeO#2am&e;4$$7Pm{q6fi? zY_{*ijEZeyZ6KA{ykrYzwaw&Szy+HHKV!@qn^i1Onr$Y(0ZNO_92%(A=2a<_eKxO5 z1*P96=3T@bu-O#_`w#)|>MHek?KVx&ExDw8jEiY}%ND zSd-}Dhp@KM7IA>JZW*L7mJ=lt2U-5KHX~Se_rN^F+C)$M5teTNq@%1YrvXtc4_XM( ztoJJ*#j@Pz0peJvXvHP4mL7(5jP>OPgid5_Sc70mEGuPsCt2lbu%)sV1R-WR%OeEJ z3|7xL;1sKWBb29EcjkkV#rkbKAe*(&2kvKCMc+fpWwAbol+Utl1+#$V&WBXU${EJ2 zi&*tvKq_U;O#<^g>%&}7%30$zuvM^Xc$iBSYt8GRRI^OvUd!4_4^|!PO{&5gSo^80 zy2xV912nSM6Ev}0EpTXNrRIRy!g6*8Tw%>#gqUqCD{T+$tk9n!U1NECgqU5dMU;kg zv)-eV=sJr<_pg_=rVq+K*7|rbkzl+5xXH?lZ4&M_4}uLVCgy4*^D5$7$I=W!*jqc*f#P$FLJDX(7Pb zZiH%FSG&oJFwkDR_>Vzxv)kALrMq4AMM$1@K0&Z~*|8~w@Uc_U`t-GXZ#QiIc2Sj3 z2H1V+35OuNujw8I+m(bu8ETi91HUl4t(4b>+qLY0GQw^i)ozFF9Q>g?Vz+h)9HQ)A zNQ4w^xA;CNv37xn0daP@^aLl^*-?>m%+7!3|@z)niZ{Y^XdGAM7`C4>M5?OxHrhAbZ0hy7hUw>0?Ovs*z)`F%Sx zRhf_MjvWML#4hCtMi{l*eGJl=U5GE3<93tjl26#RU4^@gy_FWbtGy>}+57D4X`La_ zJqpOO|6>J$W!rD3>Mhs)+I0lWv!9j%hXVUm^FcXhzm1ZxBKvF9ek!*2{{+fXdp4DU z=j~hR=9JqfQHE1t-%gcImHpI>pj6ve%!fmbeK@5hwf1us1M2MqDGhJ1uR93$OZE;f zkQ(hj3Iwy+UcU#F7W=VNfHr&2V~Baxo!Fz}j;t_Beo2 z?yyq?hbo6WYH(FM%wYj)9g6?JTZ9Rs$-&kL+hqsmU^p~8ycCLHR~$}J zlH2CMz6t4?!yPH44u@J=A>9sUI+A)Ex+tmYby!HX!VL!&Rh0b>rJ1k|I4C9qZaLhZ z42RneH$Q=G$l>EZ!Mx*8+Js=k4&nD8-E&y=IhYR|?wBC_5I<`|o`i7%w08+nW!%;*TaAZ?GaLci}8#BA@$bSnk6oQyjD`zGL-;~LtU#~s@@Bc?MucM2pI_6rG+ z_Ok!>g~L8JZ#kyq&R$4Qst0?Q7nEM?-E^(J*-o^P`LGkaA^EcPj*tS_-%(~9$S$Ph zDww_dP1r)%QFM4`u;)@_HPK#q5DR*h<*Bbexs3cU^~jIXfr~P{n2*0jk+aKf$4fJ^y!5YS}-v zLaJvEK0&Ysb}%i|Mz&!9%qI31)bD9#PhA6L3wt3QAy?QFw9eYt|J(+po!$Etl-Jm8 zIgmQpAAJqzV)w2?=pOchFA@4Wdz`vqH`q%~K-tIk-Ve$Ed-wZ*o9qQ0P~K**qZbi_ z>~i`kci19Y8h6`=t!`m7ABTOIuJeqwZ(?{*MD8^9^h+$k) z5IUA==>sK!Ddr*cF($qTQ4*PN=+`DOnHynCVPbmWaFV%9g-aUqr5B`h#_bo_GMP04 z@H@o>&^evO+i3SLVP2&v zl`>8`P|BD9TA$_2|0aP_$%G#SR58;JKzV_=j*8g6h8Zftc=b$5EgTw{9y4ZkiQy_> zYh*;!SG&yo6bVW*le7Zvt<3l?Ft0ExJ2BocbDs9kdyKjZ4iA_o^lKk7=aNAgVLEBq zJZ2_)KpJJL>7~LLGvE#7GiDZ@zT?aXbX}Y|1$Q91aCSvtyuF;QS0L@GfG@}YV>tM8DrlDq;Mn3%xY!4Ag6VDsb6jaN4&_X8 zMd&b2cnG9$&O4NUMsPHpnDr6PqXfWF&h7W%7saWddmGL1qGUamGv#eS9B1(cjF7+y zx&}DLX`sUH1n2uLa7g4VX9JQsh22o5aGckIlFC{AImS!loF-ca=g1Mn%;Y?wH0CsC z10CgOI4|n~*_=I70Xdw%W8s&}`JI|td7QVYiYnmjy8wrCoQCfZx`?xNJCwy7C8e*m zoL7UPtmCYmjS=cOk@So;a8^!%?ILFn3&AdNG-}uyIdfJ(*~ICfld_q!ntD(zoDjMl zt(*${F)#Zo9Qh!ijl=#3%&VLYG^KXVABB*vaduE<)y3(i_1VpNFr%6JB;>j`W*>{8mk&a%6ABUnEB6Dfu*V9Q!zJI5|b#1ANBzvTw0h`ozeUNJid=^Zw~ zALqlbl)ZrR{qyYpLD`P}5rJ5b62lE0uqy|zA zJK;;%YS})t4(r$&D!=O4;q=y~fjx%~&5LZeGf-Y)^F1(^M)p^<*Eg|m)IS-eAYlsn^G5M}yMOewWUq0k(G#C^y+_t3bKMcA5mZ&EBE} z46-*5PBO4xz@x zDE$=#>;UXXL0~>*e?uwIGq&%*&Mf z>|>HBnQ&t!9s}H&cd2gnU@95dJek{cl6o;k{&4VSo^Hd>+|S&mK9>)3{aa9cnGt$F z>Bn?ZN$$_ASP5nTbCAx!K&CAbwjkzr+9ZORN(+8iC^JTBKo}Ex3e1B{4sCnk%y*QS zMli3=g>-~@=>s?%Wwxxu2$9UQ96%H^F&Dw2nHu`FaZH9Sq!i}CbBKA8nQ(?Om1(1N zERFeW2_T(OY(bO^M&%D?CKE9T=@et4gX%Q1mrlep%tqQ=vKT$3x7p0XHIQ_gaE z7}<0{E2HuPK z6Vi2N;#Ww$%q{8@_A#li!o8oVD}*$_w9xIi$!w;b{}ywEcE8)q{Y#k3AajRG~fC2?L1g_O)$`3$3{aH8%4PIC6X4rMAQ zpNg?GPDdxCbj}(L95Oi19fdNJ6LB5PQ=GJEfYY3J=-!^;^iyS?#j&HZG@BDkk8cjA z@(tL|a=JNC=5ij|BUm2i;}$?ZXW;_47jPC){ZzyWrq?mW9EK9963(j4u$6KgC}};< zS-B5U$~ZS9kjgpPW1v)UDyY*@$vO2QlvSMEhfr2?UZ5#m;N;V>T*H~309!5RSOK7p zvtT`<)N{s@L22N0{sieFr-@pmmpE-NVM>jhtJF_u;>`FKaG7H z3(Q{5Fb9+yock|=(#P3G+h{-M=tIB&=lf*DyvdQ40B&*CQxbWb^Ia}rkn_RIh%&@s z=&ZiO`QaYmE+;z`e#0DBYO~$reDx)i_c^w7Zy#`G-iF^p4*NMsk2ve-kQ(7Epk4nl z$6W#D6OQ2`l%t%u$xx1Qj>LfZl=Ig~NY6M|2`)v&c58x&t|+$u!z zJ^0{+gN3a6!bChzOE6#J>f@rmcxKZ-YGiHqIf@3 zXDphxg>GsL?-(@)V|n*-F{L(CNHjvSskv!y#qz+}{A4;^onUewue?0b-uv zwH|?A7H{NtD6@GxsLIUY?WT?8EU$&ubuRD2*eiyx!$tHt-CuAm&BhY%1QHcvD;f zmwA;`F*Nhs-htG@lbb+kT;Z)=0b3jI!>fpSmG>U4)^^_J94N2xl39Qb-ix%s zckd-Q$IA0`ora&>w&YywDfGe8@XL z2zbQ%p04}|E*5N$c~uH1pYS41V}hf+#k9eX@pjPj{*-5?BYA>1^%^8+ekE-QUVK*` zByYYSy%62cpQVDk51&KTsW1N-tq?zc0X>cWeDex01Nd9>U<>5?--RuN-}ex3fUn8{ zC6wPr31k@m0lls~$d9C_Bb>jJ3cm>c{Mne&A-=I0?uYq*oPjNpA8*32QGCsFkfQmE zsPP-apLGe8Sbp;aq&U9Qdicfjh17>g;D3J~wqyL;Zjg@i^XN;N6a4j*;w18oZ-SY` z|A%@^$^0-+Knj0T85~aXcTR(p#=rh2DCztw493ggFQ>CVlYgEPrPF+uVo=WT2ZI1v z{5*2V=9dqGnaf}32TC5FL$^Pl??qk60=_&NP{e=fEl`U2@pROc@YhmmRLZ~Yg_)J} zL!6ze)vTJ3o$U zu50{IDq=eMFMbT@;=e=U5_{)YM-Q~Yer}i*EdoTPR@K5~<=0koYb&4PH9q5dE!k_O0hf%)kG}y-Y zf<=I*{8`DcP4K_o2FY3QX)mI<2tKDB#Z^!c4#`chiN0!Z7aXK+sfS=bRiB;$tu4Sy z5Kq6>N1#B<-riTRj1BM;?D`YGAy6kdQ-7ECq(LIg{dfG|OK8<+Gh_yC9twZ~`Em5&Sv{ zzbH%4lLckA;1wgr%M}FEX_zPYj@q*Mf~k~G6bg!#0Ez@9pMX*01!9S&%`kh!(*UdVpF5cPQo+fgLT{cEJUD03Y2#Rf+0x5 zg2Rge_XMkNAoP8~o)W+V!63bEcqrISYvQqB={iuJ2)^%wG%5(T1B?ljyTE)ZaQzq3 zGr>-JWX1*QA}}We;dB%@3m?*#crL=&jgVZ00rXJr6;7f=VxRE)T7a8S6bGBT@EmR1 zUc%@@PowhjP)N$D2h5M;|Oc4HWCk8qu{9qBJ zxh(}bh+G^Pv7>EX%{?wEuqnZn2c zC{GE0SHj`6@Kx$%o)IpfDmhE|DV@IA!r!Qgmm~D}3Jzz5uP%X(eu|N{NQakTox8KgV`+1{1D6*;har?R^ewIpj;7d`v=e_?5_v&s&ENC zK1Un$go(pBDX#N`bg^BXV00%{C8T`UUow1-qh*ItX4vE&% zadudwHUN%@UZ=ZxRP;4%qmd#Rz5k37y%h#!v?$98h!K681BeyXZwJJQ_!cnZMdllT z1W^{PrDLKC^g{o*=xi2Zo)AUSE4@U~KhxomBw9;doMcgaAebqlZFQiW6xq^YlPbEN z0!o_b%Pp{FioSG*?UZQjGUj<&w1TeS8PU!epk#?wQYR`~)J7{SM>KmMq_ZLcHN$g7 zHME5DL_>6M^F_t9Cl`oTQ@8M(XbRoULeWbsS+)G8ZIxx?R z@@l{=6MYc_Ww|Jyj;spNO{$10MY}$OtxEJJt&nOF@?0DtqQ&8W8qscQB-e`G9*19@ zD0wNMUbONgm<=Mn5TP%MUb+lrlW5I(I9wLp$O1HrX4L{(M9Bw0X%(G41nG*%ITBKv zXw`rHa?wgFLbr?F*aqb_5&sKB=@5B#KdOesfVkOlbuHusIaM&x>({I=( zUho~};wFxq2d2AN{t=iS;^cJzPjT`+P`t#`V*%dcojai1FIK6*^bxP4Ou$$C8oiJ7 z6R#7)<}dz{90J67n*f1gE^Yon;&Eya1&ihHKp7(T^o9EY@n|2UQ1Rtt*uupss_i4h z%V<|TB;G?Q$6@j0GhiMOzd~o@QSmRen02Ih`eH~?;&qgeM~mNgf?teSM*Xu`v56>g z;@5fr@!}tk0usa%zkza0+(jQ69TykVnR7ziLpLW;9QrjVN#gaCB_xYg)a6JK@Akv6 zC&j(~fK>4&>Jq1kzx@@qbaA~2QieFH2#_hhoPc1b#DUa9IxXJQ2RI|1zaPphaVx!~ z%ocBnfnTn;&Ln;yxK&~f-R zi>LU()*{ZKuQOZ4RTn|IB0l>MZ0%x|AKb5rB037H^`? zdXKoI5pZ36AsUokvGx`C-4MH!g4rkjEEZC~IE-qw0r4<(M{bJ0rlaSU_|0JW-4=(@ zavKy&>HE7Oaoi#>?}$IR1Lj?^7nRP#;x{SryeA%}jq|=ZdMj)X#H*LV_E4+2}+$A-Cz`;ZE@!tSXNi{tkUXn^mZM`KsKLhNSBz_I1 zk3>Wdv#*3tKgCb-3stTD5<9B#0wjhiPy!_z{sII^zM+CRSW=(^gh&!an8X3e$QT?# zC2e$Qgh`fBj&e{k_cb_#OGNbcBSP{LJ!6L?!_PoDEP3NG{EkSP@<2H%sdI-dT5{-5 zFk>Xot%iH7q&*T4C-J64H(t_1k5htV>O(jjlN`;4bX;c zG}w|QS!I9}$?wH*I4SX^V=z^6khak@$yY}qrArPSN9YVm`4d2<xmqQe{t?`( zCG2KM7bF{TF4@;en#>4RD{*=iP$&75?q$*KBx|VXy(sx^6mUth%?>deCHLrJ zHAyy7ZhBdwF+tfZ@uW(sMG`?3U#nz-Qk5%`B084ZBnrw=u1d;jOK+Fl{{YHslFig! z?2ue9fU;B4O~+@KWECCI-4b8=PNG*5Tn*`lps4+MoX{8S9O-YFW z1KpBrHGpzkQcI=mpyV}54~8T&9)WpB^1KzyyOM|?z_28SYOZ^d%Bk?XFL9!7+5?IA zHo!wk=U;GtBypzCPevpI>j94?M`;y2k!+ye;izO2ohxIKeQzMjQ^|BXZ=XqCpyXs+ zV)ch|LQ<0finFwq7Lkjzjy6D7Y4#M%Wv{eX4(2}T%!^QZNMD=-rKfZ*tqCt_;WS9z zQhosZ_De7S0;P}i_XBY7l@3zN%TMY`C%V5>){YSZq=mkKK&fvFY(Y}ir|=7w#?Wi4 z5a~N~XdIA^K1Iw>=@&9Y36o}c!*)=*nfj68()E7=BBbGzl^l}JrS8vRY20$a5$T^) zp&XU!XTcUJ6?s95k|xrfj+Xv?2g(>}*DXM-bSI_Ranb;4EX7MZi$O_{ZmEaugtU~h z`$Xw#DkhVpb2eat$3L6+{(TJtrAvE%fM166m1kgP zN-rJ+<&@Mx2+C>cqY8|0M#@(I_>+UX;kX5L_CACmeOLAoQo#DIBMivKhno+Ifx z%BAi}wJxyTmtLL;>4Efvw?KI)J>Z5YkEEk?Z%3r~4@}`XlCEk4JdqB@LOCk!8i&J} zv}_gNskG)xOz@fXr+m1NOM89>b3*#27T_%Vuog-enV7!+ah1J78^m6jkiJUZCmY)W zo12V7kDQZWl@W?NVbG>(_)z&R%JTEKz8SZ7W`_6!J*`1$YtC0D>4_l?|TL(y0vU?{1)v|AM;C?~oX+-E6nG5aZ zwK8w&f7Z#~r>1?q?7}dVmt?a6%YA0T<|BV? zI&8jj{v`zSlgIr7rN7*T3uS=(4eI#^%0uXFZjij<82p0eb0d6EbY5%Puk2zE$rppxdW+>VaqBk~`p6@OHoM+s!4{1DA7N}l)?AX@&k7q%FA z8TAfha`kmHX1Jm@BV12xXo;l$vAt@+b?G1#;e61Un}`MWu70{IzOOisZ{F z87h{0MS@u(e~-S_DwQ{Gh4Q?7lN+Qm`AIrg%H{K^_gf*4r9`k&{^D}DSIKAG1*Ka4 z>v6yZdB|ocYvfDmV})Azzm(9`$s-xq>g79!5wk&FbR6y%y=%kovUPc+M$hCpeNM^d5ECJ!Ei`&GG+7HzwH%Mg^;!DV)ME2g?ESBMr&#$fnBI!F zXv^ELa2)`}N3ml$BwvNw8pQNds3@QCSJczN9-#PqrY|&N6%#5b;}i?h!Hier&>~7uY?^}5#}t!%ARSj^9tZP;f}t!u zQ6Z!9KS^sVo>Z(j1u0eW0^OW6#fuxjOjk5gZknO+X@D|QF+t_P zDaFfFL7!HXQ6uDxBKvoYm!Qye+N+>E41`BcT}NSjbLMnNIHa{ zDxB#kc&7O6I2^_md#6G%a7yMk5b00(Lsyyfc1%)fYMtzkIwM@$}J<1e3Tw^y!a{?NkH*amVE>WP}=EVaLQo== zTecurl=79|05Qry`nP;M-D^a#l>QJhjOuJN>vh@~h<;qOTO)HciQDe7KdAbu&t(2Wd zlncteJ1|0xvid_P>y)u{Zq_Sjg+XdiS~QsFMdbul*O!zofv`0xQw}0ni;|%lwpH10 z1KU+)4<#TS%I#4I)~#$f3TCfzJ3VUsN(tpsx0E0K57LmblosY4|uzDXVEkjwn~`24z&)e+KYO`Ary@&Z@^}04}NtYC8F=3>-j!>XrcRfvO$!$ONfQ zQ&|?QI-Cq!h-%3-*bb;3s^Ax@a-_m9Otpx%go7%%4VdAot@JiGLRCr`*&)@fT0}Xl z>Z2VqQsqO-CQ5ai%ExHcRJuHIs&8h38Lyf_3o}8rn|cYyRK?U?KCYVi2QEmGDw1-I zWYwqba8FUa_CAI^soFp_P^#+jKcHl&hW0?pRJoUda!R$}1;A<5^IrkZs7B~u$WgIq zOFygnXf1}#RXI}5m#3;U0`gTYbT*z>MYC+m(Pu z)s@G9Ce_ZFpj=jc;sL*ARS&I@7S-%VNUbVa1*9vg?KHDC)l6!9UR6D&ExldUOL_S< z)te1qcBuO0h|;OrL3whQs?-+BZq)$oHa)79-(rH-RUcAO->cG|1M`Mz@dvQ=sm|_z z@}|mT3zWB1%~WOGR!yOsI;dJ^hBTyFN@vv_mG?R@@2b9}opxAdL&5H;`d5H*UlmPV z=?AJP9%4RJeey0Sk5t@^fDzT({-8Wot)zGQPgKrV5o}a-;x?pbs@0U@jH@m=V1g5> zNngO`tp4~HfQx$N=dih|)1N`wtFEJrWuN-UUVxiAH5p1z^_+!}ywpM`jP9-eklJ?p z)rW7x&qw_w9m2lqR(eGJ)XsAN{_5+WLm8lsr+#aodJXlSg4BMm!aZ1>eFc6YY5^S~ z2h>a6gfdiJ;R;Hax}yZrLG_-EkiykEsvjcMtJfgPA@$@_P##skoDGOn$M(V&rQSFK zhiJ9!DnN{Sbrm31-9*1HPOWnQ#H-!t(j}&Gy}!*>r_V;{JoR&QGUuyj(WNU;-=kFXoO*vbpin)P z#w$|qrG%hZeU8q?67?i%Xq2iCQ=M~O?Mbf_%G63P#4J}IWWiRYwm%JrYIXEZP%fw! z+d)~Q4y5h3R-JSd4t44olxEkfKdu0!K|OmIp)accJp|?@^$WE5H>&H@h|;9~&KtJN z>N*|Vo7M9#!PcVwf$HK`^(I=MSJd-)P`0Tby^CO1)qB?i+SS$y_}x&Ceh9xl^_np# z`_)ayQMaHLAtHpGY`_BT1wl@kora1n(wGJX24zb3_3!F)g3hY zJ@xB!LGG)Ue+cFS^|}<;9;##GAw5#t&@UQM2W$ZIu{xgvc%p7^h4QI-f)?g8^%`mo zjH`dlg5QL?`w=8(&2g&#Tr>#^L~+&pwHvm*nxSieeVRY%V!3II1z@^sKBsP)hh{qU zi99tk>2dPX^nMSDx8~zG476W^!W++nrezzzS96%E0zb{Oj{*J~-${rPq*?kd9D+5A z=@m?fX4ZdCfF`RLwouJh`s6)Kv-Lb24r*@qn!ul z3C&a?q(sd*%DR#?DHq|Ftf^iODMcgu9&l2lTLwz1X2$b?G)+heAYJq2Fqj#db(9Qc zYTjN1IHj@8h3&NF!GA}A#{LYXEX`}(7${rQOfN`sG>hol!n2xUdJU1Q`I$Nr1)6!3 zzMj+UrCqU5^JWvIBF)P(xEE_2AAwS$8K5k-RP&H>_Vb#r=$I(eSg6Zit_jKlvqG~Y z5w=Q=mJ;16ji3>fYE8!@P%dbi<^pOoLi+NkR zFHkmV(kQ#Qtnr{kuvz0xyJCxG5p77Vnv{HucSU3U6;hjKd>MhVqdnY5`zG^Xy01o@g2< zHyzddPAA@&X4`X!`BWnqhxANSoDXSSb7>F`6B-M>U3Jw)P;+Ikb|#gp`?RO}p>)$u zqXWfV+e|H44{cW(96YtNCLkXr1Zd3e>)# zhb>5(K#L(*yN{C65UsZolmpt0RGEfqf22JtOuK{nv*1(&8fF3DCYy`FxI6Op`yWJx`l| zuC|oE{w~lCQKf%Qdn*-wh1zu+AQfr9qzM*lrxrq4qMg0s#8e*7+=OKbWN(5*dBjlmvm2=!F2YbQ+rdbP*r zV)PqY76-xlw3}&zzo`v90_H7k@l(K{_N#?(AJV@02^{Wd^UgyW*3Ml6>7F)nGyLvr z%XWeJPZP-Bg3?>Jr3FeK9hZt9 zU!C9yY<{}0>4y93Ry@WC0lHO`#Rlqf>4im*uAbVU!MbuOLWk(u1n@hcyG8~7L7m|f zP{MV;Q*v@hSAPr4!#ZcWN=J0N=yge??(#NJqI7pD-HO)vuZ9$>oBACb;&krR9*);d zuEyvII<+VKj_HCZJvgqDQoHDcuKXP^6LoJjfRd#9{#!&z)`ionqEy|cA}G^z4nIJd zuDeLDOEPrVrocT@m*NZe)4E@0Lpq~#SP6$L-L_3oX6yRtYx*4B2FlBGb=&C^-#neY z83X0(b{D~+K$o-~wsX2SoB>6;8PR}Z-P={LmFV7o2xh4+=S2*AUN?o>Q{_4t{mcp- zi-EFI_d^Y!O1JO?pjwwjKe|SDm!9HU9gi+goz9!~o_gI@1t<+VUl+h7-Me?8Y}Bbg zgVdxeqGzQ=C+~vPs{3R%>iX+oyQM2uz;;`QKhS{% zt@~9BzagFY4JhyGICL5g>jr7Od%DlF0rz$3%i#V%H?JdK{-DkOnJ&-??&G>YjzMzKJA@*NtN!pDNPG3RR6Fg{zpTQP-1Lzp zaQD#TKiZ82t$+6i_<8A*jza0JzejH-_v;VJ;oz$uiv;-Tzp+Iye|;sb>i~TY9g2ba z2h*1t3XWr)7j1;GyJ`+6aT>ho#e4b#hlpbXa!QNa`5xeD$_ z^e#Wa7OC%Ug)&P2+GMy#>oYC@V)RE^VT;u_(ahrYKX!nUpqJA1Jf^o$vp7-TMqjlg z>F>V;DOrE15X=-kkEVN4zxhQd)AY-H;gGIp(S^*=H@YHbrheXQkWT5lzktIT{YlEU zv-EW%@XOYx1_5&Px+74Y)mNMZ zOY}eZVc1gr$B}^Z`cPje%k<}d1f@dHrd_d8kN-Y77PLMk4^XXd>xAus{(rj=tX6-O z@`5^j7p2SfdcnV-T+$ychOJRw-v(uqK6wYE%latFVw?4EeFOJaz19v_;);Hdesr6D zU^bLj_3sY?+VwkV!|l)))8sq#(`hg7((~vYd$)e*I2?NP->pN;UVY9^NH_HB-$v*@ z{c(DV`}OxJeI3wmod(-2y_u5y+xq8e^g;bRSHO_|TQMki^fD^hhV^<0DEIWwQ=)rc zAFu)gJ<>6LNUj^l3y@GD|6McXP%u&4ybpf90w^G00nSRdKrA_#k98}hpNH-hAyf_ zeGCRRM)xz+c*4)$kVr{JfMJ|Q2sF&0YaL|ZQq2`&Fwq`zz%Z5%WvJn#8>DbU1%1yD zVTdq8I%G(sy790fp4w4jl*Ga> z!7xs}gky&GzW~P#pU;HygrRj9Qj#H?%A{n&oe4Ok7~H8;KWT8JE?lZ%8XcJFhCgpW znPGTL*=VL=WhDGg8GfY){j{N*hRrgB)5(=>_`(s8V;I{EN}eHCk0|+uug9S*Ff4r? z%yWiIG?zj{0hQ{-hKvZd0Qk5Dm(4KYP@J>1W$_x>g0TqT4YAIA28n0l2RR-;E zfNH~9QeH5uGXiQ2%a;S{3~Rnb=z2rOc0hyS69*_S8XkX)(2a)C51?!^_;ms<8#?F( zLbKs_T3{`P5gPW2;lSIlwHbowJg243~yclbQzb8EiKV@fnD6%W#4c-P?v~+dvsKETT75cMRt}!Mtl2rBCUG z4cDl=x@Xu(oBw^owRT7k4S&#@e`JW64{5|;>44v3gTra~jTzn>gYv22?VA|knPCAH zj1z|E7lGnzETpu;#TZU&ey{N^#dI@1rcA)y=qCqw76}AfF{b(pFjrqUBy~-F)d0n;9 zK*vk1@kkn=&iKL{Fzb!&Ww13E`!~YjqA}_OVqP--6bEIaam^Vpn~Zj}4_-FTqyBY^ z@$|3oYc>8zweJ<9>M1B~MgbMQSB)ogFu``?5=t(w8Q*;awhm)jB>Xy!HX)F@jR$Ej z=rMXc09-c)hJ)E_-1I)+hVcbExc3?PAA{L%ETY*cO1-**iZ@O6l$;afp4@_Uv(92-@nYPkH z;&1xl0F(iy#ZwS7(4-m#Gsslv2W7BHSr6p_lT#s>p{61eq%hOmQoun|mkTK2rr}o+ zEW-2!eN=JCbfOc|VbcMBz!6h9rA<+$P}+>6O{d=l#F)%fhsT;?-9d>nCZc`rJ43n z=8@|}IJ+~dE*QjXfG!;=E(q%eFA5?am9@2K$V`}LFTsN)z1Ik|0p`(b>XL@Y}%6?O5 zAx0Q5osL1Uo2L8UK)PkBnv5v7O>6#va?mub6w;7MwHt89^!{6ja?kV?o$vQe%iIy= zfhm<1*h7=}>_Om^0|QxSBg@0_?@5#Vc{`xTUa=Au$W2{6kFAO)IdxMQ9{<^z+U3^v=)W))(- zdlXR)m>qPmg_=L3w`^hNH6p-4^K|N}95NSP2lKFbrUc9*=C!mUkD4t-h!Saz$OJ^0 zr|gF^+B`1@%oy{{^^juC(-$L3yxC9l!h0C2`! z&;n(a*;0d<<(P+*h;r8KFcr33^A~h)^URN~VS@SQrL?6NnB8B8@|-zf5tM~yHl;>I z=J#j~mYUViW4!a`McV;o=DoB>mYZiqgHmB0qDfSmn?HrJ%Dnv%+^fy*voPKTv$Y(Q zT632Mes$(4hXM8GMEW$b!CXe?(M9vUsenu7&h=n6nlpcfUz0iS3}Rk3zeat`7V{@U zNUi4SR0>@&ODXYeGdKN)C|Aul7!2EPHc>Wn%`Bsxufsf>(!x&jo=x!UHm{`NT_ryXN!n1D=>$ zKZkA9{5d_$V`kkPD4&^~Xeo}Hf1?6!!u$fA9WIt->9Dz4Hc&mb*V0LEG2AS9e*xSr zvuA*cMi5- z3qyUd0~RCAEYuSFFQhQb9S_*TEq~94LxiPbJwhL{ES-)YeZ(S2hwZ54Z9Qy}mJR)| zMO!>lAjMeRD4~nBDCk6ww;WyrDZz4>iuz-g${Z+9Sib)N?unKW>LDdrXDmUqY_cq`ehD~hxl1QruBBun z2FkOf+{3W>mf3Nz6Gv+%aUq1;kyfK*|5L?=n5W#(=$FIZmR0b7mb4=bS7vfvz)^%ld|2-aYEnch%c zw2V>e*J!zF0j0^pP6y?(Wm^oO#q!-P*jg>Vjc~YP`CW%j#nYcHLq+2lrmfo3BE7!}8ZpVD?*nxd_{UWidU|H!b`2AlPk- zlLgYCWe$xuWGSWc|E{HWKVaCxIS06B+50E_9#{_8BG^OA%hm9EWVud@=&|LqgP=UI zWYEzwYAK<*>ZxTTbse5r+$gOdw**DO-Ps!I0H%xe1}qE@`G)sMbB^0OYIx4{0^m3^QDT9-&LUXXR05)f=XPLJUM z>ua0947Gkvn{k*m;S!kP)*qBmMp%#8gL26FlMKou*7_d6QES&vh#6@;N)LLpby5u| zG1iULLyEOdX$B?UT0l+o1nWiW!5_0aQIqn7_3{lU6RlS2Pb692r6fPa`YN4VC#}o1 zu%%k})ngLr)>V|&XIK}~s>`${q{4REnnwG?8SBd~fGn%j2euq*39aO_*6tW6bFBfi zZ1SzXi3nX_r#+WJ0KM77pu<$yZtawB5aTg&!=(qJ9_6`?O$4^qSClGTSUL8Fyb18B1D zpl?7fTbWq+HCs<}0WH>-=;&#+K1Un%73&!rK$}%hmGD*Tx{Z+9t*=%=>aZ$P0iD(! zS}0xC^0n~mwthwp(;jOE7tHI{{oi2NUTaY^q#M@vcEF*}>a!h`e(U#E_zhT>2Egy8 zl}}03E$dYqINY{!Y3CcX#wWpV$a>ltlwoV;SFqi)F4zOy0ERBL-W_1Hl2aw`5A4&F|? zssFOy>8HtX@NxQ%3(41M7IkC%oGv>;@^|W`VHXh933p0+4h|7c{^?or(0AU#5pA`0VUpP@Bh*C-2qh{&D-wo#YEGMiKZBh z;dX8>7&X>tG`5&TjZveqrfBRXRyqnukt#)yCdEcC0xF<@Ac_SWpi-=;6g!~bGx^@% z`^Oz-=9$?&=j@rCnLXw$0~c?b=nk^a_RC)(OtAet6v#wd3pHMnY`af~FxghU8P~Vp z_QZ9}<$!H=FoXwfXHpq)$o7XI=nmUv(+DZHVfAp9YWpW;fN8e9Zh;)JO&APFp6$jR zAo;e_sHs+9yM8suQQHYr92~R#fKt@sw%4dVTxffoLs(?Hg7)`f+fV$#ov_{F1n#75 zd=$Kt*k(+Fd8zHnofx*v)|o!CJ!P981yXKXN4rd=t;1Fz&)7DN0e9B+V+%-??YgzF zJ7?R!Cv@j+dwc-l1zS!V-zD1tBjD_^ZBPxkYqoCm+-q#N(M_(keYFvGb++f|1of7! zi6(#BwkI_d@7RX-fx%tdzV;yZY(1#qcHg%DPLO8XV}HW@fo=W+ID2Rt{RM=NY`>vO zxW!h|1uw0(myAYa+`p`G%z?Yje@bC8A!=p3bI=^C7*1=Q1VmA*x3p__Cf?OfZXS7_tg zA$>}%IS*+Rtz=K>XJY{Il796Hke$-r7hvZtWj}-Sk@^~d^p&pa2Z*0k=>Y?O=^84% zf~2#@02wTudKZvTX&t@O5z>X!Q{5x|#1*E~L^EJ|863}ml#R$u6%rSC5R7b9&N z2rgDS;xCXmsc$X};-#Sy47*QyJ`BPH>FhxeCQ1huK$s*QNUQvS^yLlc4oY3TFo{Fb zpQw&IEL}JS!W3zX43kKe9#4iYP1+s+k}f?!#a5Pd4TacjX*2oEk)E6fk|%AZpmS8J zqb=*0)I#yBRGL=_WVy7HUc3rv{0|VGmIhL_TPc0;4unrK_!skutiQ^#k(x@_!Myb;U*fmMpslL4>O{KHs+tOdD8*@)uMtS6Y z={vVTnx(dWFnAzcl?lj0>B?3>9!YmoY1tz6q~fPlI>!yVc4=~NT;F5q(OlR)kshPF z^i;b01-R$ZvTZPUA#L6Z@={t+4}&i0b4rvPWM|ay=_vb?BD9k%_8<(LWq})D?jpN5 z2EI z?vNLT!N5cQXbeX8lwWLtPjC5LdM$k9?|uf(SMELxc7F2Z0tUO}GwC(-m)pJ%gCKeN z7zl&q1O5UsL|#gTQK4kTRu4sD7N@-eh`?2)HkfiP13D zITv1H<=yDbiI*F?!C;^K48`39`QLQUlI7HQYJ5pmyF3S6S0N2&>x2R`)Nj^sn?y6i$8*Qz;je)C^5294_x;&WnxEu08 z2|(VIDjFVA`fNP|2r2F@Dg>ph@rlJ}qz@s>P?8aB7(zfptej{F4Ge|P1#=;-^N z{0O~A_vNwz*fq;Dzl7Zbd0`!p59N*Y&yyd?KcN0#i+n2Wu&r_xy#a0VHI!es%WqS1 z@>o8GYV9ZT{$23-T>dldYA@u!P(}1oKFA*i9rDj8Z|#(iqI=yX&)EarEBP$y7QU8` zw*zrd%$W?Kqhibj5GRGFI}Dr^Qz_kYQM_^laaDW~g}H23yvPB>Q&CEh)=RN{9Y)`& zc!#dfTQO=rkUoljlyUkh=BvQ@DVk_S?oynk#pkd1no_XG7^ZOB1W34IN;61=Vo?>y9z_K0je8aI>C292#h&YM z9iwIgvgD<)9K<$$7u_Qr#Xt#p}(6i+FnA6BG{ z1ec;XH2@P#Rm8r8L7E~d5Xf}J>7gJQifx|)nW-3f2*@l2qjV%&@q-hPIf@rl-{vY- z<$yb)XrsrGrwE|nn6F5A7o4foRVhB`34?Qr?S9amR}7~U+Y5?Iv_dW_KH3cAWySDF2(Ks>mV;bX^rpA}nqoRd zq8i1lQ*d3YusH+sIz?m;aMu+x&x71hjH1`#refN9ka|Vr=kVE}*nA9jjf!vSe7Z@I zc@Nwz#WiZX-c~H5Hq#x&M@bOgRg9t%i2Uqa}h9QYiQa8$bH!p=z<(*>QgvV~GO7v=E~=v(I!Zv?l^Ti++m)GH zVZKADqvznEOwxdODzh^{yp(lRLhV#uGJ*3}o}&GbLtuTmHYJLSIPPvnUPJ&Wg1xZv|!vRTB z4*VHpzj9JO$N}Xgif#v$M<~fZtXw-5GfPq8KkSD$K-ob#N`|u6FBl}av>#qSCxCV0eMZi zjM^IwN)4^0M&)#BQ#2`m`5p$hl(pXia$A{5UH3c6F4{uwDi>3Bcu)D;D3JTgf%H-~ zE8FO)JWyVv1^rMtmcz>fbOm&6O7(jn?aJdMe5@Rn1@c50NgLQx zWdQX6pDEv;4QJ1l*8iFT%H^W~d8r)R4q=C~c_&gx#w0-(eT3+D%nKm?~sGgnLwue}juu4JLyqRdqTH_Nwk~ zgf3cjqkTg|s9w6zeFX^l& zLsjz$TxY8O+6eP3RXU~FxvD4h+2Rq^A9TWzr|Nqf2KlN>T0ja^cPn6bRCT03gvV6Z zJAgc{ilHr}Q1y0ia7C)abi7uqns^n$6RNkUQ*%<4ZGp2A)!yzPr79bW?B%L{)DNjp zrO_%lquPER!gH$5APCQ^W*361R@rsH{F2I@*2HDi`?M)uQPt6VdsTI}4R+U5-Tn*B zs^yf>)vDT*(ABAS(zbhDwUsuF8!9cu!JDd(o*?zAY4lVYRPt^xXjDC*6tziph1UEn zRmBW&w^h5&!2FJ?k#haJs)Ds3_f&S9p}Viz+=WRrtA-VTJW!o&19_XS7X zp#>KWXRWGpkuYdeO`w9YT{WDxm&dAGlxICr6;sjlRMkQm*)!D&YAZii9i&3#g=(S{ zYT0md-b*dInn%$+EM(?|WdNlp}07rE{I=pmJAE(0BS?#+HUR=~~H$&*E zK1BD-P5tF<5O=k2IE35P>$73ELtVQP#6!KFsya{g_f|l>)Mw^`>{KUEHR!E2Qybey zeUmKjyHMyaEzzqD69mGb0hbtv84 z81PqOIHsa z1};PW=R%N7b$99oWvRd03X-iZ90l_nbts*7=BkhXm$;~>(LKvkM^A(>UmZh}FHrCL z738Rzr(>XF>akQK99O4(1D}OzuMP}Uq}D}(6stSv4L_lNN+-l8)%WQxm8fqz!@N{o zOC5qTH6I7=lzJJZjOFTzufbKQ^8=wftu9#!gG%*}88EL>8|a-rr%qk~$a(c3N;NO2 zGpX9WsQ&W}j9#rC`wk$N)IElPyR81`9CTOImv+GXs`^8JkZbDQ)Ss_Wd(ruHt-1$w z0qWF$kA$=9>MTk?Zm0))0diB_)&xks`YT^JYf_I*0lA|d|1Xet)!i=va!(z&0>b<1 z3p-)gtll#Lx(DjLlmR|eU#H^kk$O3;mlpNXRS>qS42s< zj&>i-gwV;Z4}}tEyFSZ7T_RE8OR}3lA^m_|FWM;&+qF_fn`XCy zs@6=qY^tYo?D95)gj_=Fk<|t+I#kgx&fEI4iZAOu?hvE|?xwrCs+Z2&?QS z(%W&tZX0DRwRVFx!Jy9W&N-0lb`r|Z>g@*4hCzef3xtkyIvo`;IZA8d%-=iySNOHr*?n*2;p

anJxGV0atKJL-3v-)yX=xEdcU%Ji*i#(&5r}&#YJP(KT=ov&sAwY>Z_11aMS(j=LH+^tEVA~aNUct1#(W<@iE;Tne!K=x>+Y=J?f z=FlRTM`;F617xpeLM}+O<|4fav6>JHR&koM$$-Rbc5MZ>PxE6FNRp;~G=v8ge|pE;UZiZ8jE{glNX(TwxPJo7ZmX@kz!e0u_r0?pd(usf>pISB5UruR+gj%!rZ zAS%=(QGd5cvy^J|Voe@J=n_rYI*?LLS0YH6W(BRYQ<~wlkCkh}E$~vIaiEVQPHQ$r z!md)YZx%*BquEE#@2qALCHYmFL399gPBS_d96L1G%H&?_e%>H6}_??`cY?Lw8@}Mh~D_U0Mj@rQLKE20OL& z6uo`5B~M`IryWNHz%K1jDwh4VQdgJ4?s4|WOK@%KTJwZV^|JE;A50?1+Q zm$U<=Xm8ztE>+u504`nI_Y>Gb37VfHY`hc0kvt?Y#|? zZ_>tJ2Dzmjql4XTt;!AtceG7z;O=TyQe)ztwnr1VM_TFk(6wmG>|xNVy+(n$O}mk@ zwRUYQ?KzLNkLWo((T@HWkf+-0zJNT_IdNFGLAv3TRR-(A)4+x4meECr>!v;e7oi(Y z%WaR&fzItCbs?|7Md@bkgtNW6WcoZITDO!=X<~G_6p#{hPbp7M)D;hfL6WW;^%awK zIY(f(UzZSufez?g%OO0d>o*6GL%Lg(d>z*5uK<#vs|W{|sY4Eo)uU^paxPmJI1joU z-M&1S=jyzbAV+ldbas}fyGglCzD`c#73!Lo16ibd84F#p?!78_IiU-pCf-S1R1<_H zx?@zDlAs@Z;$AECZ^Q1Qt{;8NSgrez zGNeno6YIcT*7?xhaYg6!0j6|S_XXuI*L2})!PV%NR)DM34WwMSUia+?a1A=uTQG0b zCDU%-q_aH--7Vd-1dMlEH#ZWHJG#*{-MhMtui@pMZZjq1k96~Cw{OukoCTy+H=I(c zHr-Xq+1qtvlAwF6yZ--W;K*{*M-YuKTGD;Yu${it6+y!ErY z!HbW+i~_E&zM9sApFWt@#4i0t`iR3{&!{aDpr7vo^FaNRJ#ZGPpGrQ%^bOS54cAB0 z+Ktf9qWiK(|0Zoqk@|CsL8A0(n#5kckN_F4pZ6QcKE3i9%oFsD=vUYz>f;9inWT@{ zj)9W(KT~UGzkc*YkOO+(!!S?P|3Z~Unm&t)m~{PfA9%^oXH%mmQ-3NR!Yq9kwfnO5 zF`vU{jy|>|K0`Cq7VBN!d89MTyX9B zx%9-I=qu^HeX7@&VAyARRdNmmY*_9M zgAl{YEr9Gcw9}^qp@whhoFvS!mBL}Tp*08w5r$cmF7GiMrrQ%~czGMTXv5u3=wb{H zkHJf|M-2m`Fy3*)LrMe-4ZZ1J7a0OZKv!(&GY;k_4Z$T4o-)Kz-db+RqYAUa@atCS zDh;J{2y(_yN(KK}Lq#8ORfhbY(48~%p9gZmuy8fVMZ=3 zbXN^h3Od&eQ^Vk;#-OBR@4BJ3FSr|q5ULSw8m3W$w!!dr0)&l*5b9mrGTf&o*KLE8 z8g+LJDYQcF8fs30+&BFC4#s<6I6}yWhOoZ@d1UzNUkF8K%h0|LcCQS-O#t$>;US$~IU3XHTWKfb*OaO_8|&z` za5457456#B*IO`fH}0h-!gk{@S`0gk6IX(G8aM9-(#yE&C+Kz>UAMrew=pIHUVMy| zQ^D;rW>SyZ-*|NqxB%nrryzmG%aq0h8INDVl!A>P%!hf1@zi=CcN+trfeSUBT?0s% zaRS+e8y!O+j4=N48HU|sbiD^*q_LiQNKwYyl={UO%L3sn-q=QON1|~O-Gcqbz;uv9 zMkiX4sYYoc%rlHPufSQh@k44>9WgQqba}?eqcG1mDs90P7@yLI-ban{P;keL$j zwoe;!~rGz6-9_xGfRnhOyUV7&I8KP<`8I{DUgYCSxuYKevoyo`Ab; zw4sQ3$2i~*kXGYCD!tl_r|BH1-8e4_++*Xid<^u&XnzU9r^X2nz&$g@QIhf8*kcxi zFO2D(;9eU4?1HY#m|_CwV7j{tBRHDeXrZ{6uD%VSt7#=Y6*p5a>Pxwsrj^2cyD8;& z2zQvK?19k3bbwmio~HG8;LOYPy%b({nhFnqc$-{kwfdM|ZG+C&^luD=ex|1jAlzlz zng_c;(@Q#F4l;Sgg9|pzp9wC+l+XppZd0G#7$MX&mlDq~(-1nLiZFe%6WktCDV@DU znih0}-ConpPT0kol3M|ZGkv-NB;IuB3z+XS_00h!!SoHSmqgQO%Dt0J&sJed$)l3qn@(&~O~pOnEX{O_T1n}qo6&$|n40NQGEE(Hm$FPN zU16SW`e-nOIi?hP0J)~HXc**~J_&$9v1x7`bSF$651~70>PaiI#B?bekW!OJEWDJN zd_Ms4lu17v!g5pY*Fc^&eM#4M)>K8iXqCx8x!XBY7$s)sO=YwgE|^AB?R3%fnHM0{ zrpqocxMV780=Z)P^kYD-nr;Z_u9>8iO4pj6QC8Le+DscLbhVqx=+-?ph0%Na%;cW|^4xTU`uZG<{nO zXC0>HR9beL9H@HfG8GO6d1bmvna69>!7re5Fn_-RBRHBT(Z=p%Zhjj&XY(D}l3mOi z4TP@dFH+&m%{*BHox6Gd3XmPy?=^dQ01|C} zZw^R|`O#`jDb^hR8Y9G+f1%hDZ=OKM3H!{OuR)k#uKg2}NHp8h0dtbM=X=m4n~M>x zYz~;C>52}TKcTL}A+wCW1vqRTz7x6>^G6vVsb&WUkTmm=OK_HH{=f#1Ec4v4Alc@b z)QZnBhxh`LYp$SZdc-_^8Jy*rdlrGqHz!a_ufY78K5{r})>Bb&%)DeOxZ~!gLij8+ z$K1lD6q#qzD|*trh+e!hv#JH8!n|%23{IPCsG(SC{^JB(pE0LUv*WCJ3gwDb=1uf& zo-?OX{eIs3&0&xW=I)dPUo>x^f0=RFT;~mgYvy&ddk9@fwKnl4-(iln$=W?H<_(;@OQ_&f-;@E=3cZdHJgncATQ1R zw9s{!KTHJIY4%tK(q*oBfl0hFr_(0^ug#kVfH+vfgW=QJ;{GX!i=`tELRX6)6?bly zU@CXqE!sigJS?9s0_SOIr0vYxviT5%ewGXSAq=oQ8V|cbi=h2I$P(8DXTg@Sp8*+S zNu|iQ+akXUU8to$B@$tlpC$qlZrRlnT!iIl26R!DA&Y>Fv8<+f###$`KH^S7>93THu97YZK1);AlW3$>=JfQ+!V{{}Bn)^jP~VytnV z(8XHcPk=Dl+IJa*`>oOkusdKar%vKQYdsa&hpdGLO!u%g<6m$o*0(1>mujt|rI=>@ zgEEM8>)hQi&#<1Nu$F0^@(Dy}y|%dOv0N2$V^RSDf`tCHThO6vm3P0v^t)B|$X z+Dx6jDr@)E;4WALPhg-+)^}Dzc-2}=J5a54hXukL)|@G@yJ^k+4Z3=(*AEajSgXbY z(qzrP0pTs{HVTfnt$}Ysc*lBSJAB@?PAAILCb>t7tmXVy)0j`!Re z{TH0Qur^XadTA}D7HNm|Tq|^)*75(fFsuol(7m#zy^FcLww|SQ(!u^AJ$^^~^|aYI z*^frTYvXL6`wuu5`yF(%-0i)neY4&E@P3%@u;=tXc-XryfSsqk-!tgE?EBDx(@y(| z3nBEjKTSc^$NnTW>U`}djl(4T>^mob+hsr08#;gcA(XcU*f(5+F3>*pGjKun+y0AZ z_J^tY5MtlI4dd;$-%e*Cq4q22i|a7^Jt}bF_Fql|B*MOwLeL)jCzR#wwLkL_xM+LJ zdmu6P!!Lov+V7&RF3vuia_D&b$(vxe&)$z}*aZ7pXV@j$f94KhlKq@&%q7|W&~0%0 z?T=Eyalk&4ga_@jjzW0IeitpiRQuaE;X2LUo%XnN`^{?s$*{MlW4UbmH2UJ~h`nI6G9b4lQoiB9awwh$GW-3|1hL5!!Gqa=T%bc(-B{>$ zv)MY3IF`B*x_GvqBF8=!NB1p(rKJOz$flP9lF8Q3ftM`y`DAd}%yk5iIc#1LxLmd^ z0tQFe-zz}!*b_?1^V!1=m=`c-TIokw-3pi=W5cM|cbr`r2D?Hw>M^UP3fqB?p}NG8&z}vX6Yu^U#F^JoN$0}9PXxr34=)CB*Zj&Z5C>6m1wu!WavXL}!p;agXYuwXjP5Iz(5m(mMjCII*gFjn zf6-0}Lx31X4=zyXDa{EI|4QK{SbXgYyAaVpbJ;BhZUZt@Y^((&Oq^^02^S7jCqxJr z>MiaON9p-RiUnVSM2QSNd}fP|Zh+*7K3hO?#Wq@XN5nkZ&hx|zYSNa9M*0}KOsvs@ zJ0;$x6;duHQE00W%ag&K7L)0UDn&DWM|Vc_bH?a(V)-EGu8Tz4IBtlESs*t>7OkFo z(TCPcvsm~Igb##_g8V~KKuOjk@$xr#X%Wjq!L^Dbso>hh!ecOaEH2X9?IiIig%?jr z*jPXUBr7S6i;ygB02eP=br#$q$*cz;*^=`{_W#34|99k=u`%>1+?BE=MQ~TfCQ)&A znr)|iypk>W0=i2q>c0*t`{!S{yTbmVRR1cwLA5~*>%0i$UA9pN;XU>z!))%eJ`~3u zvJuna>?Qkm2)GVbvJeK&{Ezhzy6`ts;LMdz@_^2b#}z}ko%0X~{rJr7KJ;96Bz&gvRw|v2@NnAxia7fi!g6jy`F{mp_yoF29ze~|OI%M)>B~HpdQMmQ zig>tg;L~WHjl9YNWD_4Z0pu=MQj&g;H)dd-ZG2`jkT3Zl%2zvh8&$HMd^L42y7<8z zFmM&GX)bOe=?@^?MPnvL@Dy{Y`tTB~C{+v)gXAEAVmw`6kZ`B$V7J&vyKbnMKz)K} z;Wh-i7;%)gk64lT1-LjdwGX@`hyqH|6UDk9kR;JV3X&|oqv(?+43sLSi$rRQWr}-L zHx!6pzJ~6oNVPy$C_eTCS0qLbfwOXve;%V(h$I^CjF?DS(^;X3f!!5hq|V$`(S!Qk z*TfuJ{57H%rLDE%#0enl#4mY(To*svz}XEU)q^|~V`$HRBtEQyL5ryB0J2?3y1+da zro|vnME*69r(!QfgJ;5yQd(qH6k0k&H;Rj$qMDwHqvT-{oHD`j9@7N;<*}m&~SoHB!9E&5@HSCQo zAU9ZXG=w+Vm*-$t&w8B&vVrxWqk|?krU1HIZ1$TF-eqrUz&&Fl=-;9~X9Fn#eZfAU zt>PtfbcN2DOQ;ZV;p$wFo%{xsb>4greJJO{moG;)Oc_@H`P7b|s+kUxpPmhc6>9Y=|zxM5e-&rq5;CGI*9Wcv3z7PD0xgPR=Hil z-&;xzu-baC41aGciUP)grKCaR$c9kNbYf0a2RgI2=;+6VE%_FlEAveR=f))7FmPwp zUqZN@1=Hi$!F=?v^I-bF0P$plXt(rYE%hKfS^GBVyjc_#NIuNd8T0dH^||2um|r3w zyV!}(0P$xjw6X)(y;`^qVvYU4Wip2^V35Uz&;+yDkbDRW*tLf6 zf$L;0lkgDeIeamTHgC&3>Y!TVD5JG!kMTRGqzk`;2MG8d@|<$np??)%0^i)e4*9Sp zQ{ioR8^x3npS+LXkuB5{9rZcQV08U7{C*oT9X`j{)AJd-bw6ysdxzQ$!AOwoG(^<2gItpO^~ix zPmStz@~x0Ku(zpfb7DVdz}AJ8wt(|y|InuE!;)8H2w$cegE@sVlL?S8W~RC;oS8ZS ziD!K&>h5Fza6l56eJ~7CSyVc7X)JjaxO5iwJ-7_!IvmJj?1w|(j&G z?m7E`R`Cnw@-Kw$yy2Jsdj;d@My_kw06*^R;kO_=*%V5Az1i{Cu=HV8+DUxbIC}v7 z*pqpH>|)jQHK#xOmX4VNST%K8g4jJc#XUUM2atWNsy_@8ST%K^lGvUdfMl>2bngq; z;hE4KWzsRw9cP;sz^O#8Wo+9gt_Nf#Smpc54cVGY?$};==FJ*5k?-bz)dIuB0S& zJ3mAFW&poLl};d^_z=Qi{x2Ql?d4%hK%%+X2D%u&%O2y!a;XztE#K1*x>TO?U!BR@ z--2B_zflfk2Jc!1NEW}L1y{sfs=*cWr3rwX;9-dnp5)%IAS~tPBj7IZ&&n{fi~R36 zK&pAzXmFQ!e_F(s`7#>l3Xh^q@fsidDU)WO3p0n*9m4S=qT8>mP5itqm$2Cun+!n%W4K`YBq z@G=l5Q9T#LSzMw$+(o?q2kcyhyB&sg6W6Z7z+Eh+d%a!EzXjb6v7R1>hp3(ap{FoV zv%*VwB>=fo80qlXTLe+l&qu7Gt<+E0P!Sa%`uqsA>OCb_M~u+$E-`l zG#N%O72~yll!?uBnWuyY)t}`ep3WI7#7qI*X|d*A2rI=i0lPDz*BEeT#Y}2WR*5=q z*qsxbD0M$CicewK3t|}6iWkL#$ADCeYil69gyIXr%itaGYAUA{vh47|O(gLd&2S-EKAWQ=xY!q|o`kF+>8F;xR zHY5XbM`Y}SU9%WQHOzKN(}7;whO+he?5wO^4tmNYeNNAR&^N3fM(U#8~KJ zB#wK5jFZ$17XRD%U-k!TXcg@^zrXw= zloPsb1%Bes>mivWLLiyEigti0i#~(r9~M$n|M382i1Wi~k6*AQ33FbUegRgC&QQ6( zB)$)js}f&AvO47y{$ArmXKZU%(iz#hE3+YA|3g1`*f5eFzF|*1+fH zg84N6R)74x)h`Xd+s>Mxbzsi)#gij@w=ZN)>?S<|XVy;-vo*v9vXwP32x0fBpRkwl$sp0}z;);rnF`&nR5Kn}3CDd-(!d!s-OvFqcZJIn^r z^`x+=v?Ham>uW&L*x2zP>Fj5EuQFIDb-XiKU#hUP*tKAA*=$h~NDk9bMt_8r&|NHI z6TX44m^o6JU&8c7AXV&wAILd2j1JPzv)lB{ud$6iL28(m&O~aN^+UM6#Ui&rc$>{W z2H_nxh`z9DVWm$%TG;@47222!)$Hxet_22<*)qz#yVwbw#M-=KD>;O(+4IiG56-BE@5$f!uX5yP=@Xls+>16eZ|*k~20navF9<_?^HtQ z%%8V`c=B6Rll$`De}*oQ+g-rqLio$&AmRK=`~PO8qI2j(?%s-#llYNGAnClH9Skyf ze<@sN^8L*qS$s3qZG~JynS2p%NW%!l{LQyvUdg4mVRwcn!~uDhFZKuI3iscDfv)l` z8$KfLP(oKE4v&LDxp+Z^_?S+AZi`CVlwOK%`(V%^T9ZLKMe;EixJkaF&X>F7A3EOHE?G&(K7JA@)!n-! zH*bUZOT2eL7$B+i2Nx*m*NhQ@Br()o3YO$k$r~$KWDnhb$&JGhrc2&?4U#9>NkOn! zvgI-cs*v1xiGeOis?^{vN_IHGu3GZlyFgx&L{b~%vZUrEywpp)e*xDZnHdQ4M#=#@=3&_H1I}ETm`&Yx541q^qR!{XD9vuImAxILU)+0run2WA0nyjzCCnlY%&d+!G`aG&m-*4 ztpB@&jdZ=nAzEO+i$8^te!PDj1I_*5GJt=dUx2^Y{YJMmnEgS^Foe~3{5Kyj>QjWW zc*+RE*x;jphqF&JRqmo&y>!TGyV{yf^Cj~@HE^18%C&POQqn>F#UHRXW8!`;!>*E zxK5Ds?A|~)d%(6<0r`+EcmT*FmQ8Jq7WPeF2wR!^06?Cy>9iQ0u`dK5o$RY0F;Ew~ zLfOeHRzM#JICK9VfVl9eZ0KD1h}AH6B`@aoj3g15r!c;!-Dy-@iQ*8HN5ME$|seZV~dQcdzW{kF}8_a(uAUD}iCvf%5*A+8sVB6?D zXl5f%K=^P6Nmb_Hr?F9qbn>g}RsrJ$+xk`zerq{2878?&3Z_0pibhuEVea zy!dxO0(sPG2!nVel{De}O$uufJT?Sg_V8=;l|dwT`5op_+)jsq_VOikEE>&wQ}r3c z8()IN@}+cO635$5!#tj!@`L$4o=pF?A%SbDE0D-t`T&{CZKxH0fcs8|@C<+C0`5NV z6$HCxo@xbo$omk|M}$$s#$R;ZhcHlVTMvU=kyZlX5iy+FUjbPZm??;iC;nJExEPUerkUs{sU5Xt zFMdaVyBN0L&O8a=q@U=pW%2-9{5>U?R@cIEnVGpHN&~d7IvoRojli!|FdM zJgrHi`@1%bIs@wt`~h1hW~HjwnQf-Fiwhe)1^`#~g7PId)-Hw4oxP;(&W|122yPb( zqj=)a)^7k8z<$brK_L5-qEir?S%R^G*;wj>p-E0PT_~I20^uI^##%s7b3}tAupfOO zOk@xC!C4Y(UJsJS;tyeI>Jo%;^er zN7y#%PUNwP-C>u{CQ`62V12xRJj#@B19FUAJqF!z_B#ccLKaJFrii&r1EiQ;pi1ck zyWtGTNtXTrxDs~34n9kn9VO3Y>>qmDPqDp}!<4hvKR{Q(p3oL^nr-V1u9C59fS}<^ zCF5CEQwU)ds~iO3Iray2v(B^CI_O@q>Gv^E2MZ4bq>Cl}3Y{x&c7f21TVBEEc0T1F z2z_~dB7}Zi^ak0*1F1{o&u#j_E`Z;T1tgF+(;^Duo9L|#=7BviUI>5xQ|PcOQh6B4 zH}?V;#y_49E}Xl+3uh60!`E=OhgWZgFp|%;2Z`c-lR)U;?&CFOKqm6ylRzf%zV|RtGH<0|n87{P0FucMQcd=>AB7o-vD`oSNDXMoBW5#(AD$PGhxuc*HG=($nW-sT@#PZfx!cQ zf>y{wo=!LN5%;ESyM>RX-Kdpk*#gqW`70Q-^UtYNc+3xn1Nnp(SfG2#Z9jqT8IPp^ z_MGpdxxC;LDcro|S=7nz;2{)7I{CyyuS4>J^moEkUBL{Lb48!y<)_7 z&_xRcZ3HnQHww;T1rFP~;Q(|%Iw0}l#GfGhM9Kj`62!-6VUQ?d;&2Q0i;jyxW{O=K z!DWe))Xc~hf1HOwjyO;6bgq~(58M%iT)|ukPXzsAKLKWPVuh|{vKIOeV^~w zroiunZE3(vTC9R(^4`_|x6`aX2i}$Kpq1{%@@aQ)XC2pI;LE;@1PNtq28NDdOWp*D zWid~IjAM7`X2!F{t}r;n!l|!$n4PDPk-}0tFm^7xxgO>xn1tTllWgolKuVZmFgT=2 z=K(2Wsh7c>Vw1Z;SI&xqK`Ph@3eTrm{(ZQvWWF5S8Fu3_oSkLoCV^D3sX-8)V>wE2 zHSF)<;A+`zdR%o(Ls9xVyI&1*gUxOLxydZlV5nyiX8>tn{?{RFV`mCr*UtVN4V^R3 z{T4zOzJp#OH=bgE(2svhM>O~d;SxB1{-*;-0H6N}CLhSd<=}$&ajJ!a`FKBYQG5zL ziM`y8j)$W8U(|Px;l1Agx1WEo7NZ~H^;6+9h4-RQcGLK_PCzpFHhMp^crdkubNDnW z1di|uiiP<+;TPB)<-ggPeOTM03R5p>Lbv&3@R+OtJ@DM*gkKOZwI6icX4QY>6!K~9R=kr0-Ork-$p zUR<4qfvQC%#mUQJ%LYK|#ZT|U*?rLz1+H0~>w>cfqWg0|T14qmn74|hUqaU=%4>k^ z5C@(B(kWgC0n#P374Z2=*iiT6wQw(o&Qr3N0`g8t@M}PPB;F&z`ALq`_Tw+vaSg&f zl3P^$L`fPb*^8FE_dD!jB^Fz7@e+4>3lk(B-QYS&@@f`@`z3R3f;%W_l7cIc?0t^8 z9FvThLl-Uibso%%C4=i=S0c$82*^1}D&-sJC7$$F)kt!RKx!oiC|1--exj#xTe5(* ziaU~vl&#&B^f!UDNp^hea4Sfd&FPY zOnNGNY~Bk1GSX(T9FQoR&+=iu*JfWcxM-V~lzGP3jHe04+BB>K7iTkRKJ4OcQhb2i zXJc-HvqGEhR0$N>jHbX^Z1e62_&jYhh6?dYn;`o5?2OGyU)Y_sad`vIs%-xJ9Kv%p zdDCEU-X?TBbQf&iq6m1==2Qzvwaoz;%rDtI{tVn@o6o77zhcwa9R^ozRChtH*;Kp0 zOTA6)B0%oh*c^uNzKu@=xMrIVkAQn%GlllKhc;{I9P^RQpuP~c+Qi%fX|s9U4xdkK zdOQPpW%HXe#(QmJwn)1Dmp1p;WW#3bJSq&fUHlgo4lJ`DpdL&~4KGi&o7x>-EKOj* zAa=SGkYMI^2qc7kbQH$Xtm7b%G0b^3kg@D7Di7n>C^|#n}JjaqLHlJr-(SK&=0{fv6<`-Fl1Gs9IT@JfTYys5;ml+z{xY=xB z0=TP8&gmmM;K%1e=*TC%265u~-Y{_H_iUis$-jz((3{85_4)8S$1!>c_pAiB zo7eh*3*{eEXbfxy;UPamm&-Nt;Oq$RHUL~6zfNUPKHAUV3iyjZ zV0V!RT?eV=AJRX7xy0k>pyVcB5(c|^-bFh@123S6^nkxf-(NlCS4PA95$9K7_nh00 zf$jzWa3`kpl8>M_y@Su)4${d_eGf<%FR6#_6~BKO!q>b{6*vbm>nyxDiVh7RPNF{@ zgE@;R3ZO0`XcIVB5lkNxxruT!4BW-9zk_TS0d%i-h>4EiJjBA^;nP!0pm)?u45Uq9 zrSj}LAF2KuC7EU+x7#lPJkmKwX4O`CSwEI`E zMrw1OW_@X=zQojd&|PNV?!X9F*qjUS(!ic?fUc44e+{mQtsV^BEjDlygtu8bB{_Fk z6}2O<%r}F($A&J$%tbQ_eVRL8I2zn`UcDdO4nC49HV=L_ z2uM$Uu>p1=d@41icJl%{*a_vHlqZDo0Tg+|`Mak8iQvzuHIl@WKLD4^JE-{F&j$?w zcYyyh5(WqPj)fq(+-^ODN4SyBjPiKQ-|%vhAEF3R!rOm?u$1>)22#e~^v1BK_*YaS zl=DqJAgtg))Ehj_U#Ow08^Kz2;vzz`#M=qnFcB+@yTXLwrxG&r__WUDZnr zDFYWI9vy~3uyBrmFhoo(huv<`dliJCVmYn1a50wJAyL9G2V}2krk68Xd~^;Fd>k1J zgLvWn2b`q}Cx7VD#I=#&(uIuyx(u=LG`LK$ArQJOQCa~mTSVkQmm}f_f#ixW5;VWWDIJ{gH z!<-?!CfsNjuMvfmwbu&u1wiV=A1dgs3ug-SH^e;3U~Yaewx2o5iegYAg$I z5p9ywwJ#u_krW8|FE{5yGV?eczWthW4py@wJb|1Y5e3N{cZ#jQ)lzVqn@M}{yw*=) z`};=v;AQ?XdS@0WDY!0Va@Z~!cN>_+t#1Ld^(U%#w^dZbzXOY;i0H_A(?Pj2OJ4@C zFFQd6t{-cq!Ts6V(*L`{A3Wjl5UUIYs(_uS2C9Np&_cY(e5i!1VHqbtuCv?6AiTr! zy?|_C#Y@1oG7m~|+L&)&aP2H&6v$)NGX@4v*qfVye99K6F=QtjNgKZxkD_CooqR|k zbl$vF4rB=55CY+DZmfl~P=0e1bYc8!YAi?aFBU);%`c~di{pH-u=FJ?@zR~gWa8-ote|moH>ii6wjUNf18Vcr}=lG zTj&CR0|n59{&w2%7x}M&#cN;fU-xDd*ZB8tN6l;f7oUlHxBD-k-|7zk9`g>`8 z-sQiP;^=Pw7}DEg{yMHAJnnz%F%(buH=!T=MgJw7vzPp{zd-S_f4`T}-M9QpZot{w z{%!t>rr+^j(}J4+_Ppl27`e?A{Iud(Ofj2B&NpEQW#Iy*>B<$C*{$Krt-?CLZgxW~Sc z!F%`Glc-nUXHQy!D)-|9u6W-A_6ZN7%7gZ<<8krt_C{yp;zRbntD>=o?SV&eZ>fFB zI25nh?;nQZbvv{V8vBR+&Mhe3vp;$RHQ%>4>qXNa*x4U(@k=|rGg|q|KC=zQ*Z7V( zieKz;)PW8N{)rfQU~qN=&W;K;FwX1f;5!7|F~R%O=)|$XYkov=dTg&;Y0TpW=bTLMyF}v%^Ccb?zfVA6$f* zCx-Ss9!;MVdYbm%$)O{u4xAEthrIl+p>?CUcWP+QZE^3k&^4>$-sz!P9Q2u?-m$21 zR_Gs8n$8LBaulju8~XVG6xW41ZpOXqLmgC_ZwP(;F)rR1db=Kt-4v>$oVqzQi70hT z=!O|+?AFjv@8jZaq2Ew}yFE0Wt=tjX>QG#~GqlPHD4q$OvMVmW8hVe^@pkC+mr%SD zx{bhjH}uPcDBcS_dMqx!9~yr?iVs46|0CY;Vd$5?;OwK&X_N8z$Dt-V?mr3rcp=U{ z4Nd$P-u~~38IL9L~EwM zZ5)n!U-%sA9ANng#{;dwFHjt8z0CEtW31=r;oh;wO%Ffy4?DbX5bap{db|b()#vAT)fe`?nXRt6Qaa$ zcC&Tn)@bY&>m;(NTdn#!Tzt?vcxSx!?^e79#Y5Hx+v9^T3-&p(Xf-2uyyS#_9 z@2uEToPBTAJ%pxzunwg{_M^29!yJCHEhPduka1h z%XO3Qj$T~6*>}fZP~76XhUj{~Z=eCi1HKdJw|mexgEHhP-{&6az8xwYXFa$ho;==~v_2}HU_CY7 zuX^xo^Z0FX|M_M=+0l#5Yp;mn<>n(ULbX?$cU>E=c)i)jp}x_)+EX9}Qo}lbq(QX7 zHwk^dP5bIN-+mpcQPDZ~=?R(IXQSm^FQ)vSeI31We>jJF+FnnS%`E&Wx*4n(q} zYjECV$aCJa?x$S;$~yc9Ts+A44pph+ef#c;;!NMywWxA|uVYsfm-~Ed`Uc<5$Ku`{ zzBi|!>4$x-v?!kSO`@v!zHjZ_P<-y2y*(}-?hkU(|Ki{7UcBKV|Cl>)cAfuCV%cN< z1F0Cl?VmKLr{xb5u0gH+`Y*zhM_N~Whm*fp^HxG}o)s8_;&Ll8fW{B>?YaqyD}AZ? zxOjtazbjGP@4Idotv>BrkIMJKfen7f#mfTE_;Ge)pf`xKy8>rYwmuU0fpX&6zzSHrnTq0Qdw(t#oNBMWInK_v?|u!BUtw>&FN&M&FR7&5 zXCF>ed#Qcjg{b+G-S{XjzGF|m2oD?;Jn=&me+?c+u5n@TP75!3Jea5B<)z?eLwMku z;N?5v@smPF(0Ooe==HsEZ)xaXlW_07(8nFP_haby5284(D#&HV3#vYxfGXEj%{u^R z_gDRXG|sNCd1OBnH`hG-6E5Cb^XMgb{PvnUnwxjkB(}qR-d{5%i%vXTa~lJoo~Y>t zLffy`Y_|xneY0j7&EmIep6|xJcWQPgpZKI^y)RLGTGLJA^WQbK)LcHRsp0b7=QVd< zhO;kf{z&%wWz9u|!&f!4=|lLs=Ajhc_f1W5Iv)S7X2H*>^3CY2@5R~oqw5a9y&p!e z_HT6e$I&0L%1@&wx1!2HV>YH8_~$V@Fh<~zF^jgsy+g-rP9#2J%-Z{+IC{*B5ch)b zi3^(1f$1lHg-Wegu8H5Bt5WJ8W^K5dP^#}F=#C*c1V;HsS^nBF_Bt;DS^X2nhJJyK z8}M%s4CTh)<{>_R=yLuz&04`P=q@lWx>x$B}Ik2kMouNyfGubi;Dz21&k&+=~^41^v(m+fp23at&y z3ivl%!(Q)q5J>_5Mr(#Xg!K{dZ@gA$%ggvH5Aa9R#-X(y`$UVMT-nkR;$PxHsrO+y7`Xrgr>d5s~c@$uk+AmKK$BvOM9KW&f~RB zM%(Kgj!;MpZ&IkA)dKe1+b*+#7J*T|vjC$4)N2gXM;oY*F;K5IP#^2BUco@!XP`dL zKz+Oy)K@f6_j^NqrC$T}fD7uY8mO;kpgzWd`s)7;P@iC+zJY=Ih6d^z8K`e;puUNL zdYys#L<99r4b(R?P~Y4@eG3EiEe+Hsc|yI)fx7KLJ?KC^q@gbGz5+BkPan8hCGN;QhZq&4wY|m;Zq!CdzFE@-$31GpkD2- zt}#$|c>8Dr^)X&hA8Vjqfw!;VKz&>R>f>Ed54xbfqJjEK2I_tX>MI+lui`*`RRi_a z4AfUQP+!A9eN6-PwG7nPHcgyV)uVl9}&D+7{UA$eW{D-xR;JsSVUSrM1;3)rk4snMJ zn5+EN)dtKCGp{jV9_8lq*Kph_Iu2L1sRL;3?b1_ljQs5(T#uf{XXDkQaGd@aue9ztIw<0v=GrDd;L4#^ z_9YaDS@%4G;&3bX0E#25_Zslbk(PZs&W^G^`#XxGt#98$alZ8~FJ53h{u7D|tyi8y zagnw6<0vk+9{mi(CDwTp@c5*%j7|H&9$@?beKo zS6O>)f#PcGxA)-UHP-u2pt#nW`#Fm1tV#bwalLirttf7=nm0ww8?Dg~;_N2t;xAC# zY`yslid(EVe7JY3b@MAYyUlvC7H79xAwGVGwf+Yv?zFb$gxzKBAH==;t%28Z_JDOR zXW|iS42Suowck4^-nA0E_ntNPXB6*S!<^O+tV7pF@u9U3=jc9-zft^R?Zu`K@YV3%fxh(#szZG{ZG;Do@~y+UKHYaQ zXW|UsW_;j6-!1<^ai#B4&gV_O*EznMeW$S#_xMiXeBSH(@JST+`PO(D#r?k3IHCu9 z?F9dWzIA`Z#lQQ$c^}0?zIWKOhkdVbCLZy{zsAL9e11;dv%W)sSMYG`LDYEH_m42n zzVxkpFV4R5#o39keN%5k@r`f7S11nizd|HF++R!VIl^D_4T_Weu>dZf>|ce*ev1DA zj{O|JZyTJQ>tB;NexCp6CKQ+ZU)m7G75;m+LUE=464J$0{y#j12d?&yXLqmhPhSTY zul2vm=dSawP2gPbKdK(Z{r>sCMe%^Y^DfkU(7#g%XHWSTZ;oQAKf?Dt?Z1Us@Tz~> zW;lDzKbNgw>5q@U=f9O``o4byV!;Rg%Q#D4`VS}aedT|j_rCTA?nLp6e>YC~0f9w# zqc|||;0CC2RN(80D2@(%#(6m=Fo~$~*T9pUo>K#lyo=(rzyN3Bg22Noptvy5M%uk7 za4T{C;y@Eg?UKOSlW_0)!1ViYc0-_w&)pcGu7=cAVA^0BMjI8NQ`{6B7oMkT|3ZHH7L!3CrzJb8G%)W@Ua=m>ViR1?R4TAVa`+5@PUG~yD zP~2@_L{z-TUX`rmUi)@3z5DEc6E&W+cVO|9J&wgv`{8LQUa@~m>VMU~hS>U=JwRlC z*FKfx^q#$lSpL2}j!l1Icddo9FYT$E$gk`!PRKX*O`oFp#s2PD6bA$s5nB%ou1D}6 z6#SHcJ2?0jA@=9sRu7{%Hn^BHc3f~}j{W%H%VSZT5Zs*)oEW^EvwKqTB=UljgMRX} zvx76q%FhYz!udQmxF_$O7d(|?I6wII1QZtsYshr23C`fWTpN6ZowzReH{#jt!Qb+M zJA$JKhdYC364~zxeop3bckqvV-#x)!)F6R^+6v`3L4i5d6v-IcCn3Yf*722MUpB!35in|Iz4OOlV-Ai)1CUhic z@b1t`)hO->t-yJ?H}nlz^P`~?i4%{7t|C)?JoFf$@_cB!A93-8(8-h}FNTi$1jRo? zw~}YQ89I;@@UPHm?9xY}|M2mTLwT~UPeM-;exHVpB$od>^crdNv(WqGM4yK`$PT{< zeM4}38M=tf>Y%E3NTLTqDHK zQS~^fM``h7)oCQdr>fQWA3t ztE)4Db=CXu;Ypi4ZmHg26BLhDuT1{= zSalDZe!O~pBJ>m0Uz1rqS#6W~KUIAQ`O?zr*T_Ggu6})M6wg%OO#nPwy#r_Ix$65U zSq)$R9`?kda?RhvWu6hZzTtNxq4@EsaL8$AZ@-{{W+2L_3CrT+5b@uegzm+ z*KjDGRcAR9pI0wpn_pBvCG5BQ0;2a<)xYIj|EGGGB=bx4UvEQkK+WBxhXZS#rd&Cw z=DF{1hUACjd`H(LDJhSsd5Xh4wq`Y|9B0+ElO>#8^FA@_oSIAjj*I8k^phuFU2_q8 zeND|<1kSZJCvniX)%=x^zP;ua za>d7M4kDv|qULzc%ab)1 zn%jwdAJ<$@#`#Ij^S9#ScQsAy=l3-m5+{DB`INKtW6czf;isA}Nz4b2-kX?w%;*=` z=CPya5SNY{{V|2jDWlim+fN@olV@j)j+4~R9K9mjJZtosoXE3BU&1b(Gde-Qojbao z?DxFUH;{_YAH651^@7o_Vj}583v5sk6h@K*KzdMRojyn-3G5~GaQ&q!2nO>cw?-uXpD8+80$60id)HFy|S_5 z{Kh4>im~EW^|IntGge%{+a%=B>qIF+kHQ@$f` zH=Ar%jmg6@ubErQ=wNJn*iGRhdLg%3j_*dE94y3Yd?j9=w_wl5Qqrm_A zrFerKo{+(@A&%GDjT3jnv1!3PV{MAxVY$t@+~x$=TyAqNw>g*FoZyekZO-L3=W?49 z5@ET`x!mSlZgWB+{4dy?qwK2VU^&>Uo*BV$jZZsqtUDx*W5XZ}342O4YzBMUgDp62 zz3C1(Znt1399y=Tj$^!bTO3=jr2;?WpSvUShf#O0T2pX2_@?#PLAd^o_0%>fzOq`N z`D1C~+Z2jltYcv)AkOk-G%OFTei3#4%>#SjxWz?maLZc0u34g!KTsc%+5wA8=t>hwg&n zXzSm+cntDcp*YssoW*h0XmmJ@a!MX*r8a&T>pYOiiI*r}A!+M>?oz{=DP~2tR zI2*;?)|VXAJy!khDDJgxXO;Ubs|UsX*3Z26fVB&I{h+mkZ}_{_#j}Sji}U=j^=uo8 zN33tz=A+iXIO1ol70}(_r`AV2JIZ%>2a2P8;|S(xNi=2FaWVDZU#@Z>@^TH zceZsKdLg$JO!VaF&LS1Z0yG|c&vz9c8lmgb=bNpMISIE}M=5yDWXJBY4gxjE{T2Vs zv95YN@u)Q(!*R}$1l=F12;=LlPF}jjw<1a7R^J0Og>UnnK|H_R_X8ig!}lC#VM3 zdt<)uO}mDm{+FF%C*HC@;!M14AIL$!W7qQWckL#2={@^w79ZKC5Hr8Dcb<+pdR&Q>pS61V<{ z{odvhc5V9rCpq#i>$F@?(4;P7Cp*TnPUmZU)vk|l4t{qc-`@K+C*lv+k{tS1W%~nf zvi}SI%x8yoBfc!^<6HJUmT%neBmUn1BhJmi*1mk~NUM`~j| z9nW6<#cCt3{Ht|82Y#CMF^6-ywUj+O)B2iSINR!DpRcywC(T@AZOPGJYX#<^xX!ws z5WU`NB_bhxD#vn@)ym>#>vkgNzpaxvw4baKIsTumZ+Z3~>qj>Bi}e+&9N^om2gQND zSJ~!4zACnPh;K5BBYlUn6L0yp;D|o@WUz$PX^_@5N3$=3klE^|=2icH&w8)5PuP{0Fnm=l#d> zf!F-|a?W1&ugM4A^DkyUfA()e0R7@`iJ~|#@CjdgP~a{0?9YKcIG@J{&LUEu9r%G` zxFB!{M|)}D2u|JQfxU?LR|mdnL2+&1ADsE;0-F(4Ukc1&@mgRdj_99(nHy%h zHNNGL@hz`!e9IGzZ+QdbTi($4mNzoK<&BMRc@yJXt~0*ni5|Y?&|k*FC(AG|C|S$X zkRp}F@-(FXQ)x(jpEVb8kWO$AehcgWR1N~_-f(4Sb!ru}I<=}NjR}Y8|sW^*_!*w!j|XfIVlOK1h(cFZ=<=Kb*yo*mQT8GCaFR-;gUBdqC z|9iH7khKM0c8E0rXG(}H&xTA_!18R!%d;Ub&xX7_8!{Yv{|(uYefuB@gtI+#QpjG9 zIm?RdIeWQB2<|rj7XPLFfzGbbU$b#6gl*sdRgcg!>rvuwyYHVMLR&W42gm5@{2iO{ zM;u$9<}rC7k2^esyGp+P-A}n6M{ZVBw_%C7c|I6){SD48&yTb`KT?JKNWMU45z+7C1jz@C#0vVW zLuEEH)W!R{r9UFB_!A2eHvQbxX*{I`;jXK`gsD&W;6^)IG-R?S%!OF%B zxr%W^u4>$ns~I=s>c$PZhH*o#Y21)&88_tG#tr!!e|*Tq()$B`yDD@yuZD`k=|d?bIrERN4(*OI;9sf61lJ>2e}(GM z0wgvM_{WVl!S!QQaJ@e?HuN)ZA7#*aKOF4z~QPi-}pxb&#)GKjZ8sjS|{zz97fimQ?T;%omKY(+B(3O8)Eh$-*-r1 zgRqmgnbhfIUzRDJPWOF|z3fP_w)Y#Ta+_}{(nbNE2ndm-%N2IP$n9}v0dsj!jR~re zIr`rey7cSUhl^u+B(;-0?%}fm$Mw780O6#4X5x2z@FQSEe(*~C-Y?0-o2OboFg5U1 z)>gGRyT|(AJRr~u*6)_+Y;aXa1L(oA>k;(hf5K4)JM{&gZ~Y06+g#7%cK7iZ-IK=) ztP4?)6YRNbffLx)3WtCVWCCWlmF?bbg$y_<7t)EA-)py3E@W@p@@G=t&Q)d~PPJhr zHHMX#92lCFj4`ZatYJU)3gryNKYE;DA=O|Z9Tu`mDGM3z!9vEY zYFNnl(v3Rf%|@No3LAA+$3~qutQRmqr2YSi#hcdpm@<6BX~fZF%oYE6)eM zw*JA3-&&XQx$ms6c=nU^9v}GGTAyeCv9{#BU#zeB+@ZcjJUiC+C)^XJ-->LRjv=~j z!0e0j{~BnUgh$1D>-2WI?f-9u^ltkRDBWA|IQvc>_ulXb92Y0>J1{EKK0P1Ji{ohI}^Hk!ira|gRLrv09LzAnauG}AqT$0^mIVGQNDeYiA-mlvWH=tIcrLn9% zHix;2#G?5fIue;C9uwJk&J0D5wLXzZ;H~LoetOSvGM63h9$q+9%kyMIw)mP16>pId z=aww!iS_JJyXnMQId6$%;}b`*v-x;iCf}9`PcHA!R2g6{*_Mw+Q3J<@tZQ72G6hS9 za=o+n%tv#XR36hXBiWwIrw4{=!vfq~GMvG@BxCM3gfWx^hAcfwq$0o(ye!_)nRD0V z+|)Or!@1Uc4A9Hu+mog%1#A-_PaKuZ<})m5GGG|U0)q93|h9}nk=})L5Rm-bnQ9+u<>+{jpNPBxcRi{g7+WC!VrOv$W{{Ei6 ze7$bB(*5uZ)ODvbk$8ys(=nS?3T_=u;b>;Gj z&UQezqaDPTiz3cd5Tv2Wq3p(ddn7u;{d5>)BUqa4O2#vJIhK&WDDEh&(7zHMmF+B)1P1tb!ksoJf# zu65S|1x*~4j%SkT)_hA#o+Mj3q9$QM9Fd|?A<>MBH?n;U1OySwUn-$QNnw4}H z#yB&U>RAM=ZK~xd<|m!X=2P*RP4bF3rXkwVW?-y%cmv)a9T)_A*?r;Me5^GJ>Wt=F zBdMGkWmt`_LKPXLaPDwe7-QIDii`OBWT{k{zl)$~)RPrSw}j0Ea`rX@NSXj!HDN%P zmW6{u!>OMBxx@4FlXHXJ{X={73=X-F5c8uZP*_wXm1*fplmbHV3KBt8OJV}Dt!eK% zV0W=dG@l#nojbQ@FrS-}&t;}}cs2)qm&mq7^DSMuOk*C%)z%Tq*Oyk+at$3U0bipF ze2s$1K!Rv$Ms{G~;Ow3}NF*QYUF5;{6ZOK?lMT^)+rXS2$d#yTMudu{ry)r@aywyy z^Busg##(g+Kjl;jb_K7ntJ^YRGOzhqJQ3*xu4FqpGtoFjMgf=NZNR`b&{7<1gA`Ma zOT|?Lmnc88ZE2thj`fbFV3>dc6(Hq)&CF;XVk@4(3rBcUp_aUCVef)`Z-4Kw22_p| zn3@qw&UC}6o*DrDG)U8tTn-@3v z9Eh2UN4@8SWDJVHv<(mTbkDEXBqW!F^^kZl3QarY#$W|8!;;E1u_=n2E9w>Gtsu~b zczXs?I*QU`8qj^E>|}fT=ft{)yB$r(To{!H3PqvuOAlfVQ?%=91AyiPpG5YYQ8qD ztTmA`Zj9)PB!Loc*+twDdZCbLO#qOwcuVK>_{>r!TYgv2G@tK`Me@m(WDYab*nlbP z)WS+lTK$&woe)wS7IoMiAaN-`%Zaw2VTn*qW6BlX*5Rl6CCX6I8Pp+Fx3^z$9-|PA zRL3YqBh@jA(MWZSax_vMqack`$0$i7)iH|FNOhXEGBr}2slTGmv|mvNq^dO9kzN-5 zH8UOlHB%k_HFF*QHIp5l43$!3N!s9yu?v;PK{JWE9?&@#O(tBl5{6|)$^zd2twhtE z$yh!UpP9^3`2;!C)+^os-GHnI(yg(a^$2OA2_=A|l)u@X+M^`SGBVb0Q_Na1y)rh8 z{s`v~P6H9s-s#CSgxyI)nxC72Ykpo3_jz#NOgtZLYs$Cgr^nl&CPr(Os+@+_mhsS{ z*oT589p>D*xHox>QKJ(Oy@{MB%dBt2+-4$eVQ;mxLCGAp)H1y-+6j}e4NQ`Td->d{ zR~lK6$-;;L8$#((6{Cj7Qr%JN!uePv7s=*47_I0F z6TzqxnMgEeSa-b`z-?_&szuR?3Z`+lIIaUVHI&`-5m1aK1_tMM59h;EcGt7#*lUiC z(h|jB+o0chc28zcFjdKU7ZGwo@K>zDhP4V?3RtL`@@FW%GY$PQ&7&6$)z?Rr{sFxh zK80L5>vT>JfE1#fDA^rBwb>n~xNWuq4Us=&#a_wIj7Czabi6&L4b$-CQ7s)E@Hlm} z<=cTk_(!J^`qVIaviJd}5U?(ReGaHRF&Q+l24^KiiA?>jUq`@dZSqUxb9ROd$FK#JyUV?#UjP^4CP3^ z6IwlpIY%uq70JY7wIVxGNiw)-BA!f?u}Z4d#G>w@p`O9v{DSVm?)h~+ix&(M#)}4e z=S;*+L62F{bUqsg&diAK1S2KVk^)D?P4Q?&+hX9)u_TacmYd2N=@n7jQ5c#xb5zJo zd$Fr~QP1?A{+_|^;ogD%eEUG}kcW1vm}!YevQa#eQ}b!#eJc<;xj4O{nRqtckdH<( zv1~4q>&&`HnGOX08Nq;QizPFqR4fx#AJvo>>F@3vnA_DoJbT`pfw}o?Z~xrBo=nf& zj=^OAA9`lT`@459*R7-CQB~9(ffa^-rIV)mpqM8OE%44%q@1-Y;GrkVC(;HX&=GBF z^X3zrT8yU!E*Woj14EHoaeOhX0*s1gdvu_GQ9g$Wi@GL?W;6fDwp-&7U`BH1IE^O! zX`d6`)fPA7azqR(kW~shohl-xvL{@zmLfLkjI6A^;n@p@;2!STqpy4J5Z$6PJ5ssG z^mr!HK0RJ1N8wuf7ueIxfxhAHxjmWg{<%GQL#7pO8%9;(wjtOGx0^tlREDU-MPNjg z;gcv4kmUtmU06Bm+_O%k$DdO7lJq{!j7M|ikdP~i(V6gQL4#0m=t#d9Xg7hTs0 z$YhWSY@^z`E%I~=IF}-`U_otB3t1nFwk3%>t=V)(s;u_}D7&ORCTjh5<2f&BAu~JBo~M37SBQ$#WQuzFC|*cbzySyNcX}y8GyG)88RCGuc+%tjbBmM z;r_p(ZrBknzoK%ZL879u0u8-KH|4+5<#5A)rPI(NfsYzm0H%Q(mrZ2Nq!^CcBkdR` zj&n>Q9=)-0~L=C^*Td6)4gH<%f7+cH`^)~W#z2OVqKDILjXb(;or#Tx~gA_AGlF>T{I zY7lY382t7PAsaG-x+;ZRq1?=bLjh-!dFpJmln!~;}PR<^Rk3=tGFabdgS z5(Edpbj%`j0GTP_rpfYfZn0W2&8Oi}1dZrnY1)On7XfSh+PQq?^$2IxE7cL5;XuyJ zkCG->TZEfa=*gia^@DVzZ$XA6VS4JR@VXrz={6RoM!KEKj`(zH>BKv z5pR$DbT*M2>1k@lyf5pr<W4$$7Gi+hgTgE!m^!h-C8FVJwk! zz+0`10W3|s>D{PAj;jIwE9D7sy<4?Q-v#Cu&I_nx@iI4!Gj`fiMXFG39T;@!rFT3gD$2TfkZ^?%9O~ZSYQYkDKq0&f#?mMG9Y7&Mb zUBtn?-XP7(8%o523f^eSr;{#LCw?LoTn4vKCmZmi{N<{yz}s0|QcDSC=B;#8Pp|f9h09r~ z039&MzyU;$5mljlW5bC4B9KoPyse%%Mj_^KOvDM4F-%!M753gNCXkn^f=;OkkrnxD zm(xgz2#|$XwYp?nydJAdVl|j)Xja;n$6Qfz7Q~Lk0yR~de)K*!OIseb9Ew}mj7ore zw9QOp>hrOIg%E%F1%o}adxvP#>yQ8)3@}1P;V5i9ldOmT+F61todbsqU=Y#E;n8V~ z41~{hURq(Zj5FA@Lv2V>ERZzk({{yV?)v0orEx928Q5VHAe~gr`=B1qe&4 zoUtqrCfnAcSFc^~hJL6OC@5uVk2hlkaK#G@88yRoO^+pD_D-!ZFIYHSH?fw#7Yq)} z?im`Y>r8?y;XPLU2aH>i#vg2EK(QlCbfm9)a6ZhDJ@eU~*#rG^hP+-z-sQm#jrQv_ znqWOR{}E&16_Wzzzt%NNf_~W5nN(8iU3gxpmV@L8?GW+6U&Zqjv&Rf@Ey#4Y7+YlF zMKA$j0cy#%xqL;ytoW47f%2Y(!xbzAsK0DC*}elj4)6jlD=thb(*-u%GbgI!!;F`u zI6lm)p0SjdRnPccmQ{}q7)7mgrnPitbDf#?@@X-eM9H*h0}7(jESDJc5UrQQjWC%u zSduYAmKq=hcR#ErbP*gyTq^a8oAU$z&G9)z#9O(4mA3>w^^vBO?iY z6v2E_Zd@xNr%LuuONjYNm)peJjd4q=tiO#o;mmmBSUrKLFLU3ClT-Yh#UdQoHG=H$ z(0}l*J@jAasC>F3o19gMZ80s2EGJt{cnWj6LOrR`5P_L)VU;r7B=PLR-4%f=TF-`? z$`+xN&_mdG89i#BQ>62Vrd=yam+ccLj?^2-EVpT^~bRsIZjO0Xfz$?hE zz;5~l-NC*9s0DfPMb>UyOa2AJwOt5DV`4!AhsEp>7GoOD!#Ugrp$2C)mOxXmd}q}* zo29W#67j5=L^N*tU(5<*UQ3lEiNNs|GK}Zqc%jX4Z3x;I`5u3kF;L+i1%iTiSi*qZ z^^~InG8cL@JS|zSOcc8roofX@qXbVRa^!Cr$J10iCV*6{X)x6zsp%b*(fM2~o&}Pd zIOO67AbfyaAT)87X5zVA2mO;Jc8teu;mA3l2g9c8fjn*b-IokQpe*bkR>7RjilJm7 z{gbJz9(%#CCRZyCFDaLcdKQU}iLfYv2H{KkPgRnQd9E{zu*86w5+qg~3FmhJkMYz| zh-gYjVJu%RYb0xNFVI(o;zPp&3k-G>-M84b#P44Fr)>n;xx$l<$DrBJam)pU{y9Ao z(j?x&aG7Q;FVIn#sdUWDXK^1y50cqZ4v_{oEs7{E9!s*_D}bTMa?w!{(A1ke&>Zu^ zg$hN0kxaBT-yCm)cO|U7CQ#`Z`xh?v(QA{Ayb5TV%Q~S4MdKm(wW_Vc2FtAyzTebo z5)3KFB6bqm73761tLD_WT0}9%Nr;!LON=3lCg5^bpgr3^d z)zhyCR>)lZ>sq`l(RG$B_msm14D$teR<2yW}{zP^HI&Os2R zJD3QT&!9_O38E?mN5#*^Vy!8&2%QJ3*H?olaIG&r&1xEA(QFXnYa8LgVxEJR{-W~WO$Fdb_^{Mzu;QQ2Hu zV?&~;zIo^AU^Kwp4j3LCnL31}>unwS7Z6b0V#InpWi)H=yGEb(zH7ATvb$I*1{Rlk zMW&WHMQBWw1Ot`fk<1UnkRj{ZAY?MLjJ{$hRYVn~tXe#*UmTZb>SfhXiO724fF2>- zpmIW#{uY;MJGBKed#Kjyj$`vmr*tGNg}v##7UjS{#~GHH)qzC_&lPQ|u%f)Adi#6A zZb7bXHx&Yb(Lm!Yj}LRimkf8BjMgpU)I{Q45K6g3G8NA=)Q^D}z!l6MH+e90&mdF- zfJdtZ$9&PQD4uenMQ)I8MzS*y=s<2)(axX}4d=2rsZe5>$nl98=n8ER3fx-N09C9p z;ax}8pYc?|PTFG+ai6rzNQWC;GheV_Kv5VZ>B?Ed#BV_*PE|*Se6A&ljcZsZ2M*?I zn;IKRg6~yeRGy0)ooPjj(-cxuhSFl(saD}Q8o~)#qS^sd2r8bT7;BO5XoQG-;VQ7p z%+io%h-G|=B1HVu<;EvMvuI@W_v}3fJ{6;t726!`@h;^yG4sj|3>f{;yn(^tT5Mhv zVGaQd1-^8rL}Qs-GaOetB}!~#xbD5}C9Gra}Q=Cy0utQ{t(%R`L_uA=1USa(15iamD z^dwC+whXpnDv*O=$6;FpDtnT?3KzKv?|~OlnNy6)Y)Qzn(Dc&%DkQ_wyJAeaf-xJK z%H&8rW3=?cva19}prS==oP!0Oi(+qB3W|C|y)bRZ-&_G<$Va=n_w4Chkng~Dnj+T; zJ;4NON3=aTr>}==q)^AWFH%=C=z=jr|8N3(o4xq9%nSK{(LyXN-Wb>o_8&Bf`XWrE zHCN`CRy<#pyfycRT$J5iml=D3eh4%jMbfcw7#?ShDQ-3_%K{j_sLWV_GZkRT;X$r(r)6{TtxMz!|$qAz92b3PgFLSilp&AsE}f%InT6UgVdg} z9{4`Y-obGF?wXbT%KG8m!P2P3r^Lem&$U}OR;n@4Ou|usE3%YXZW4=2PX?tz(jsYb zi!qoCF@8E4L;b)YRGsbx!wUxy<_8*&^!4Qv2yiu~T;-bKfjzMN^=qp(>8eV_75~+& zju{413OFDb4lY-`jb6Fkr{&WoTY#ZZVLnCPhjgZcDqn4LvrtiP?*S9~6zl2hUXpK) zE}7j2b+>$RMQB~rSTMN-1N}oi`K+h_21=UND-K|1Zv8=VCwK;A$++^$8J!0uo7tKwZf29|1U1M!o2>Jnn5EPj6I~f^l;i7FNI#dZ;+L zkWec0A6rEgr1az~dxBijQ4);n!)ZksP(mt7)Fopu7bNt^GjK^)a>OBX0O%wED$Ggi zHXTuy$fSixAEw$GQPvM~T3U#1^Q3s=sr#N%7?khG!*8SgH+8xc$T`2!O(F%IFmpf@ zZCC>AUZO`*sZwrX&(N}Kb@j~Y|26e;^RUr++07*edzW1)i-Z@0zoObg8Ksx>n_NNq zL2ec83f3Fh=@5aEjnF#pZNctp0x0rq6W(IDghoRyAuIo~5R}Mt=!VfmBeeI*#{N)v4GJ1wFb#5-hUPc~zU~)YDq9Q;gnJFCC5j z7E&iqP?=QfR6*h}zqY0oFN=Ogosl5RLJAVCWa$(Nimw_O;|i~BY-pb16|^E9;l$(^ zuaTRT+0i}6s3Ef_Dm{ZZvomV314$+`5=Q(6_@r^5IKsvR)F=l6_Bfgl?__JBrh_;bzrGwL{%)Ad6xmgZJ`A z<*_Q0%Lv{8fYsh&?szQUU#*vW)Z29|ZgS@)ce)R)X`!*woEP4cppdG4CQRj2x-OMg zS|SQ?S$!2Y^vsTS#sL|n&~-Z%kEdh!H^S|Xc4W-SX`v|#b!;!ogaIX-h%(i6TR4ym zTDI_YWxU8ku^_>)Cgq%UJJuZ21J(tTq+n?oafkUbPabhs%<2+7M!7+n%dE0y)KxmI za6SfDyX0eG`>1F#U~e89B!#=7AsK)Q-trp$fOdKp@T{R+|vb9zg;dZ=?q2Hr_WZ-ogjx5?TenVv&H9)EjWl zxMN@|R8eRtrYN{Jwn3HK0@`gYG@a2)-fpzSY$?_*mQ04`6O(q}hbLEs@l6=T7xnZH zYk!`YZ@RJrDC%R1X0Te+#FaCw7J1JW8LvPAsl1rAtidhlG~=V(o<3_(p+$nC_K;6!r`HMrdH1@$F8edYWctm zB`^dvaR}lA=0wBoY4?oLLn)AAz(rfes}&JyhD7u}Jj3|)%#+Kw!z@TJNzX6~QJo57 zq?S>6^}~2_#Mg>mG*xo70!PRr7@LsD#COaalyi`St}Ln%JH(iljsD}($sl=%uAy86 zQQ1UOSU_OB5-3;QgecBMHPyOrWM;L)=#p@E_pMZm5hm_C@MD3>-Au-flbB|%pH3V# zY2Lv6o=J-a1_pOuI5>AwjOm^R7R(2EOhQO`e@iMiDc3zXw`Ul)<_}E53NdpG=ry=} zM_=#!p2g}kjwQML^k{TadT?N_EVpBGIkvH3ODU(ZjtAjg`q#GG)Y9V_SvaS6Kvbux zd+I1HRTH{c>HYAu2AGX%K2#OVVrdoRwCi5j$JA_O*{$MCfk-JIy$E@bxQ@TYMx=au zcYA(56C4>ET_vjWtm0_(Dm>qVg)5WJXpulSM^I`Na+<fZg6Lgl%UjW#@sr!eT!K~0f|e3~iK_HZyTpcK z)x^4@c`Y=RhGM|8S%@=TF1rbP-?MRJsp8NBxeC{qXMomtIa)u ztMMAB*wC;y*C)FpM5;W=2w-@j5w@i|8L> zu!X^G1?^P`P(zs}p(L=HV?-IkNezchGK<`lSx8;Hj6z9yeqkF&G7!pj=7+GX!U;w% zmFe>>4%g5#u#AeWy>sVrA_`&uy^DIma)5WW2pJKKj!E9k7!m_UXK>4SIaX8tsJZO! z-!qKOe`+DOPOj+_ot_J_OyQErFvi`7<=dG=kc#Amb|}g*^V)gExry6RulG=u^jM}R zzKg=Z`#SKq4eLE+V3BHy8-dnD+g9d$Ej4aLA)6$9^;1D@<)Pt{I?}LfoeQo~Eun1F zAvj5pX2qjFiaQD}=`?HQtX+u3<)L;~dCL}^nlU~n?7z|b4LQ4qf}TsTX%#~1yO;ZzA0Ln z)X_Wc!6+LHPbmqcRnCg?rNmI38HGVo>`^PVW97C$uxNqXY&Ub(yV+l@m@HnblCiYb zD)bkWR?%b(Ec5IXjh;ew0frPYZpgDLvd$<@i+8gd&I$LsA@yfTC|F}yltt`2!DR2~ zkseNQCrq58Y3iNaH|6!_M$`i6aEkzp4$PmAz_KET7_X|Z6(Jx+Q$@M^ifPKRTN2_^ zN<@rcH^?W}GTE@?%~W~wun(uSUrKa1GQG&I#Yo*ofP*3;|0S)DO77EKR#ov3gH4l_ zWmOU7i1i3GMLttwPZUT@)2rBrf(JCVGD$rQGgzU-5~`T&!60;73Q$FcVL6?l|5QGN z7F6;sV@4EuhB{>Btdoi5!m#n%Ot*?EQ597722hA8jIaZ;6b5Jqe}rejrf{}znE^I8 zz#5bRs#Y32hKE+z=^`FddBcWrj$t3ES8s|p-5HB3T*2fLL~~m#*pKgmWx+AWVH8J= zGGH{HlZ@1^zBm}Cg*@DJSRsTzr7js&KPcY|zm)^HWD44{9?O*4>A;!F$wtha>%{^R zVg861N$1kJEI1teb*{;3*6OoMo~R%OgH;-YGzm0DDQ|gYPlHIDY#~RoYbK?0qULiZ z!73uNxr>9CywII_6#$x=i;+WG+>dZPe%*FDuaU>x)v4OhRMpv$)s};#=0XL5~6wOAK;Eh zSryLq{;?;|yd);{sfZ8O*SBzv3L;u304m3A&85YHkW}3W|0owm7zQgUGfo0US{Wt+ zyS2PUj@bbax3khL^QfjMMInd-N9GSO^K8bWpok`o1q!{@grqi_Y>HHWOGrZoCOwg9 z6KSTtTi~jQIvVYV`sd{P7Y~c}EQUpvs|`pLYu*-~=Hy&Qi~?4`oj7j?Cq%MvrKbai zAx!AbIJTTOYC14_DF9tTq%g*gI0rt{(v8517?K6+aXGJGWSi0(l@roqZpm^>RXhgi zLc`NuwsdPG-%zC8nYJ-$%6O3BV+UT2*@b|XVsvSxi?W=yxYu5KC4kX;MCV8v7>232 z0ZNXgAj9}P$uc?Ko{ullM*zM4tm0b_xNFDI;*q zFpbZV{ZvWLk=QE*)s!|GgMZ{G)~x;oQ&uXAW{2z%eaZgW`pw3kcj6k#E1HBY1=toP zPY+M77*`%HBvw~(^O3%}10s1M2zUdGN>N^B6`n0Ma_YwDiboX@7%svSQ`ZPlLwVX% zDs-DDi7k$07>QSEdCF7~<$e}ePO^AP?Yr>M0 z*bw5zSdj;;e7K5n74chF-g6pj1ewU|^2e!kC>^J;t;lQ`DzB}T!cs#3z4sI_Bz8r} z%hFM_M%IzdCP%lQN(h;?uS$RE0^;Ug`Wf?0Zx{{av19nRt zLqgHBjI}TR@{Qu#P>?v9D0fKq3<}Ce;b-p|jPzk&SI?X>6g0*Glb$rYlb$$!Nhy6LjU-DJkDO(exEi!CH)UtK;o73+89GaDl#GX^9qWY3`1vWdbISkwe( zNa8yJlP6DOg4}cp`>&DVQgVxlvv(P(hNvVXa2iP_`+6eCv)?3S)IuFn#okIp|?#{u7Fhz zgl(85KaY{xYVM%SZ zXALXv{XN6<8q{^qnKP)e{q!#2Z)DL}u%u31-n>?AaFd!YfV-zsCLQam-u!lo7sD0* ziVRRkr5GQv5jc*W=~k5+Dx1e<@fWeEMupUizlgYnD;;ikopIOkt`}dTkDXoOCuh25 zbUNm0p-WlK~HK>Q@@hWn5#!Zvez99-O+J=q6E>EsQwFW7*jT~ug1;VtrR+}0{8gLK-BV~`3kJPjU(jrGD zg%t?|Uey9YTG3yuFuch0NI7)yDx#*2duufKYcNx|fsm;o$})VBX@W%|@5*wOC+nn& zH_ZTh6Cj|vUWuAiF;vEo4;A%EV2R;+VboV)NDhxmI0Fu0b*hP_wj~{r5 zRFU(_Wd!FVqd7ceqk6fZsmj^840j4PhLD>(k#UCdFa{+WHS-{M%_nxw;}m|lkz-DP zYf_~RpKHOYkcj?tsxw>1gLpsH4@NPusrdr~!}G)omhM|PlwR03)H8(G_F=7G|BA}$ zYq1IkcEA#C9*1&=za~7#CqPW)LIO*Cj>Cl7I0Ro0U5Fhtq1x$kxRD4IR}f!DYG7bN zOZRNEu2o>c1PEP7Q`{~jyh2g9`t~XJ40}LTt;LBA73I!7$;?wBCyx>zbP9CliXziU z9wxzIu4n!Ne5`M1U{H^U9WAbaF9yiS^*4!HqAsC{QOh65P9})ErV%NH57c=}C}_MC zW+L%aX6>RE*Dr3+@(Pqg6+66GCKXhF?z=Xgf~ zb#J6*e3$ z)nUUrR~SB@kT+X)%&Z7s0xDJbk}i_|&nPTHDHD?WdE7>r(gx6HVws{)GqVoIG0CKe zQOu-LpD7(sK`7A23ti6f$}2jfKV2A~b`g7_A^-!1PnRgZr5nj1f-xCulT|2uf)4LB z+xL)UzVzi7ph=4qHviA<_-e|DsjaDYCfu>6~n{~|>flp_xLKL;D9f8q0H~ge6 zDo8HvKDl#)I}%288`M{@1~W!n;f{zT@n}*BE24yOPb4AfLA?7MION@vuijsbKG_}J z$|BN+71(h??|3Y)gr?|Z=UWY5pv6<kD1_3#+g(3ypy6Bc$QOdH&)!*s+{7g`dcagrIg;zPzm?r{?+oH(Q1Ag8IPb|cKs zG1$u%-Z5@%t&7^M+!0fa#XTg;H?_0pbq^ML-MIichYVXZ-Imv=xl`XG#AdM$mwZ#K zh@FNmBO6iVgAzi^FlCUEPA%f9U)V)~?}qD*6Z$TKm=vHGYV5e-;Q{6cvfLb9%@E$k zY`Oq^3?Gkh&!S8sq=Rrc71-$wZoSgq5smisbPu{RHx(uUZ=?L+F16e|@R@l7eRJ}O zg?)XVFNWMBq_gvS_ZS9W3d8^Jq@mG>sRw~}jjw^9T&$?f6mi=MpIa0%Ww0r~B&^&} zg)bg>J7v zL&~w5>6zb+98i7TLq?g`74@{jjp8(62v;D;C1|xO%rFLg&C_#+1V@FXK|4&w zWY!IeaT#*tbpL`q$}sSPjJ%he5o{a2f*q%N_l{hwgr(^08x3VixiMy_l1L8{jdq$u zGId+j&kCo*lV_Mx=~NP+hdDta(wv$7lBpxtR^QP~K;JZ>oDN2tmD&Up2bwgdLP;A= zB~_<#`a@`rCJx@qvBd46!W@JuH1M(==?E{x}|1f6; zj(6Uz-KJJ*P25~vXwVlL%!Nio`Jj$@Y$BqcrKxSV#a@|0rIcr-jn$5RQQ+`_wXOIL zB(q+GRGY)dqE=fsVQ7BeP~V)zwHwuMUb|5k{~GYGaYF5HYbR_oIDzrqd|f_;H;Ib**j)VrPz7tZl8`XXZjiy6k43cvLWTWh!8nggu;lbRQHVXNAG4I5S) z6pYSXjA^2Gk&^iJyI99eudTI&s#)#@!zj;wHEjw$CZIJ7^|2AMyb7P<75^39Na4a4 z7kS%wReRgOdnh)@f{H5eYOy;`$7>kXq%bg%Y1&K@#UKWRED8!O)Fii~$F1aJCE?xO zh$@-dm=FUri!C8Wq&bL8f-Mr(N`UG{%*z~yB&p0~*)?je(-QR{4qFG1N$MtV9;p3* zl6FFW+pA?KWaQN!_;TqA7$*QJ{&S2YhR<5Z@@>8SEerR^{?WvpHLI;|Of}@HAj=(e z&cQ(tOGWGOkE(?f;2jDh9ib*HM@5BnAzvhPpk*e<>KYiFlj`Z8J3KExwPHrBqDs?D z8m#PMFk^U&p@No60i)9qIo0+%ZcD`Xykj3Bu>i|J1Z;HOe9Yd%kzQ%^Hk?2Md2hmsI9WIJ`ltlk@3wAsv6L1>C=OVAoKxmk#C=Cl{*8;C}YCgrdPY8S*odg;Pt zpSMDic=i@bWMRXltINbb{6R!JRG!91aKyzR9)@X-A%N|nYICj|$;9W%Hj1dBqIBU1 z6UkXfkDY7fcU%zg!*P<6MlxlYXVk6|H3p*)SJa02`b?r83Tj*0@JP*s=mCSj6dqnc zE|;n3;-?5Iwy)Lx5C%RO&TJ@4!k##2ywWM^ovG5|ZR#e$PPGO-oTT~8Ql&0u6GqdF26Fqs3 z;A%7gcaL3y>DX23k+6MX`h~p!ULVH1OLJX=-3!E9QnF5A=8_mFEU?g?!Sv!PqAO^| zljHVg{k`C3Ee?~@MS0svogSZ?j#b$!uMde7UmtnaoiF~b*eApvs z9nA{2SGnDo0jiD%;q>YU_1fly`cHaU(2pg&UG)g|13o^0ImY^Jy+_1}DEH#8XW#|D zbnJLF7WQku1_KI^^haDr*)9zzMUf7Kw8yIq#bkP*{9w{`Xr$NYdP*j}XbEtclTMyv zwz8B_0l8`+#~`ANB$K?!G3fTWn#UbfRboI*qa*jr(NygY5LztW{OHD2XIVh+R>0B^ zbZ%p78p;*TUHGrcZeDq5Oi(xry6{?bSF1WYLsNqpnR$tPn7!zE2Q3^`Pf>B>JjJVpmJ-Y^u+SVPd0F{? zREL;$tTMZH(m%8#q!|B5#+Xyg3q!aT&RH;9eH;njiZGeVc|{V5A&&zG#P4CDm-@q4 zw4vl{)aE5FEn83G`k}d zCsab7b$sapFo9w%x>UKMr@gt5)Ph<-mpbB%cm$+Wd!xarH3OCpc^KVSi%p?y$unQyj3p&{-d=yyh{C@EmfDvX&< zS+|2gw}2)@7`7B;dMiV0^qAwunO!XmKD$U}8qnEHMrKyAq1HXVIot@u1j4e-^_D8Cn z>6zVw4_FO?vc*NHb{i2<#5j_@N5w=|TuD=#FkLLt(DCE)`oOsuI~Q%Y7E zRF;=174ncvb1FQqvTI*qT4A^8NPeUgasUY<3fJqe);HEq)!%?p%cN8|I;Las6)Xws zQ2Evl2*5gsF(N5L%Rqw}B$3lNp{RnPCc-N9mu8w0PA4?=73j10`&EU{bm-;^v1Eu^QD~zG-JxQqD(u=MG8=F-8V%2rGzxy;9Mtw@t)rT`WjYG1RhDVr)GSprRXU4J z(Y-_6`0%ry(h`c~Ft8M$g1e#RWIGXT6fRx-a#G_PCzb=0$#_}`8GdeonFp|a)l8SJ zr92ff2@cbtvfq$*acxq+!iwVAuShjy$tD!eBmSJNaZagn2(2b=|Vs`{WfmF&`X+R7w#rSdZDVYt%bu&#QbnmM~i4y^l`+x zm!uF;Ih^fYgzwYg8#688^1urb*NWMQS1Xg~XfrsW2+~0>m@x>V?f}~_4`Sk+DUPH_ zTe;DqZAEdn4(KTzXjAmS@6HV)$^l+%olbVpvRH281rtXh%A8&jWkM>iSU=tH_-a*4 zxx$A>_%=Zy6$0+)*4h#)tcDxUpI4Nj)}ADg#CyX8@&HS0of7yGF;n!}WFxK$GipNR zButK8CiSBf}H6IEt4dE3sqg0W|fT`R8hnn6hS5Rijg_slWPr(Kwm2hYiaUgAAW(kcROnoy#GM>RdcFX9;E0H7z15|o zJW7SgFZD`!f9>LPl5NPC&MM-zSr!plYT?g54 zj(02y+g7q(8H`4AD1JnQc#)t`33*(d2P`-MnLM3-u1>@-aA5JUmCn%&|F-V>^{7@HNCGlBlX#QA&SHR((8MC*(%=t%bkhoCzXJcF- zgYz_=X@?b{oG=a&&no?DUh#FoY~l*?=G8-nIWnba@XDoJPTns3)Zvzj{pU(P1L&%W zZYv~}He0etY|&E4pjGr{R0Nv3G=MS@idr(^w3~no_~ z0&7jf_33kb#7h(;?`1lp;;L#S*5%IR>Ssm4bF)cQgTV}3qxcE*trGP*IvPdaLC0(etHp$7#buAz2N7b zEhbwuG^bK`mvcFX;nSVK@Q?J&Schxu9Jmm$VZWF(W$_JNsAlZAi1d1Ke~X@yiBISD0#cux z&+N$Jyws(obDvi5vQnWVUU`2gNEt!8PNICnk!+)pks2Y?fX{D$r+eYC5QX5D-l$qR zF%yTKpe}3No$cb`m!-p*lt1-q`CNaWKIdClX_l@ZNt z_=is`16QHkGxH|~&v2B5d3;(2)IQsvk}2yoiUcK4MfJOkzzZ~Zf-hcdD%t5|BE{gVFK^9EJ2 z#Q6N(d*;k>KBDV%m9s682K*2z4R(CdJ<@`2qHeIj2 zv9aak){Hu8Hm=kJH^DTj4|-w(=={;UDX~>u_pS&j6|ZNlB84Acs;MZfV3w;Gb?j8& zt{9i?+9+B8mrcO}fJw;NXmF_Lt%^)Jagl|?1M{){VD<>x{wcQ9aKY|&h<6w}%0K~M z+tK`5rDXCzd$a(|M?QMy(`js|ozOQyTo`DOywT<3k2sOe!bx<>^|EG5ECWrt*9h?TFkUx$&#;zDrdj<`rCW0wf8;|apDB6d;%nqjKhdC?0JmC63Yo&6w+Bn zm1>ZN&+VZc73+Itgub%p)hfSzd%|6iP{m zZ8bz`t%ahCwxj1FRW9Epxt}->+54x0g_#l#QBJA>h- zsx75hGOxE3mH^f#8U$z}P*H3`27yX@apwI>rWPo4!2LlqFxC`NP*EA^0^Ot{ z{NoMw|16p*RIL=^l~g!9fj<$5#(+|I+EJJbCDEI(WJY36h)_oYi~{RB%gneE=3PjG zkSFqXf~6CsfOV$@2>`RgfaoSO-2cWZfc3YPa~e*HDka(&#i7emd5(cfqnzI*OHQDG zc0q(AkR19;20K2+MOET6>nFMs>2U3FJ`c?^r00rfs7R6NIixH%#qR%jNcn(ZNHfsM zU7(RC`b*$kdC>6dR?N1Q_zdje+|-Zd2aKM;GDMfaPatf^ zgTXO>LpY-OkA}a)e!=huC^U9NvLX3?HV;6}XsEYZLPt}C2Dn5Fio0U7HirDFhL^=~ zAgmN_JYZ4x0g!Bso~~}Z7zaYcBDT1B-~j5ROHW|sX0yq<=)pLaLk7>$nqKz18w)>q zGaFuD>hsVCo6jL&-Q90T+n=9)-Hg1e`{@Aw4uXC!{Eri)gP)e_xJ&f*Ff0W=ARG%8 zkqmvoFyvQ4dIc=9`{L;eqw`k?p|eYyGdAYj9GFM7<0{+xihIo9K%1P*l3W#7rN^0s z#z-cI<%|?NAp&{Sy(}F!ct>NCC~?=ubQ^-nGpiK)fTza2QoMaSKr)Gz(m>$EPnVQn zWQpOnAZ_^9VKW4grIZKbuWf5z7AbsXakc_#z3-bvjn;%rNy4)!Z1M?GkCAJ?@-uZE z!VVse^2r<8I^YURPPA$1^o@^Mpbh@kf>@jTpvKiAz*CSP%DVD{y#fit=st$4Pkz z&BG65G(SXc9N{XA)zQr$inO|9GP9p$`CgIMRUi?@CWQWn@((63tKSM6XHrPjl>UA6 zUV*pRJw&vrM1emr9!N1ox-8_UxU-A(APNuqp)nsTf)-HKNN7vD0AuyI+~d{e&Ki)a zdsn$pz7x+81Qr&fi`DvK39+oT$-b0|l|3>_^Xv9HL0_ zH%w(k-zgvhM1?k*tVLCMJ9JO^sHz}JpRTV!xVgy9dk8>WYHA-C68_t%@naCS!A z&a5MB>?GU?XIQtHH>n3JpeutwR;!j@&egEWe*}Tx;zI{7z!o%BiF|UZBmo(7Vkvpu zP5_Xy6<}rae!7~{;);Mpw{V+PzD;PcK`8`jo)v2Y5(JG&2t@?uo)YUIjiP8pNq9M= zO}oJd%+P;aC^N|@;hH@xrPw+%L-iO!nmn%KR1GX>p7@9h0Axy`gr)gveRzTnjfJ{a zPd_6o@$U9|#&&3{Rj(cBnmD|j3RRTK!t8hU1IC>j%S_cYBOELydLUaVgb>twCD_ao z)2>fd7Q{ea)GTr24Qo?1RKSf>Ko*GA#x2D&F|NeLBQG%Ax{)D?(MM|uwL}FE3DA)T z=b`dcr^_P}%a|67C*PfcQ^o19ByXvnt5hH+Hg0@^4dCWq%bq3T<`T*zx(S$`B? zjBjTMSQ2?<7psZ9y*A%=${vK$p3etNx@JHU06~WmDcK$zHtXe2`}!+E$6rCxi6K!a zESk@Bk6@__a6#n0j+rDfJM2%6ps^vq+c*o*YkWHy-oar>X{{$zWhFhYzFHC^Oa#_0 zSYlOo&6LD){~vD%Q%Uz~&ehsJ!|X6vLTs$1uLL$9_ffdkAKwSrIn1EGq7H5VQtV%b zeITVrB&WtEb3+chKCI?f2?0{Y`!nhkV70Yalb(bp`VUx`eY8(zDu&lK=7$T7n zutLSMf9QSQsAlY&0xo!omjD?0ty~Uneu_d|%CW3{3P#-ri6~wm?Fi)(Hg=MS&gqe1 zggLsEm8x12s>>wxX*xNN#1-c_V8>y!TCLwI=*UDO7l>LHMF^8fh{n%kHh^ynPOL1v zy}$Hc_YYq8U%fs)K6v@6*FWC=_;O;3-o)yO6r@~kIWdTVj$>vj&7dyKN32rtY%0PTht9o=5M6EN1 zz-`oi-VfgU+()$_HXn>(2sGqjvwBu)&No7HzOk~;QyDhO*!&^=mKuk-hQ&CF$)DK< zuWGGmGeopI5c(aim8gu`#mYXV*-JnjpK87Yf9gbP7mBb0huQ8is6OSA%njn8tb_?F zgxsSzdJvDmS;-2bE++4_4FH(2bY8O{WxC6&vg*QB0<_5oPJ#(jjLsa%R5XpY(V|%@ z*v;esM3_8Y-9I8%;QDTl<@CO8e!02&^8=6I%gw8pc`Tce|y|Mv>3N1 z?2ru0wKZ&8;zg`O1UD;)ViQ~U5@SzKX6W0x)cZwKG}FH&da<#kOzE3Ov$xuk@Re#w zy75WJohgNcVBu+GkSy9$t#L?iBhr?oq>hJt#2OPG?cE|m!4d`ZDVMfShxZQ~HjA7dH>u z9XF{=KyWc5*gae>NtrDgkR*3n2gJ#|LtAM>NGw3ItqNi}fW+`VO7O7eEE;C-|7gZB zR^_oWv|u|w?gdjZt4j-9bOhj8pr&LiFoB{EEZp-9!r12@kyCpC34voSK@;C>Z-FVQ zDozKMQ`FtVK0iEXTsX>|0%xAB-cgrpOUZ16;3-r#hIg+@n@i?HnP6odr{BX#MLBzf zEXI25RmTts4;e<2?{z(iluXB6h@Sz-ilG~cz{G*j%~DYPfVKpay%TH6Bb;JO9z_arrn_pc949_HG22UbWF1K!rbOfK0-+E$cMr(r&1c7eF>Y_u6^hE|W0VT1 z^)xMA7mVrfEXXgTbh>k0QLt{Iih9VWfw3BCz27~)f80!y$*<}0R=bNHj|;zNC83rG zUYn|jM-Ub+JX*kD;ovU^7iY^xJVNq1`6Et($uZ+&1`B_ZlIze5mT7jP_0^ZJ+j~%a zmQ(+AJ9=`e^vW=*y(N~D)8vlpj2)VB!)y^K^8we_&cf@W2(XbL03W2MKje%2!N#G0 zaV$t^A$TqH=GoGSPb!AY(ia)jS4krd9x}VMt!TdYVN%enBuN&gC2#@`24h*I$`*qwc^ST#tIXZ<)l0s>=M^)U1 zY%r*1Xe2W@uEP-GZ<~1P*7E#NI5pxasYp9<`mi%`I?+y}1Y_iNjkXUTtszYI5Eo5c z5(Jc(i3g0dZQ${-n32Jr*AjyVB)h3iJO#?Ywgz2lJcAE(M~{opv}=JPy?z%vJ>kAU zC@^o?5HX<^gI~4K^;dtgs?@FzO@^=04HbeyttA&Z;17HMmzU&DiW7ClmdhN5rwD#( zo^Xh9e!?MGdUt^q)CmW$f(B}01739CKo}LRk|=6mhcVrrC$WLTTjYHu??74(J<>9g zfmQYpM}!jrEoEo*n$HrW>ng9NCjsGPzN}_u&wL4MdWAGFeHWcvPU6X=m1->GRcuy^ zV&Xsv>(leUq8blmp5@^D?LPlV%Ci@Z77_N5qO*>0U?Kjc2FxY6BniWV=*giswcvu) zB|3^%)UVfwrjm6j`CgmXm)+N)s6Z{p4LZJW*Kq?Qs_hK_!+tTtzak6t$0O2vm=3(Y zr=kN*hR7h?(x%YKqLGC@YgMLZ{pO-f+DAkh4d0&6FHvjb&6r^rsMxte;dK4i$)(r8 zJi47ry?I`uHIHxfbB3#x4{?-s9SDenvo~`dPzO5(<*phY1UXK~L7hfS%N4=4P$Ly7 zMbmm9Pk!GqTzv@JV0iqiNIG?OQ{8WOUv za?mv$ot0AE`xA)bzIQ9MDn@8bd?LD-mt8Gv@Bp?m1hZz7(NvhdrMTE7wVe6;$p$Y< z1F2P4N(_8cDrSn@j;8#XG@<$kiGUy|kf~KQi#(Y0#opC3x$1*Fqbp%UpOKruhK_#jniTj*C+1)d&sm+6)rKc(kAU(r{e({ zurrJfD}Q*rfBN_++ZYSve7e3z5zRl*i1zc-FaLS)Uk=z7N#5<+puh>Trbr3`8^rJ& zCS?jCWYd_3f`@*t&hfAxgh6o&1iTI|!xG-48Q?-5cY*^LjRHIDCX+EGy{HrBS@>vG zWVngadGwF;Gv}OacsdVlBeox27WN#^;Vwj6uvz#mEQZU+G|&!Z6X&bxhnnLXQtxZa zA%mNTcFcwU#<}Q;ariy%x4f#TE%7)80cPg&upg?b4`J{|3&Z%fU~etUy@9GPe3#Tuy^YH%?9pP2^VWEcjwe zXHJcIK67+6WzF37Uh1TmmpU4K&#fQ!UEz+f%H z$T<`i>Lkv?$AIXv^5s+1equBU0V_e`xA}Mz8Jj29Eko#`r`E6ok+l<)w=X?0adr`J zOPIMn`~2|egR51L2dN44Xnui+R4}ktqR5qlM-v8!vnN7yD>otZ|NQy( zTC)D1Dd@N5etv3qG$|-&o7xfDJJ?QvB+@2atAC;kt}c^T)tk2=`SW}=o32sf4DAXa zT#Q_Hf}pb=o~BIkgha34&)^9MdWbNvacfSW5*;Tyhip2^tsR;;@lhW56v@MPC9ooG z(#Cp#S9isA8wGURRa2c@wjEZ-qR2Tsk$U3CxkBAC#h|0%N#Io4B93NiYlBWr(Xm)% z7y8Fg49S*j^-J?d0{!_TLU?{L1Y#WPE0W;p+s!r`1ei<)UkG_qWrT8uX>LM}%KAWf zc%BKMtagZd`3;3;$?p*y({Y%aLRdE$zPqfr3sQ=z=uS$eqKWODEzjN;bKAv3xj=10 zt>%Q!2K(v{TFll8q+TpbkVG~lAhsOX-8TnTuXt17hdktplDn`fn@fbF3(?#7z9no z35ibS`dAK0Q5E)Iwsl$x98IWM#SSz;m^6jB8?wdfZDwe8D8(>5lJpkSW8Z=2MEwEv z*q|&z`lh$2q>p;ZPF&+AGE(Ty&EW_EN#F2=FgjuRZn(%W1Eo(KkZrl%Y9Sj1If~nd zl~6Tg?{BGyH5#hVorda87JZlPh;E{jB7!fxw4dS{>kuUT5?QH4mP6EfDb{&1XyYcJ z6v7lW2mDSI6T`GQC0g;0b1NQjqL$A43A|+U4>?XB%%TZvvBmIrjgq-bmVh&e#AoC2 zVuW9xLxp(4Cy?C1GkR7Miz%XjW0u)q#{`#qk1e~6ukzWol zj3eN>`2}d3EPI_16++4@&5)M(c5kU<+)OPFio+@c=Lwxr)KyD@p24L|v~)3HPWg;6 z9T6lG6`;!iJVv9_vr#J}iYqhy(UM@m1t#NF`+mey+ksqZk9`$ZagbnW(8G<8SRY53 z4vA8Cuu2Q%c~QuM6M=Jmm397EkGeT2*gy1x=ef#Q3mK0&*$_OD7DB7+;Rcrv411{m zEPg!fot?dHnpE5Zi2SG!jC>tlCyJ^Pf_2$Pk7Vk!bXvB(TykETKK90Nxd(!wjX~l? zs_EotjuiwWXLXU;2`o7uw;wYh{C2b5yv0bF~pC7jbng;L6K%IRn*T*3f{ z_t+$Mw@z=^*FL0X)UdnWzuCf0&QJ7ipAk8*Tk?aeuV1fWwfgfOhlIA2Dp&R^*LU-b zfRC=DBe(%I)EVO-pK`uJJ5u7WN!vh31Y2#!A#cQL4p~ab=mmz1mvKEFo4{54CO4h7 zRWVwc0|gYajC!yIz{MDDqs>jy63UA^tpE#8d|M481Jeh#v9F(Hpd~8Ltq%IbQCkS5g#f@8}HI zP(;9gyt;wq@+btF__CN#HVB-_l3jKULTA@!j8Z%H|An2|Ija}Xnik4sTbRSnd-acB zxW-$h+OrwmwZJGb2ICDZfq zKH3Lbl(oG8)Y>)JQqVzGpxD0B>%0;fTmCTK}ZiN-5 z!(OX18tI0alTVg&EEt&?Fyhz50QB^2k_fX+sC_4 z4||^;?`|JN@MSk@lqRiDhv25Fx zBt=VDs{ZpbtN!y+8vSH3guNh)wG^ul{h-O_RwsGUTiw?nA$5idj*?^}LN?fiP=G9l z`=~rezR=mlr{cmk4std@0cNtFOcc8>D2^ znxr>|26>|Re_8UzS1NLNH z`dDzsAt`@wGDWNECF?)zVbZUm&Y~*vvE-m5|bw(?iGFHPF zlu(xNMXj7fiH$SZy}ZcXXsQ52pb{2hJQ&v-;xaEfWSdF8 zyGn+@-#ttr9XcH|TESD124j6^3J?h>gI$YfJ$#E22GjQe4-FvGgDl9qGcut7FRv1h z&%i~cl_gHp`nT-}haC5vpHHk=RUb3xFv&4J-fNzhHb$Fg!~tA+b$-%P z8?XxeKnxQ=Ti#yK{Knpq?rV#2Bm9R7;?P%(l4Zm8gw?p#tJaQ0-e|qH@W&taKJNX? zzw9v(RofIr_6pOeHofit^y#?h4D_o3O^a${&CtF;Tx9^HMgD{$XNFMP7|QbQI;SVR z2v{lo!vl@F(`$oGz!bA8!WCxbbDv?SQF=RkUlr-Vb)|QrCN#Xo$nEsldomXLBw3)t z%ZpHr42YZ=VhS+e78|VTqJ*sQ+Nh{y7fGSyO&t$ae>;t#zjrDC{(AGEc}(h7259$&Mi( zUZQPo*$ntew8o$pw)Z95+#!E}X$OCX%*)-!OQ?%`l!dXmji{Jf^A{bhdDXkkB$bzX zvOwwTr`v0Gw*CUo#DmGPuN1NX2^`2WIGeR(PHu`YTAR`&>zOK22^T4Co`N%FP{}im zl%S3w(mIpR1ACEP%Y4Ep`|1-arWHO|+V13Ry6&jVRuSLm(3FuBER-fK;23EpfRtUS zGOXbMw64aOniA33cMpS&g-WVnb{?>Ve_t0EnP8&fgj#=SQFOYgfRww6j~os;JtDPg zohlNPiHZCCBkZ;pMDJ7$Ri*BipII!yk*jNv>+d0rI`=NI6>PZi+;-~r%g)qoP2TvZ z%di=kX-9QR7WI0H8YrlUcMcLXpP_dN5-`t4o7E+nH1wfbH?;rMi!8W;6LhONAQ56! zmA1-`9?!%NgwLtsCs+s=nQ*!%~dIqcEkrMJ@jzUcvlC4kSv!$oy9pxGo*OWRJSVgVU** zJZx1a6SrbFIAh8rd3ajvc57+3_mAInET~Joy+Odv=et`}{EG!vQ-^(APkw1Gr%u>n zi1Eep4NYpW2rI9x)jq8jW1{)Z!q}NXj>@9-vH7#qaH+ zRdrqyN`8}J=V^)Tz_W<*!ANnp|BZVcMlv=cYLJrPfD7+a#K|wU^ z7xrrJkDosM(SYCVmziyV-ym&GoDFke37yr`!&jv><@+B2R+NaK23NI_@___`4+PPfHWyLJ_G|2bW zspKI@<#Ed_V{qiNVcaufP@qF)2W>bjd|(uD3h7R5nw{)X1f?M=wHill(f0Ct5{5*o zti(dM_dsHZ=Y+NGwbBAHcQIhlStL8PpT@b@$b{-Tv+zZMUvQ^Ebtuvdhu_Y#+`;O2 zaX)fnwf#Ua+bTIm9a<{R0?E@1)W|~`T~Z*MoXszph`C9| zOcLv`=1}p|8JqX3JI>nx!62dYP&CL|0kwlBF*~fB#>IM8N3R$1o_4#}J7C>zL8^rc z$f>=;2DR3z(@T3}YlTF1R9vdjuJA^q0or=D`5EPCKf-$P7*6ZMrq4PbWo86$qe--S z&Mc@7!&syi0CTTmtwAGOK%mK!Rf4X`nzzG`rR$;x)5&<|G==X);p$hqi!oJNvq;$O%3f5{j>5MA|onOc2(0n)7Ddp+*}5?YvcTk|74eAs z7*JALv%;jaISQE0ts-Bo&`oiGfUzV(q29aCr(&~p3*#y6i*UZZD5^C>EKT&Bb%sLC zGZqrkDUWz#J=rZL2J7<{?Gjl&f7zmF=$EgMZ8nQ(TOV*5C1PQDSt0fX!Q~z+Qifxy zfY#OBFOTHntLV5+8Clx|F+*;? zsmtHBPfg!8@khJW(bh^|$s44<3S)kAH?Y8(Gpd_VOPKveT^W9vfP}`a63zFj(>r%R zihdOEfGAVa_{;ahP}?EJB3Lqan<^S_G!UcH9or6O4H%K^B6(D24`bj^Zz#j+JV}#1PP7tf{Z8UV0a6dMiJ5 z{R-ts7dpbtWHy+<%xX17yyYxvisT0Gj^I5S`I@YLu!h@X>eZePz7-jLj7e;MJz5_? z(0fx*yv(HWbo=zMy*ABQcn-%TBH>O8Xh*;XSTB*tGGK7h>wP9e>lc3h8-0J;1tsNM|nULIZ#W3VEu|i_+RD z28d2!xx7ma_W0KPJ;nxPzHKM{nRCFGz_^Uyp-9ds?cs>et19VHUKUwaUA@NhYlD0u z;d_TqZn^NACoJNY2g6cwLkWadzIAqECU#6;B?3e6_5^MUFp$m5FU0Gw`1W8 zF{}X?szOL>5sSu!v8c39lz${ieFgaEEnS; zfVa7c4h<22$C7g%f#;E{-a;mY=+#DuGLW#W&<_yEp~9H{wHisOU)5K1rhxs?1$yl^ zy4o38sPNKb^zwyPwk1R&BwKzfa`uIxkRZfUtaGyBa&9nV7HQdCZQJI?Xl95XMSFQ| z4I+Zy3B~21dkL)x3d+2BGevWZgUSpStA^f>YnSynJ7_7*6OaTrcj9--b=Ubny=!~n&PdrMvB-?msSrX~lK4$uVG zey56wK}rOlzun{b)y;PMbu<5avU^MSCzTu&6=H4!un)S2nr<$~>-C(FB{OlaC;myy zK>W{UcJ)8*?xl!Fba$mFx5pTkfS7>hom@R^88g#_dORCSzIK)d3S>w`luMnC;FK`I zI)kw=>lcuPvipg11Qw|RCUx!>bZ~#U>RgOyQ!x+PGMNW(w9Z+Y&Z#gtqz6Y&kvM#S zn{Bk^f}`!kba0MRzAe8&eq9?GrXQ!dIOWA3q#@NE37BmOMz(5n2 zq2I9_RSmtqJSGrGQZ%`8jWObFFT`boPWt+5g{l+OQC)YL-2&j2-!iPJ!!S0lk!hU+ znV=`7DtP_%48mOaz;mni5-@2Xd9(rQt&3VOY1qlCx9PP?;10~j6NP#@q74PvyopyU zq#zyypmHUXc^4_x-jS=Psrj`)qSTO{xPyBFvt_XRR zy1%LdN7{W(8Y+S%-sSvW=jP!(s{fRcV$-FyZ(iQB2;#_52|`4FDxmOX+mp&?5$Y(* z(aP&8QLxqonE^)AYq;I4=Wp?$1SB91^a+6Yu2OQEeyTDNY}KD<0-sc6IGD;}MFa*g z5)H*d)@zvvGdfa%x1Q&z7oEm%B5u^j2dONQDh>OWFf!Uau)ShKr$A(pm{X>3Sl9k++@+C9VSC z**qQG@bYaNmR+#r?674Jm(E8QNw)HxgZu*>TQCHoKSvW;`zOm%yoADSBTx(b{x^w! z^uf42Y{#gDhRFx9V-$KKL4_xYH0x)FsOF2E7`y5ATFV6j89g;CU@iT(O|-C;=zHJDaL6tZ)-5_kPW{?8Ko~*71?A#mK_)n63bsYR+Kd0#L!!R= zK-l{z^XAk(>kiu&F1wi#H)?J^=^$sC;1vlO@6Zq?C}9m$Ol@q$bbCcsRHdH31sxc$ z*E~CS8k7K8hKSBNYu$`HtY;GL8fi$y$0lEbH&6>km*y5?wR`jiJw$nQ*B-1G()4^n zviXzvk?fIx9mv<9=Rt7OGcaF?T-fqf7U8SztneyhEMyxGAcFi-3?DK9ppt~7VN1k5 zEUDPqN*i6<$agK%r(>`Se3m`e3Dg!d00j?*txef#LAJ-OV1WV@@fjY{q!$b_(I1Wq z1p=0`6jm7nFna?$0E~6GQqiFTo#Om$D5IW6a2vLuAa>3jqU;E}3PH4fjF2Cj(0<$k zP(r6pTj=d!3vK-N&F4NSrh2|w_P#6LGnEVgw0}%V{c)693!1m#+G_Jvry9@w2J?@rRm=V2>X`87qT&DNWQQT zhVC&ST;Cl-j5~V^hC*JCy2EIGEBJ*-x%&L&=?0bZZvV&jqrJ`!KnKb$uL3bQHFj=> zww{qlt&O)`*G(+xpxd)RuSeA>8U~!{;xv^{gcBsaRw>2Ty~&txnRQ&VucUO;4#1QB9M{&F|;R^R@>{O-6lXsI}u3WJKBk`KoP_Jq8%rYuF#It=vUBlPu3{y z2$^H3c$4M$T?+OM0GCadm|YbHYY~X(B+*XbV7>KFB;0S*F-V&WZ5x40!`TX`5~V-( zQIfIsDGa0N2>oyWhW3L1_Px@G)y2skK&Z+s=xvl-ke`A9TWpoZ{k1w18<1fT6&d7( zz`&H>M_9m6U>c_>;L1o{V~zUUU44#Fl5>l$Tmz&aEPW-bx6iL9@`KINK(y$EA}GP+!F=!_{b;&Z8rg(icR$so(A!x@AMux22W-MoV z1QhnpYo$=l^u^h#`=*#TnP>OJ!9$q!(fMTaws&5JUeI}M(7m05<=MRRdng&veN*JQ zc7BoVJUTC`g;3{JupwCPyN>2$y<;h0V4YuFvA&uNLRHEKI8Oo6WvOKGQe0CF(;79^ zds8#W4{^VCv7WYxV{FBG2qrKrS_4Ezwgn#T1RRL}uGdRC zXQF2z!SGgU)&i@uFEHJP{@JkS(bggdbb+@fvlNLEojtNhq1F>^Oc%(!fY2N-LFQwW zx3fRnK0Nf^Jzb&N{r%+W=4Q{&rTZJFS2w?KMp)TBL`wP?D`PRUrCe4jmQ{z3{mhi^Xtk5C!<-^1_>ON-{2JG+Ylc*8cr{T~- zC z`S|i|dP4W|d+;NZN^Z<+)q%(@DM3|vd#P5+oQ z!f;oGz!W2JpQ7fEz=AN&#?S+n^VQ8(J%sxvpSE8=g#FO9g;W3x?usOwr|kfXgkd-1;my3uYECb zH9U(-mnS55_C);u(vf%SP~6abdtey3OMEITv*w~va?UAv0hO1%Bgm8y5c@wKeCRFE1LSRb;Zt)rS1|25wrKuD zL3p@LZ4=n;DEnuT^#aCMdu#^xCcFu4J)P;Pt(xabb9%8Dmb=qrNnI0 z0|mzMKr1&e8==QxN3{X+0dFjB4g=vj<+RnR2@~8b!i6)uh0NXA#&b;NOAKx2C~{AI znXK36rlX;ksmr1QI2XDvlF%P-b`}!-5#$SQCYfvpt z5${1-k!b&qRG0oagQFV#bH;{g{5fO8Le@Pt1y;UbV5MtH&ls*aOxKlRb3DlWh!yHL zB)~(H01rAOK*FgkYQ#DTL?~~cC9iw)UTn|FEY@QrJ{KWA@yM~ zs9!+%9G+F#O#B+d>hL*JV9Wy1{tm(omy4;xG2NXAQ4Nsjr0~`$ z+s%R09>kF9lPA zk;tH>wV?VSN%f~01xpsv_h^kbK+Fx6!IH~VCPmmzC+D+innEpm7qt-Ew8SLXq>0vT z`aO^GYF^Z?C6UX`g!z4WS5ggC!bR5(DpJGP`P1g* zWnqi46NrPAoL6(~6#7Qlu3;xkz9=aosIK9A9n1<-v6~Cbl+tyW9|sSEEXM8&u2M*@ z2GM3z2EMA`jG8r0@6Ftz^Hc~6Xu)JKEhRjNnb*#8Fo;xw0A}B8$8D>yo5>=aF(qW* zH*XZonRJN9n7#=lDvE`wPo;5uuy~UbRg3LIs_P5|@lZYm!8$B9iFaaC{))uWq+s(J zEVo~-zHTNrR}Y_@eC-^FYL1WFFV7!d1t{*kEmsTjn5!g7w~5V`lEh^TjZeJKL%3AD zXPhO%G)D;0YxcEM#>D{d#_K6uGO)mi@Ej$;rUHYi1Npv72h+YwLk9pO@Ot?@3EbuM z5+H}4$ns1UsN}z#L&o52UNvnX0aJl0a?~fW6~4%`xA3SZ8*tjOpvs{aMk#~5Xd zWt+Za`5B8RYC4eoQOEf4U?K~78K38maU>vwLr_X~xstrcL-G)if8MQ7lYl)UM%$aK z-w-3&Su7G=8>|i&9RXuh3;JzyIU#=6nvei%>ZVW1&M(dM$(MRt_p)wo5g~@7FFW9w zXBOJ5>upkT;PpyL8?nbGAL9#+?(AoCBnGM`C*0NJy?zSq>ccCT9E)+B#(nGhsgq+tjW86RGKeSEs#F0UT9o8H|o5UTF4zHGC} zi|%eV76Osw7(f0>!AKPq0;V&DJgl z5aeiXH*P9x>87JXtj2tU?*$c@>DOiXIn|1-73E5mVmk+y3(Iy6j}o&cI6wFH@hz+4 z_!Yr%ch{dkZa&`K-l|{x4|~^ld;e4YFZmfNEc4&L>VvQMcOT(s+GlD6v|IcN&g#AN<{Ixa&P zL`R-kioz_8qTi%Ja1rHRKxPwiD}!ob2*?z7il$ixey=Vaj)TBbemXdaimsmKRU8Am zruM)~^XI2xH}cihD=rRIY!PH@`6kzm(xD`al-G7W?D_JYyL2whx3W(c>_H(0LPXdM z*OmwX8w;yLHZuXn?b;Bqdb~nd6j!{S_7Q;mA+JIVf=hh?E3QhOZoZ(R>&Gu&RpGSv zXVyRM|CygjP6SIO-G^tA7kWSZ=fQuW{%Q582I52M*>LFANB6r&dkB7WJnr5r-JLa8 zA*i=-rM3 zu$Ufj$qSUxgnB(AhiLdV6wi|nFzFMgH1Q0z?wXL8sA&6+pch@2GvSoguw867M-q0e zx~%HY-Ioi+$ExqD{@pTyg9|sGzn|>74BN`C%bMD*0c_y7>$>JQ+daQsmodLxmo>j# z12{ii51V7%3zSoJT2}BAl*zS$kmoBJr(u=UNfiVl=L{rLB4bIu^WNaGDK7kBQpO3L6_mENva?5=K};jz+K_KRljoZ-0IKgoOEZIXawrEkHzJ5@!&nZ$JK)_>@Z* znm+CaE~c6}6bZ!COBHm|AdhS@{{vIV;5ugROSUXL9$T2IPoTjlIS#^|s?)@f9*DBI zyVu3a8LlfcFbzSt8=#>TBsiR(l`E)P?%|$%WAcWoA0akimTN|M9)4(O5=lq7P7wV! zGKu0P^#K&WvUPpiRZG{z5qH7`*wmI~TYs5#$1W^iicDR&>2}6>y+l+1Y~KeO2G)dn z6MO%F?(hh?*Ci%76T2(Q>dEdQ%4iptz#p?lG3)@wV-)@#jraLSVuih+^-0&C9xgv$ zLu-qUmgUPwyDy_3X5gJw*kD8oL{On(icUE;xW-NxeAkSD_Cc*QATg3>S{sA6QQW}; z_ov909-&_2_&q2@dxPoGa$_;srhEL`4u6;WYB?sG$={fALcQd5*)XAvitXg6y*7w7w2R{ct+tbP)Irq_t0o@Wc?H!@PLnYRGJTof znBean&Nu8??rW%19ht5qiLvs{W)F11*cmJhN8jm=Rw-%__gLX4C{Hz6Yys5|$v$x8 zKr-+-RCL*eY|jJ#j=j&jthK}dnt+8Q5wy%W+UAjIgm|#f#C)x8olbF?jhQPpQ}X`- zH#rB$stbu5v8nhiPpTl##?46Wq^!^^w_T%ZC~AN78%DWG*Fs2z^|q-cHv+S zzV#MA_Fh~pr4zh#;ki=4z@j1-s(H4N7EMec%XG`OES0#{>K^z*v2QI*3G}zZFDt+7?3YqU&v1 zPRbKSut}5&6r)aE6BryKof}F6{x@k0fsD2QlkeBlZ(;v{=b-0NP6)`%;ro~qq}dZ4 zT2O{(cZU|qLokodr>j5&C!&PAB)zFlVmD|me}edS^XuK{^F16AAMbzr`k2p(HzS+p zxryD^4o9E+*=Xj8O|&ddpz_0os(b`C`cr#Q^$KtdgsrddmD~B2aPM$52n`g}A0*)L`sZG3i!SSO~rCHIq zL&SjeBmHDJK?jvsWfG1gJ5nQ8gWeqhrw+hCo8cU>Js^nt{3GbK7Y?{SV)5|#|J^$H zm9Agj-CqxG&@ys+O&)EPrO&3THL4L>wl?qw*4;5tbI$L-$@(meS}%1w-t=@13sa=PRs; zLqT7VSjup*J+tNh0B1(~doY?dzlR-Yd=HJkL{4jf7yYMLRd9MP3O;WgByW@gyL{id{B@w%b~z zpQy=Y?s6tZf_s9i6$g8jFQ+t^9$}kyoi=bAY4bfx@zX51*>4;7DP6=d$iP+8ik((E zsRUOfL7L5m6!n(HvyWH?-APWFCM4lj08OIP@apFC&+HIQGVqzLAc{A{4olaJrlui< zrW2#0T}^8?T$FB;#5Q->l_@+HSoiJrbIH`nHy-F3YU+qo1`zI>Pv5F2bzAqfMt1>} z7C`PJu;6i8Rg&r7+HK)P{`gS2acwoT1z6f@wrH2e>Ct$M!CW-UkE2RAdM#2zZrSSj zd|^#EiCW>#>Cr$4qt$0`=1?>CSuzm+CAg*6fbvApYkHx}ZJonLTd9w(nSghmjf1TP zU+0p9aUw>~oBb~<{T=fk|WxlY~W zlQ!Q|aFy8{giWIvLW5hnlD0kUnO;ydpgZ9R!xC{UE~B8*>vyUj(XIefqll5}99hA= zcJ(8Zl5q~_q36ak`zdqQqO;o27xvTWD6X1^Uiy%d!9ie|%T&}^3hA!tyjj5lgozE| z3eoHwRv{7P`tO#zHJe-8?u#2Sa)(5R@P$fiOY}rK?3eP{jLSI@fWLINsRaH?iG{fd z_V{fjJ)h4}=Xw~i^~V;G20fXDf2Qa3V^g4%4O3tIxx>b`-!jAk$RB zC@<6eG!(_=r_j%oY0~^O@^G4;hFaPDl!btxv8DQ9HiwtRPa_wod571yvDH0P#!1|crTLEAbl)g?O>}<`OBEY6p>Mk(sE*aVw>z9DwEqDy zQ7x8ge^I-Vo)Jvd<8uK}0SI;4E?^NW%3ISMxA--2UgI~YKL9s1s>W0FfLrmWi^5>x zg-ItEGAr9YG}YiO?7aYEYREzX&~mO5A=Y_J^y`NArL`_05g0bC4zoq6GNVrs$Y7** z;S5Uuj6L~FfT0h$LO}R$DC!e%(=mYQvELaozouyhfpaYj`I$`QXG=qMMX#+tEZ-GH z3CM^h%D~))nqzP^s2W>Hc4<=r{t)f^VF3^S9thjN{L44|ZZ_f#iY`Q-m~jtg)b|BN z$S8+YCGaT54?y!Q%n9S{*w+;Kso@0+O_Kp6>Eul|kGLC#isnNxj6Y8FOr{GxqkcQ- z8tEXxNs!#d5Dfg&L8jMDGxTJFChJjqz{Bw3TP0gG0Uk+wy_W%`J_qCR^dAuDMo(8a zS@p)9ZfHsr23|1vw3xqy{P_vo3ybJi*2zY#bO~;9a@pES?qebijpWXJ(>YRDR}hjj znaSi19*_Wot?a29QI~emNNCJfjZ{zT^_*!AM6dwMe-%PFF$oz^&HfN6;v`q$l4^he zd9Z;e2&BVjNuU*g(txIZH01v2g;C-vM5I91WI{1Lp8`uQg6OzYAg&5eh82xTj{O#r zg@99}$o=Ap3h;x{nQD?tzs&!|4{(UgLvjoov-Q;Zw(de$`hH2z4Z_zFq{RHd6?%+t&yoFXVpD?^10wU^*)wAww z+OG>q#bmuk185Aud|oyJ2UD!<|y|la*A{si> zRjr*;YvEY6Mv~VcCu%Z<%^YP(*ZcUD(5wFdL0$a}bNR{VM?~Ai3X7M|RM=4)&HzpL zUYdu}vwH7PJ1EPcD!|jj;AVUOxS6c-e&K+N*8?Ugo3x#4AHe+QASW6u3> z`|T04<<{1GjDErWj3SbwvxDd4%cWLMD!5i6sV0%vL?#-_S|Vfsg&Wk^x?s5q4i{oX zVj%QpOH*0hT>WF4l6QmDOOz8{Crde{`*w1kJ=KvL+O`|X%exORnRW)s&U!Y^muiYC zZe?X}UmP{c-bymA`dHoLYUP*tqQ+5y5I_)GH#Ip>6RrrCMO*?ibrq7bIJ5aLjP^bWqP z&tNT_!(bfG6lDlv3$U${CH*e_gC6?Sxx^0x$-CDjP$FsdX93SWD&413J&I_GVQz6! zjtbD?ujcqhEcL+@5HAFP^p|*eFiju zf|SSt0vwGRTRjEZA7Mo_VeAFDrx2Zu7XXD-ry#zG_&(e~NRb;0+s-|~1f@?M;HXg; z=CJ>B35FO z4htkPvg74?y~-+_R2CgdV?ZGWFlTT;^soTtLZSlLBTT_FW_xf85rCX8+6aR&QapfB zdD#P8!h_A9kdxCJWuSY$ck|wr1kCS|9?J%rlUf}T8;p@zU@KOLABXP)|C+bcIDrq7 zhfr^sLgHT4K<@(XEqoCl{sD0$CP@$1v$$!yYSu}w9XG+1 zfg8Al>CUy%;%TC*(FJo-6$au=jS|9?0Nt_bg2qZ`ZI!ooY>!V_964{O^zq)t>;1RX z038oPvdKIct7)Y#(P+fymly}8KG>`y$&aMnMC5V?UW4*At%QxzfYK*+(E|T~H zjt26?01w1o=Z~XgCgt6!)8_s5{Nu3|#iPETbbnd@y`vqjn zF}jJHpU~Hf$hQFZ>P90+3JN>7*V}6$cf&v!TZ`En1JZ-7`cnJ34uVb;>6|Z|w|141 z2RJB_YVNML_j)c|uQ`g#R|hHRZ2smA`;R6m$Umq@vMf%{Hb>w1x7UAF2xtKe5xreV zrZzX~5EV5+Q0+<`T7DP}-k-I#p`aJq6gQd0E~lMq4l;XucQ~B_0tbX~L@TDUgz09S zTT)4B`~m^z+;RqM7~`1m`F?ABGq*XMhzV=jV}i4s@|zTtHu#pE0ydhA;hx z;j3a$sTP!i#XRPNqsyZhdFJYIGG?@Pkqejfj9UGu%fnD*{cT0_aiFod8cHtXT zxo-%h3hV&n{Ia8vZ+_%9=Mc}bWTk_)s;u$ALqwS~`(ZVnu1dEgw3$?Ou>c=XXf*n_ zv<@i9xUgn%_s{LUMP;{$xy=(UBop!Lg+6;7g+6IQO!Z(<2MuaH^L=UCL@Bh&O6L}Y zPDUl4EGQz5eKaqbOfWa@2)GQ{sFh59QG^XT*hS|;-+S5K5|Lqy!~L+cylc(C#kZCr zAwr01Q^-yU`>%s3<|5>D@XMJ>;&+q@@C44M_5^T}}KL3cI*bAD{}V8F+>HzyZe7$G1)AH@7m-@_L3;l z_fRxQLb+t;b`GEv3(&ZRQ;(a*5sR?toN0(>Nm@jV5QwI?pC8>-Jh?*2j%%Y0xs3{0 z6FGrMz)HYp;|nX<&}ORGW6^Jk{x{pJdlY&7b&v08ts2HB*xkz^x)LP|Z`gM)iuN0G zdgZ7L;{|^VqGz;`e~3_x02Z7%Px6BH71=wn}0&tkiDykB%_nzTY_$=MRh;`-ErxqGFrEBP$Gi+Gd+hX$7RhtH1IWT zYiPHUVuxafB#@Y9-2mzW0^x>{-(#^aIh5F50e2kmWkXm+V;*0m(bYJ%$!As_kqt{A zYVXacB`m)fA%~S^GG9>GKOl)k*h7;1!U z4$!1vaO_DEw6a*txx%se~)@qMRT$+HWgk+zZ(1X)U*oc^?+(s+-P~A}&iam9E z(k?u1!=jXftXwp~NBW*8Z=xchm1s_;uKFMaG67)3RZkIQ$6(L>b-W_*)ZSQ5zHC|m zq?$6QGipPFj?j4t{e6eZj>HpML&FRp;6jv&)b-Y=5Wn2il(OXa(6YtZ@|;M`d|eDo#qBe}U@R|ds=K<@6rx7hIPsBC4*NRj+3B;ZeE2A+N(l7uk)A{*+dZoRqy~Ez=8*I3+jA-|+>)~MPx*j&a zuImx#U%c)l*-x%>$YSRtpn6XhJEwsL4ig-FGcvZ5(S^-u-QII;IS!HASEX*c{FV_g zoVRSJ9IN`(X9#CK+t**6h`;jFXqe-DL%K%9c642j&FH#Li>%-_>o%aNV<;15WI*s=9 z@)`!ItJ`Y`mI@TnqtHd9bsFGaB!)?A_5qFir_y*U7?;s@FsU29Zc{bLY*(uxOYGur zu8Fy*=ulF#UVuHHEf5TWfs1{$G?}O<0MaM zr(ltrzc2G7Yz_~Avqcz4WsQ1qR5nHt5j43l1D4!5FC+=$o(4wbbg>7%>pj`!cq@~@ zy#D9T6ic(~L$Ceg&CMTUf0W;qne+@@T^CH-ZSHBUb8 z$3q*U?+yMOEt-I45F!x>$NHU+3s(ykh!5`LEAN}PVx1wEgrUq+89js0oQ=UEMP&%u z%ki5FWKL3Ae6v0UrQts(HTLp97-R_Qp`gXMZq33DNE19|h&5&{zBc?_!d1U)N)9_x44l8J+;G@uZY`T7ln+SnN% zsxuXo>cO^ysE`HGcct83r?}s@`k}puA7elQMOU^(8#|GU?tFP~=EJu=I;7u@Bbnr*F=0NkY zXR?0P;-rGa=50JBRz2Ac^PX(zI6y+gi>+&b7@-v;#M!KML{#c+6K8j~D8V}TdjC1B z2-O4|`{xxnuz?}wCBjNIF1HHq$eF0B_GHL+z>`(}>!+*RTQrf(jvwHMA1crE!ORC{ zb15?01oSF#Ryrff+(LCyjq}xNAHPCw{daj7uQ#i^Un1Nkzr^-I!1Ks=^+0n}V10jg z^LX_udO+O%x=mPL+3|Gp)_EA#VHkbNE9JhXp{YP}iQ@=|4KdjT%5>20xrUPw!y@DM z_G@um5iAFSiS1c#KW^b;rxz{RUTUA&B*(+y0&6xmTkmz>a&qz{e1wT|--$w~;}7J2 zhtgSr8+F(Rs_A$L;Uu2>{G$W17dRnZg3Aa=fpkH;l-Is|c6aynNd`}>+&Yu#Sf)c0V5o$K7SHG{sd=pgMV&JI$+6aZtt7zBh6Z7N=qBu_*4WBrfzVp z!P#ZTnfWXO=Nt=nIMbo$NORlOZ9BzS?+)Ac%oZ?cX0ljX3$sD^Ze}8dnNiykm#mA# zpfgX#Ol_GlKeK4?K&r#{SAS|$tApnXdJ!O^mJ?v-7->9-Rsmf_z5#mB;#(?-ADs(| zmeA8VYZ6!xntCQ$k5Io?y0G@74#(&rDxQ!r=ztw^9ovB3cH z$`&C57^R_lOhT!e7{j+2v6UPPfx_HPUcu>Q)xrviA5aVGF+izzm0gmaZsf_!w=<12 zC)tEc{MfG73%QfC`ft?F20|dJtwyY7gCkbCB$+x#L$b+TsQU&P8Px6xmL9b< z$#XBZFJe84-4lT@TlryYAZBKPe8KJ0BzvzdkT0Yi6#49j>>5}v#i@x; zi7TfYDPFg$@|_mkh{z46LD*}z!ZIndqek5H!v?Hweu2iGvN%IeoTJb%xDBkL? zkgEBot9DTMR9a5jqg+X8+)EGI<)$aM0S z`D6rvZ9L3`Z7p6(q4e!2z?jVvqqLqR*wMljh*#kWfdl(ZZ`Km&EJ)EBd>FhL?;Rcd zp?-V~rN+?^U!x-f{TF~W=V*Lz^>I7BMd-a`hXW(0vZgLS%-?r-d`W{u8$1EIJA=P+ zLBHZ8sk~($Z8k-^kLH?V#Q3nmWPvNF^(HS$;b6gyqfGQ9RU?J_rmTpdkV1;sr#?=yO*i7WYu2jM%L!6B0?GVdJU#G{ z2zyGM9vUz~ilG~o`UN-L70vJQ)*y8Nb#w?|KbHcAAFvOa7Gse;CA<;QYzUvJM*K9B z>&3gFJdt4H{PyuHu|oe{pulSo({NR_uY^OSeI;BW?JMD!YF{~W#k_qbDp9qsL^Z1R zm8eM7z7kcb+E=17Rr?BzN#@2cTx}VFq&VHMwvT`1k1l)Rz%h%1tDh~92q7iTrO4yJ4`8l7?OiUm6zC3pW$(Zq9de|^p5dCZZa)917;v?)ss!Cz)ud)g%_8cnI=oG!b(NhSo zhdsP$j|KbIV?1Sd?~kAU$Wy1@(yG-_?+QU#FWK4nQjV`$Jq~;piEdD%Qro{E5bC?7W$soE}-SP}=@kQD49Im#Srwhk{_+MB- zl2qXA+sTzS2`ca!d5>00&&@Rb~`wY99X%flQ}(#?^~8fK}cos z_sCmNndZ{12n3J1scN+|9C1U?5ZP8oNQ59Pq2xsbpB^5-%Ho(Fj?U%a{OvyfNMNuR z4Hu_{7>tY$Hg1#lxbek&(4ajk}9v(M-Wh;j(!1bj<5l<3C$1^Vw8)onXUL0UN z2(_d}=V2yuvl2yCI?tz*xyW5tZVR3Ro}i)3si65Tqc_Om$DlMFZ|mD#RZN-;xIwM> zS5r>x)XFlhz@6fcsVIx(d_9MCc(L~azGjJ;uxY0dWM4M!O|paaG-DAuLJ_z$9mb0t zXTjwYvLd7zrCR^edwG2H`snZ&C3yP%S1*sZKOVlC)NLhRiRg5IIB2pOSW39bAQa`A zz`>o3U_j+Yh_rP}Ya2B&ANsn16U4771K0Ne1f^o$R)wGmhE+12sVCvJjVJkiyxB85 z(${y|0gx0XF?EGdJO8uWTZHKvKf0@A<}x}MKKEeFGQ*r79| z{;SXj+=*2&;NJMh-tlPUClWO%nPU*UUE2bRE8qt8whPNKT^$0U{$aqj%B`$R@eK!% zg-y~THZR`|7a4m#)Luj^ly}o>iA~NDK*}=OR5J|NW4tI?rv)M)EHzpW`X$=~A;Y4f zP#myoniOxzMqUE03H>@hQN1vG9WZO2y)esG zv2?k*v0Da9+N+Mxctt(I=ddHe2T0~hLb2l~9!;jNcHm*R)(wfGppbH&dg-beMN z<`-~bQ2d6%l_AcEaHI?k`t17YputTO^r6+(3qVMf`b`X!x!*Ttpp3U+0Qm)uD-cc7`oiQ?;RcXv5jWU=_4{7L_f(}6^|lxGU;M^KFD2_rr%__ zez{tsiAyEBRQ^$E><_ zMk0N4xo8yjV?J^bHLXUcXDT<5DlVZxd-(#?QN*}Yo$AY6o345b^q&j^yI#}9xlR(StPgksz|a+ zvms+9YC%ghu|~qG%7o=lC&rVTj6+moLvfYlskCSl)qIQ8ZAC6uMEaE`C6h_mbtlL` z7{7;w9z_vp8gL(wmpLtg2#BCB%Ioa61{oW5g&@o}G0Ld{>SJ+F;qF0nUtCLw1z5yQ zf-Z-L+WWd7vVCiLB%oNW1nhu?08H-De1!^_@roq&^iBRR&T*~sWZ zdNstfn07i%PB~W9Cf`yhB)0Y8?nU(S7t7Y%W8kC*1*;%HAHoc+GjIam-8{)3+&jo4 z9g?hKyesy|!Ws)Qqj{o8fvT|i7#0hVF3AO?;^MKy*2y@bI_>rM7na4zf6k-v>1Kep z)@-2pIfl7ua_$vnS|Jg}#VD+$waF}Gd^tF7eM*`*x2#YcTtO(S&tINy9$SFGC*d(% z^5Ev`{>$p==N0N9-CobG9{vsy4a#aRueufyCJ=D5vyOG^hSOm=hCY&u04G`087|0t;` z*eF1y$O=aFvFKs=CZQ#SW<)2c%W^&P7CRt7_cB8vI$y);>Mi?;{*m)7NpyJjxCTrf|8_A z+7ymr|6~J-m%v?CFm=g7sGD8FbX>?gxq7-@V!O5X&{6MGcxM2Ez=gA89pk~t@?8l4 zrO($4OrFl4Lyi%6r>$2Y##uI<{4 zXYlL|(49|g;MgLet-sW&TX=MLEo&+P?^`*9?$uU`V(7>09l(-R)^sgR$ zXZ4GYA#vtkQGhO))$R5X*`GK_+N0mS12MsTHprV5Tv-lHw~bvu6^Wav{#I>XJP<%L zlvoy_UtOXE!=RWI2Qy8ByQ&`#zY83;Jy|m z1se1_>IYg=1r*9$L&87#X^q_5@oOx~XTE5CBGPH&+Cl3sAjFqIfLbF{E5T;ygV!H@x_wX+-aSg>e*oXUwYoQv zOwLBn%SkH2Qk@c42u34~Xz_{Vk|v*TQQ$08q|^#o%nCeeGYI7`pix9Z7=Q>z+7ZUf zCZ)j^I&U5HSr-rfx>Ne=UM9KG=VN4xTP`^SNmnN;5KY!)MRZCI$%z=!u1Y)i`V$!7 zr@Nc$&FR(6FO#QosR)WW`UVYTvc+ZxkNZ;CAnY=5&0J@_oD>7*%*RquJOYuO?i z+LhT&+17(i^T;O0XBuLWIRR~6SVAGcYQq*SXPKdw8vhCHM_Vxua zlw3Ch^kS~wo&1osw=lMDB$Dm2X9cm!$hC~QD5=%7<{lo7&LX2jWFu{UV3p6@iIT+( zt(U{aTQ}prbm{R8Ix25yJqw8-PEVq^F=zaJqu|-Vsd$LsfPQ+G2AScEixm>9I})6$ zvQQT=0<9WY+Nbp2Ff9NGO9eU8>Ii_91{K&DPsA2Np>LP8!zsK3YvYGup_#i8HgKG-LYHqVSBbp%naGxb z9e-W0WQc6WW2uq=8q%=F9&7qmy{J3bjt4PxV?{uwb35z+N$2X@Il}kbnw+1Ip5k_% zpb;?*F>6rz#d<+hq}LEuY!Hxfef9GOC4Br$I){j~QGqIuhnTJd3=4t!LAWI@2Bh_1 zgb0Yh&fHN2`+@0`gkD(~8+Bakx6<>puSg(XZny>{BvJoE2gSO~+BK#USz+>!Uo=F< zcuW#p6o0y4WN;#Pj%X0cg!nLVN~N~gjkZ#qZbf3;tmvQ9okQ%S(H&ZYzY{wj506?} zIMf!olOBPay1yNQ+A>&5tQ4DR!U|)I;kw7)Q9NHJL)(yp5vodhR|OPkfj$!0Yk&d-tO z27!8?e}u{Q0*g&TQ`7i|l50Mf?~Rn1Fak-RH8{5RS%YK0pEWp6;aP*@Y@RhZ4(wTj z;~1YcIKo(;H8{e2pEVe^G_yfJZ}jgv!xSID#iB z%A9a93xvXd-LSozF`D_kJ6JO)zSs@otJ=Hh)RtrksJ8j)fT+u|S^=RFP znf^^d^EGc{lf$;`G-g8N+)z5j;v-N+l)V1nAWav3yk}G&qYGqovHocTlf&6~Uw_4w z{1w=ehCDs~rY_7I$b~`qx`r?SgTml?bk>7pjEb(=QB+x6^f*)RgP9d4woEa+Mh=bv z9x}u&w^!GfSDzmxI`W2XVTfyY%Myyn)%xnK?`F_A?|*9@2)}_X6gTHL&dx9sq+f@9 zE#OAu7=l6gl0AUAx-B3O7-9xIySni^rJkdcCv3^>nF}u4-=opIrmYkkz*K>R4N*QB zw*m^2_QxU+6je_~j9Fd3*#t7B5e#hfQ<02=JwX|uB;%!V+z`S$?z3nm8igj#0I$h{ zRl5eL3Vkl^=&%QQ^Nc~luorCJT})Ask1I;Y|Z`BVVNCi^$NOT@;a@Y>E(qK65?xnJaO6O*$207y0`Dsp5m^ z-`;*OIz-FSQ(gi@`Pqn^IQ5HZOe7&3S8YwMym#lNY7np4W6?az&_$5fdj`)Cf??-_ z(Z2l?Iggj1=X?aLp3Cjc-IeuSxcGVv&zlQ-J4a5xeA!-q=HSeFQ2CJ~dm>GoX&8b% zx8%3w3UN@VOXsK%0fX=q!9qV~t&)D+)AB_(xT}^~&^th*DBatm;xnC904r4CqCvmC zAw}|#q)GCK8#J`4w=s3j;bRR!b-;d0?i~5H=JiB4F=x^_5`l;Gz14^ZGeO2_P;l1NfF{l`ozQy z(z6qK2~}Q-Nj`bZ6Yqyi66_Yk6Gc1}Ik?P#1)~61Rgmq?^mcK7_iIGKwt{fux^_}I z);JraER2V6w7|(*lkUD(%S$W`af+1EapXN%uuCEkeZ-bpu^fz0K4b&CV@SNI9Dj*l z2$rI8bIr$vm!;>B8x!)ZBgJ&sr{g6EX#k*jF$AbuVaiq|gT5YYQ&c50ar` z$w!D?lwWC;hf(!i8Wm3jSaAK`9<)|3|24g`^gmi`Z@2$ZgDf7sJ3xUeHYU-( zU+ZHoIF;Y~wWI&Duf6iGxna_R3Cg*vVEL|5Na>F@JS8c_Fqa~KDytOaG9auWK-d

AN zut`ASQG#Twze;p24uVuK^szjVPReegGs@GzC9__iPXBh8@Ho!GkR98p`*~7K@tMGP zuqe*WVkI^!APr)>--tl$lS&9l?8U$TyGAPDy5n#U`!8RFb*F86(-VWf9Vx+ z9~`Nk{T+hd@BL2y;OO@+2%^~Uea>+*T|Rp`{o@x9JzK6bfI-W3CYrmLb=7n9%PhvT z0><8i{F_QL)&^AlT$*OiPCr+nd1*FQxZhB>;JbWnzRT!m`P!?@P2Y?ad@2X~Nbl<1 zcIqE{Umr+06UH2kZzr%Lc)AwIMLp@KcG-lds1oLrI9vx+?_Uv z+)Q9UZ8T7p=4Tg*L9Jd2^XqTHAT_ua0N?-F;ru(}4xTse)$@kE_!*$*u0Bsc+;k1ENq#8T%`5#{_3ll);>R6{oKZc=AaY_C;G0CpOSrC;8U`CtQzq1@Bq+#UZ+P>MpdZGm87piBjdwds&1_GY}? z_y2;-^crAUhj=+)%)!((WDPw69p@c%J4d~G)+o!%{ocI{4Zk-El=~U;I(p71m|dZ7 zhIzVcjV!T@R#r4&$jE?nVUOaxxx4*!Pk%n&KDrhpNy)pCwIn4VnnX%s0&)#-{!Pe5 z3Y>lr90cP=qjr|wFjko0#nAL2D$h2*&D>Pw*M43#VElay2ol!Id zT`O55bNyyATMY|Rsi7D941NB3b$xxm`GVY<{p;<+txvjNC0wexCUle&u&=0F2bXcQO1*2=dRI7{3yp`)7aU_iq_C5}x z$l=M*D#h;@`0P7!Aa7`*z>Yf(thnX#20r_asL%MkJHFli_Pl{ee|X+Nm=$qoZu1N? z2z|#S$Kd8kU<1z($1v(D1ESY?DKQuMWNJg566cm6au)nl69}VgDe+m%*m=6SRV1%*f8~Cly-q zS3kE7HTImY8|#@113_sM;Ab#F{?+$lLg5*K!FVyoFY0MaKa3Yb{pt-+--kkfMz`0y z+s*m*+oJ>Q&T+%1`+IhQh+Vt7{d*6UO_yqnTADx2=dr;GOhyW1%3G)dw{#lHqbvBm z;Z1|jbb^!4k>DldQUljoB++>>gHSLA6M0I?dvU0(tcvs&yqPNA_JZXPQ)N~;teI7i2bGVKb%lm|7C)4;Y<@oefRGnlK+pr7Q0+9*kfRpE7kg652tz~o? zr-*+q?X-*$t|B2FyI7|G-~e?PWXNKXJn#pZm(QS(;dRO^L0-jALF6Mo4|`I?cu%f}X)6BrH;i%B(wzWG>yv=Zzbvp00oemmaXABDO!<1hY+kV6UnY*BSZs0j+lG)s<@3mLE`brRyTAxCEp! zaNs=nQk@`bLUqJRM#Px1oE542%<5z>tVZY`o``N0PIuS>3bCTvM7jf_8dgwPLgj`! zozLHC$w10Fp`s1y+4djkUDdNWGqPsIf{*_{XYZoiMv`QS_NVan z+O)CmRJRe}L)4vz8Hk675=o!{P?TnE491dBC2q4wElE{Z&D#F^J?FT4WM*U}NR7IS zuMA{HMtJz!kN1>@Mm*~u27ushMSkNZL23+RHwbMHopr^Lt?DBjvuPIOpgdvLUJFt@ zWPs5rv%~oD)pQSz+xOE}c`~SQLMUo^#G;);+@pGm(9-CqSuO{tw%$chv-dr|z;HM@|J(WVzdih! zNapzHcl>pV-*oHmcm8ZwbeA372ZLaoJBv!~hFX-MWvS_Hs&6eRZVF;ky^}_zVcAY& zgfklwuZ7KL!~S{hq~vJ_SCA9lKtHLdEw4&*6Ofd-zf;s}G(H=A*wt6*H~y153ReHP zkFeKhb9?>A`cP@0kA5bf8GQ6DgI|5i;Nx!@{Q6r4_x8SNbnlx+_rGcM{x^+2_@>d{ zw2!@S+Q{BF?PTwpwzBt4d)be@^r-)6zC`@|jxmnX@nDKwJ#FTabwD}ubdgR5S5+#c z&Md@GG`-HDD=Z`s+FZri$Ubq8!~$sHWn5NrTo$M}v6a2Hr4M-yI4PADW&0z0X>+yN z{C#x&2TF9;{I&S9c)ELoS)K(sy;{u9kUh9t{V0Y|{}o&TGY;Qf`wCOPxq_!|jb(2n z_J{?vlqkY|eVC8eD0i}C0+nBIMGC2AS*Cv92BjWU1j@@viOi?V3-pb6bAh}|UYWl=Jy}&6DAiCnq@PzqT`%psUfQqerC>c&WKr^)L$oe$!ftruY1JA8j$BpHdDIJTY@yXtEXMEDwsfyY*)MSght)38 zdnD`a2gWpDyz!T)TWwwFLDDPvndFrqWYej|1jSV984m?zU=Juy)e1Q}QIq%VE0vxL z$(L;wE~IjV<{`(U@y1M$fEVX#@D7r_#j1jMYD%1!o012qHn2Cr%%mdWSxfSO^(-C_Hpk4AxT^w1Ne|*a-MF$3}s9^RLV=sJW`J!ASmO;#=f^rk@e4r?bJ| z9ovo=8%{~B18{r_J%IIB-I?o$3-HKXyjh*@+BY4v_z^epL5;?~;a&4r+&;P=qr)oS zK79Y%h97*}@WXE#UilGU^s2AE?e53lHvILs4e#xJHyy-Z$=UueQBrQA%PdMl)Ce5*|)(^6>s^cbeC5);k#@BYHDn@i4p;nnHu+QEQ6! z&;Sf9F;;5Rh4%Jf46lA}{yRH!7V3ejw&-v{FQ(tDOX?xx`lr(42jIDvgf=1XVWrdR zcORBsglxI)>bK$W^^2i1$UB*(jsh;!_ob6jvPeOx_d!C?wX7>i2AJ*+2mR?PpRQ%N z;f|?L_^c`v-s2Pznx0J%%tXepYLlo?bYw3nttfv&$X=P7^UFE1to5~*Ih$$Z^B?3Z zL7fCX1QAUGdBwt^GW1Y=gyJWzRe7gm6cu#j-Ey71$@1MV${U&9A%uK=d-Gq{j3hfZ zOnJKP@rIyexg%SKEpIoQFOYM3e~v?(gkQ@BGP+?6nsLKd;nk`A^*qzyu;I`YMf8p; zmyt!Km4|r~Sv4&}!(;dJDpG%N+%)tD(OEb^V0QSueDO|VbwR3&P`m0cD9suLL1zY` zH#Mx>Jq1&Km`$Lt;p+s18y}8yFMSmxY6Y5T$OhdVhkch{q?Y(kxw=!T(zMUGx`T7$ z%V=S%c;UvZ8GYd4dWVkW>($8_<|zLB%6XubMi;}wU_EUT?zOn39b!|oWf3xjS*h5z zzOO)>wg3oCT?|gn3N&OIxah)4`?eMqH;odjruHq3mDi5TMN3pwre(C~2SZZgg5ja$ zhmRvv>e^yvnK<*r;RZ7!lA5?#2P>HHfxqfJR)ElF; z&cIGzqZ7w^x-5AX74o+Ixc>6=^+kKCZGg?y-3D(u^l#0HYhi2R6`wZgi5>a-Oj+-cBhhsmg_&WW7(pQY}hwt6FqGZ|lNVgXG94S0s+fnjA8q%i<8~A*N$xsOF(!;p%KbaW@~$an46m zNZj?#{z0#=7NCm(XdB3_kANBVvM6>2^*@VP3Wiywk!R~A=OcHQmBv3Hw`K+X%g3b6 zl>&u%g9B^Fpkm@gB3EKNy1tRk3LAH8hvS(>63Aa|iTmS1W{&S*6Lmst8zt|dJocqnxmQMz=R zOgQPpX;?{TI9w-85a(=%trh8R2+k?$!Dq`cpoJR8Yxg$fj(0&kP1F(J|-Yd z1Gjf}u%6Czyt75oR%%|-kc-}ZyRpja z?1ignr}tJwCr`Xn;|S&6G!)v}ARQ=)tpwAbKM7B&lbO^;3R`ZJI`+c+dn8n1vzoz)_r73z7n* z<31>VZ6t0iMg?=yGAVg0m@cEsRvQ@H2mhdWmcc*(k-eG~Zi~7=WxhnuI3mH9l= zUS1p6f(|deKD+AHP3dFQwl-r13I>Em1Vt3DmfIvw)y@taH@Qs|E;3mmkF@sb#dI~n z7cl#t#kAPR7|=ca{Qky{CA;fDxP2rnt)@8l(L6TMSH-`KHHFcW7<_C6U(5P_Og29~ ze7#QSW3J(2sLxVixlijIe zatPB|=TCqY4c;R@w`b?uA&QFxi8Y7Z<+58(JF?}<@ZoPopnB^%o$R8$-@%U&kr;LL zw$q8?rpbc@$=-cnF&%YV9lyCm=VjPL?`CJK!5g%wx9_sZ($DY|Yjn9cYdFqdI6OS+ zFC4xP>yDxf{0_0?T#!)}L#zU)z?-3@U!uNoVp;dyl!;FW`f2c<(T?gj-T+&(JY6HI zn5o{w(RgzFdH`#gjK-{n^OLWSr}vM+w5yZbNSvKRGPWZ^q*E%Mwu3r#R>zRDB@PsS zw~@>;nc#qyy%vm;#2qQ)S;?2tX9{p>T-IbKQ&#wB9($KRpX3X>`;^*EHCYj}O)9ai zB&By)s&hn*y2N&KkWPO+kW`qCt(xje&>w06{Gg<670On0h^2(2w*}pd&#k3eX{p6K z3f`o$Gl@G$QYFJDR$IoS`*<|}g&F}IfN+jFZ-!Pz4+%8J5}&9sf@Eax$O%&Ysy_9d z1EW`_ah$)vDGa^1KS3kwJfxI(k_VK?yR4y|6OhjsFC)vtdj`CFU^+t_0gkC(?ExK6 zJl4D|8H?50Xu%;sBHV?P1p8A1vbN!XmWtk zdC!WN`oH;K_j_k=>t^!2WhB#iSTmAC#i@_q>!v{ z@`yxSws+QyDcvYN&or9K&46`3pYNrmO5<#sjSz^p`8tQ&ggV(Y3lEMPX5j%}%qOi{ z1eJ2-`^(4ZsK@?Ju)o8}TG(oUHE+J7CZ)6>shKrjHNYNPj6r+^Q-G`xdOa4il0LP+ zq=-4&*O1A;;-075r7a+v#+k>0sUN0co3#325|CDYV0t@%9nw69u3>=rFslY7WQ*zi zR2?;lX1|Mn5q{>WM-2J+cyHJWB*&O4klbLW*%~7Vq;*RkBYN!StnJGyzAy|E6f3|H z0@W_ZXK5XRGHg|`7Eq>7e_SWevb5C!&nH2Xh}Kh-pO}krUyR2A^zNrfC@V}yW5H>) zo_NJ)Lhr`wUJ`c~cXI@&Okvhii6Z$zmN;Xnr(YjGqcW&XkvdUnap{gjYEbKKdUQP7 z;{E`94>*8+EIoOz2Nxg(C0al(m*ex3vk%Z*tqTQvN2-4zjB?vM=kCnRqtc4BH-5P) zxZ+$R085)8;-oLm)k*~sIw&%=>g$odqBBE=wV}Iw=V%+JtJ%eJSARlmsg9}qFx%d zpACb_QyO-V4Lc|gJIsawQJ{3Uqih%wl1jr~Wy4-^SPdzJ_Rr`B?Z8!otR$0PZ=TT9 zwt3XB|5;*g+m{0BrUp$*8FDP|{_YLlC0dLG(c!y;pW$GVmE7UqMCFEYFiOjE2>#g$@K!2!T8 z!IhAN2nX-+V$|W^HQwe2j>7wgBHp(=d=Sb|cgWgXfJLbRB{csMkTF=94q!iT|xO@pZ1StAss&m0x?0SfevO%FI)NW;h$!QMZ8Y8-1g}PQ7 zi!u;sBW~(Go0|#g8n}Ov5*&&u98Y2-#4z7-#4z7-#4z7-#4z7-#4z7-#4z7-#4y8ewa>)xIC?Z);Sin;Ure+ zHbBT5P6pGn^07*xggNk8VZp9ea_6)ezHvJXBY2kqL^b+W{1dR3eFFjjGaSK>Up8f2 zZJap5L*Xo#i;kj^Az9Eu>(EL?BcQ_>9yO3|+VF~Hm&666wB>k-?e`=nv^BoE{`=Of6T@5j!q}|@MH#wVtJwado&t?tx;6r zS9bu^;~e!ta%{PY5cJyeF=BOFFqdk4_;rq&SpK^?hoF)?edHu8Kl8EN;_xEH5;@HZmnH;%S%KJ8H5X_ zxS~#o$oU06sKQ(wQBzPlqX3|I`E%xgavAUHs|enI0>#^9bvmbOz$ae3eu}P7n}=;9 z=GT9)iOIGhYNw6`2>&WPd(XASA|n(<<7+R(PjC|?d3vt7ykQSFzfAA6K*tJ0!7+%c zX6Nql8R^7k?jzE%uevvam}hL$m?qah7m#p5*qJKT#slC+_4)|9Wz&xXbxAa|{4fhvF zN-%;*k7HuFh~Wf~Qll9n?1b7`rmSCFmPJhj;98Fr(;1-`Tve&VeoteMe7I^&i(911U)aIv z+R{sZM9l82tvA~7fF{(=bvatk^R7*D17aZ}5Q2zC&&(qW?XkS|5MFO7Ic6HaL9Rp9 z?Z;uB>=N?^G8m_Hp@sfbT?v_<->VG@l|C~aopn9v>;!$y>o8O4L&FtR=HeCfzv#XK z&(W5PNuSAQp95N@X-vkDQ|99VxrGOx1w&GgRmq7X*FMkMTKAjGr}Su`)ib}D;I28B z<}LnXjXzBRuaNLUhpw?HcT};cl=w&Ii(osoG3GoZJG?+z>*;ms1ecB^DaJ%GQ}~9$ ze_mW=oZO?dT#U)tN__%>VKrNvE2pbLz}D(;ucNSo>Ij^Rr9i#~*Qt=u`-tox!e{z+ zwy!arFm$}~)zA>jSHp+UzKUh-d@5?Nwp^TaKa#~;op-%@d)awyc{X?pA`AeucQZD` zZ0$}x0K%1<psrzlkztXHR(=O@T!(M{@=V|#6fi3w&iGp%-P z4(RR0?9#h8y)uMI>P*>XDNIVXur!I+MFU+bf}4{FJkKxDqYdje-qlyB*#46nm32@6 zPwMnd?;e*LJ5;J2bY&@jXx=$;s~Nf{H_|dOAa~Exgwr8UHG)7d4>Z^|5uw@zi!RJZ zm>L%+S8bVvZ2@mTi@A?wNHdtAkae%deSr-yhn??$PBWMI@twV!F)BuBBq#8KE6&gv>Yxg6e! zy9`&z6BtdGv)ROe$X;vLRnfVEos}_Kn=ofACI6@UhbL(G2=IMb|9pG>%Ohf^OjsD4 zp#CNiT28(?B~n3+4H2T*zSNAF0GQ_15iqbZ@UdlNc5~uO!PzdRXi9d ztqOof*=kisy-JbHPb(K)L0R%@tvljO6{bzd9SIDtZ-J~_Ee1o#ca*W`&(!^NL+L2A zgaB{Xa0`%Snxbah=W_X`rQ-C(zd;MCiaFcd{qppAU7>?CZ)hqCl(VV;$D5X>e7|_lGSm^CJN{@9?tp$S4XIQj<%Xf$@RwgU z4{Psg&JO486N}~c90$zr9GQWw8XNg@FwAWVu2LCw&12g2BHpv4O%UpM+ z_oA3tKSC7Qug3Q*FL06j?#*$TCd>k$)TSYx(NZjUf4V^y?`IcC1#Pe??x8Ixyuy9+ z3bRVS?G(1R;z6XlWHwl>uTc2x#n0=VD1$zmu2!&$q7s_HNj`ssY!={Xpr0)H=`O#B z3hW0^fd(Zlx=$_oaXmqp z3rf1VMCsJv>{2h#XAInb^^yy}hQBibLez)!0YxdAN61$csvnLIDG5z(T{73Rs-+Hy zpg51f1S&dAFbdY>m+Oyz-#kH_)Kp5ZQ^=S{MNVYZBXaY1!@&xk39xB^(uMfO=7gAb z1{dD{yh`)9AS$JPXd&gZ2guxLcE#+a`a)K#2KXZRp5NeYr|6Gzc=#HTqDtz)Ap8#s zK?W6iaG#gzPIdNi$m&jL^WnvzPBNd~0rFs+zN?(cafgh_DSY(~sgJAcpHRIYDGMz< zmM)ga&2G03=Ka8LB0rw6`IoCw?;xE@8F!5B_b2m^Wog=MC46f3gS*w@7w|$K_;mjTd6JLo@Ud1OXph-jN2b=cQQ=$OHl~L= zwK90ivIV@xraHeyZTBBa>nVws^?LOqYuBEJ^ud9q z7A0F1v5TEpNjc;i;)<{n$ZHN5q)FfAs_ zQM6N#i(EQB;<3ivL%9`AX8tmxN%~9m)aaCQP%>LgV$gN><^J}z>Bjqu+<0>U{8LRl z19tUzGKD($Zn~Tj0Sg%)b&+fs2(MaUHG2g2nq8=XeK8%E7C`p81@C|-zefEY`hDSW z?*4T@%1;eeYs+yFIvKniyZDcsSl^)1p|AjK&F)wS@R5tD^?ur#j3=!Q!;Jd3W8-1|R4T5cl%RvaLDq`*C! zGMQ~yDA(5}N;9U?YtVL{bF&Z<#e*+UyuCa^s)QiG)`_&a-Vyz2hs;;bM-TD-RPwzn z4*`QBKZ)Q~)fhycIUq$0TPtR?N;b#Z;7FrLuwYV@k!WSNT1!VQZZeb+?TpFnPF+D` z+(F)&yHTy*2n898nRVwkzq`MEy8dOefIDTAoMe^o=FFAFeTu#vEy1mwV*VAD`oOK# z(s45rz?6w01j73C=9kaewqOY}8_j_%LpsH7>v-4I@RFz##=A4RlflK?3&hpYW~Ta4 zysrN1zyBLLLK^Z){m-!6`!wCnp=R|1%yNtL$jEq$^hoQy(=x5KQq=sJUACA)-O^WI z16%KXS-MZ3zyA7DUs)&ae8e$1Icq`pr?ofUgh3k(udLs~q?-5l}qZ&PDCKS(RLS$272t;I>_x6d8CZ-Xg`v-@k{w zKk^K*cjJZk5ZQViXLEY7^RNH9(`WiKa9@_I^ZowhpmZ-*IP^FcP2pcM7Ve*a-C>vc zXKuR~f}ZH&0<(hDX=>d(O*-E0Ch88pYg9@S4T_6XqJ4B;LU+@aUbbL`7Fmva#O{g2 z=;Uh{cC$~Btr^c!;+~%6AQ9moiW|8gbqOXs4Q6p<96()z6T<r6C{TM zw$5J4yx^j)mP_n*35miYa9UTl0x}scl@x0$=M2dPJ+P4-V%()3RI3&2VOY3hntu(~ zO7pK#kkR~Wlwmag8V;Q1UyrdnreX+75yvZ6HQeWbB&hv>qv=!-F#Y37XnXvy76TTM z+0j>+v<=uA?wE-u3bh!Btt$Asl_X0W!Oz25d1#Q6LZsY8Jxhps&EsLm$3atU6hmN{ zlGHcl75ixFa1{t|-mQwvEP!7D)qXji`UsQ8d{9%PhOJ!k_;FqkOD;1Vu7g%wIp|Ma z2)AySzUGYvd`l7j&&glVQkmp*#)|vN^rur3h{sTNnlH;KGC#s|PrRX9C|1FxIZ9SE zW9PVmLg=+{png}ike&twp=Jl?mIiJ$#DB4dtdnEfM2i^1r%scg2GN`CsuoSRBZaWj z;3xD2z1n<2U;6cZ0R-0NXPIlzEg)>3={ z^P-S6c6_y%?=BJDLIo6Ng^;TM{1@xy;%hBd&-V!+1Kxwb3dbuxlYq{3O;3=r2Pl^j zlh-=KXM}}bppxn8`sPXICn7%1_UQ}NQ|(UmK@@?}Op)x?Z7%o*b80fOOEeFj3;dt; zWb^d#^UYn$XW3u^Gt#Iyz%0aHth_h?Sucvq5YrhXe@P6`IU^63n-BR}VFssFC6SZp z)@ufg9I$adgM5LfgSDV*;0TR#xVVhiD!jIKjvhOMH%ox@M1t^>=2%UmXb9DlX*nE% zkL$B@VHiOn&Z}f03*57P19~5V?Ozu^1N~&Rg{2mL#nyrKuf?y#g+58 zp(-p-=_ z)NGUh&|DAgH%P-&*i{1OnqHu!zcp0nzBZ|{U@lm8n^+J`RC2U^{qK^mf%xp!s!LN{HV68G!*`x(9yQvla8U6-Vr8c z(>uJMxLxyIDXxUcOE)DcblHxda9gl^epcP9*V4r>NE)4po@wF>OA`;nsPrnyhgPLQ2zXw1nWqv8*LuIWxqj;)P8AgN9OS z1Hd8tm#Li=aXDz4cEE7RhF0BdLgrSi82Xl32~suMji0zJCPf@esnhf_CtF@SQ;0k1 zg?VYkOi0M~=i1*7k=Q`}fjV0^oZ)>cr=pN&`|p^LhKoFtUOagMtA>C}jd$Ga3l%!e+N6vfMl24r&#dyf z^$FmS>RehWB5pL?{rKI^$DRN2KXxu_adbsCWQP^m1np72=HTx7_WqZvYv`k&?tkHq zAaH=MYGP52*wI+w0TH2^YrSBrUz2p)}nb^2Fh<@M@rgXckE1j8KFYL4;KBxgoosvJSV?7Yg4DWETA81=ICXH z3b5_oCXHil@Wwa&&F%e9*SBrAQ){3{cS15IB;fs`Pymr-Ov=n?IBnuh{gm2C=Udj0 zAt%>2w}rZMGx>4Ql$A~^>ma2)B>ILl(_ZRGQA1rVRxOe?nPhSc&LjxOVM}h|F8D|3 z#*N}2rv6?PL^6hv)6m9%mKGf2IOiC4^0+eMrL_{mcAB)FO-3n7GS_We#MM*_f~Oti z;A!Cg$xWiNDrWNUx7RFq0(X5joLoOX6)V{>DIDUWASk$J>0q4FCo2o z2E>Z&nwX9oNszzJvRmctBs#n;ay<6yS(uVhU zzpYn?^qgnwbYd$NBHf+TC0>bD587y?o0P_iuaXYO4-fYbL+0cypO6!VU;X*EFeFz} z5TS?GV`xaB2!=q$Zi6e(0YbakJG^JFAbQ|9T0qFq6Gk(5%%OBl4@|RQu{2ZZ(4@HW zyD{9>Fizi%Q5iEFPZ5G*2T!$EI%nc6nndKj0U-$2jxk8M8P zTu<*Hynep^xPc};4U&vInXAdueE6W|Cl>rjO|_>(H#_EBF*|azIq-o1#_?f==Gwl{ z%>~BZ^!JB7G+xL+M@wu?8X4Cagu>@$gpOJYad1#m6t_h50&E%*c<0#FUVOd{)6S~R zfHYby!+bXp@1-<_%M$D+y`(Els??v_Ro#d0rW(wGo181k zf0ghboGnxD#){Bf(dNSk!#VlXSgAjVTsnXybmVFZ*%(bjZeuxO+#S>249SMzDCW@W z4tu5Sx#fq8ditENYU{ElA;FoTZph+KI+KKPJ|z*o-Z(f+wJ2OT2;<-~&z4(bA1uAJ zGl9f(1?+~2QYHck-M*6n2{!;RinI>nGl(BhU&&Ph&KCdhR$K(0Uc&>-=-o!qN+Exh z!84d;tb^2G*Le^x5o_@CSFsj6hH@6PM~x@70&6TD^_`?M$SXvhp`Wa{G}xA_1j`&89l$n;uj8}eXgcDX=d z0#mv?n-^+l?BH>xA|Un%0Qk=N2u|L4IMIAP`TkCfp0r;gM%>T`S!GGDrHW$rb*IPA zsI}ht=bq8G-7;ghMh0nzgr)$#x4K+hBw3sGCpe@w(;5(&i>k?%c~1+ZM-S9tE3l6t z_O?|-IjfS)H=(PPGTZ5v1}ETrtk~7?6ndZ&O8O zU>rajj`gTW$=9q_bF4yroY{-P9PY}qGYQ>804E?9omG_V$=oC~I&3CGI&E~=e*_y{ z{+JVZ&ua3tisY|c%vzKazXsq}NCD>0bcZP<-zGvzD&QoMUSQBb-6HozveZRh?1V`1 zwz{!{ea7TM=|W^y=>7Qqx++Ia>~|kqnY>Z!ESkZo@Q_L0LJpX^j&OCPZ0fiALg24y zkb8a^DmQ9sg;lE^w!T~48HXXRT*Q^ckSqtjM-^gF|Bn)B>4mfh9@Z|&%q0Fa`$9jl zed6@tfvEaIvxidY7fH+6tald6&OH`ax~d&du4%C=bG`wb?z0;Z6sf|<4ZRpU{E?Ui zBmEkl0gEMphF_Qb(0F#pijyVeZNZi=THYNvaVqi=`N6fP~R-aKy(MsOHQ^|JGo23tzC4^StIGZkE7A~|*;_u}T zBjr&BMENDKJAFv(E{}p(a|$D006@i|JMqx|A*Mpg70aOSE>o*$g7aT^tE$}KqaB^1 zNDV%+!J~UMx`6^AD9Rs?;nHC)iT#8#V3)saHlNo0^V9zdx-VWo zd}hGm9tQ}Du=oH_3&oo;W3S7UDa`HgYsDR5z z(G(JlDs0?k#;LS!Sm}7?G|87;@*T?H8Z1_LzeZTWhJ^a`IZ`b8f zNt4oX4)-mbEv%2)wQo9q@gokP#tF1!q6#U1em!3QlrjpojqES+xfhK*c=5<%AL%5- zIKtJaP1lwB=gvhXG_((D$eU2r4DzUOKRflVis%SJCBgS}%b*--JzO+onqQ4BvjYH< zvGhc;eppo}DOh1^+@+He0pN3BKgsL+L* zAJ7z7?RfL$fUT$2X8F(=J-A9)m+{gH?8F-OmH(K@5Zuz|q3MQq;wY_O_5RM2xI&B3 zY7YV)$pFoDq>9P~Fmt1#WR%?R;y=-_zBdS%@$>nxm$H*NusvYPXoat-${EW+xn%6{ z>6jo4Hvj`NDP)&lGzI%19svUqwZp%DQS5Ya^N7S87iU|>IYJPol$@nN4Go1WJd%xT z(zLW0V#M1!UOFeZ8js(O1|N3)yJnw$_7{j`RG|MrnenN24O)FwzUnihQeNvb<3fXa zX4vH6=J}Z|(Jt@d1;f7TEuk6JwagZ0XfP1@kWBEkv@n+#;SM?WxW8$hRgzLh=%8^a zUm<5aH)?BbIk(g-@blaPui!?G5Y1F35CqDfKmXa(1yw+vHN7x&r%LR2qR zJxlRYU7)h?>KI71IhZWbI#|9{mL{ZCkQ|ZyRrg`GOgAkU_kgbyC)Cb?zl9q)fUXe; zB|vgMm8#bX;tnGOn+e#E$sFLp5qSz`(<9f#zba{?IZS*RQ05;lJUdMJ(6s}S|swK?`=DZ=IQ;{hspiJuh&oQZU@={ z-px!ehh7*!4x+{8`Sn9!Kg21(jzSc9Uk^BuKUgx-enn`@uRkFTADmN}Q_CfqKDYKV z1)Sm7uiT}zq`jsKHD&Eppj@p(7w%{`Ap=_lxGBDV{svsX%=ea13W6p1P#%XwB~;S} zZnA?^>np`%ZH~L8pf28Uc)#CbWaseZu|UPp0|x13bxToCv(gP#m2ZjM4 zQBC7k6kj<47s;Dhl^rz1IoOfbmD+U{BJ6ueOddAGG-0cx%)^i|S}|#$HNlDBJprSn z-$Fn`;YdZ*?18X+N0f5NmmO%*V9=b5Wu1|y7tk{`;1(dNmS47c+&uYdNyUH7A#d1$ zY5NdjkpY@_`=Cf5L|1In&aD)sI}`}lUzCz~{QJEr)797cU-$bM^fnAmV!?K}mbt4U zc6Tke*H<=+`|HCy-;UzxbYT~uS*+eenn4-oH>Y#oT+Yw~B#c&_u-T@;Hy!OC9|V9? zL&qOuesYzma5HjzO<6gFA!0?Rc#Kz{)+o;ZV)Zxvj@zTsBs&7!z+hRH+ER;$U_NyO zu6;meDXu(izN=+CF!)PNYg}w7W)W6ARN<1vGG4E-0!%A0Q^+#xTgt5XQR4)F0k@#C z_hnSnI;iS!xK(m?{rCi=#Bj*wZ$c)fRx>b80SkRLPPThvrexO4hr}Q2ZMsaKR0KXn z!s4{+=<4mveC>|V3GYBw3%Y=L0!se3l(A$jT8`VvQCKUv8KmCT61_{^T%7R3$q06Z z6sRzEsu$lU*M-S;HPTiu-d+0gxwQYRv)UOF5uB_H_nlb*UAcS)QK98+k{7KUS}LlNLVL5+zMkrLNy<%ZYu%9vYr*@10v1P!L#4JgPO zv~UVcnf}2pztIrcYk~qdoQ!|=e-fO|Ree3nj-4iz=yrqQln5tRIfZftEz%^JL8$_VpNOg!-tZssARi*#bv^xbyCDv{KP5hq534x?LkWc2ld4L$ z7AV#b>R8MDN1BkaWBVNrF79`0(VgAk1rhfieEj>}{XbCS^~>gyPiy@O&Z_2d;zyc% zOyz|o3YLnq{Nr(TgTCqjy&u6jq;H<*V{FmipO3p2YIGLc=z@ z=hEOG7`2wL=HhAJ*Xy3ig@#k=K4*B9d})L_D^*_UF}LNo34hkty$-8|+q!3_knrKgw<)U8&E z2oTM+jIN~Kxd>wNBGZcTLT`pry5^?^ZY1u;DG%ZJ7@jY|DjZr5nkt>{&{V+frC%U> zh8;f%{8@GWG}7@*^v+U`kcN(kiSrr~GaUsjFjg;yLRwmx^l?jW+E5;3vU*R;&Xh$` z`V{o)Eh!g56AKO2r(EMusPrd6^?K(izm?&%^*Tw2Tb-qgD!DT+x%0&G3bmqg z_={gGA)cm%@Gb@Ss-EKGi93Ze3i@+>K&d@UieDcdHIB5O!l;(DW-V|8VNKhoFjk98 zs>Jg5v$w4!C3hhoZXWv>R#QCr9to<2&23xUI>JKLD=VJ}s>S4C^S_xCl3mkjQQ_4@S3wUY^aZneV~I%Yb2l)h%f z{@zv3j2mi?!fJ-~;~Ao1{2W>4AI`VKx0T-5G!=$Zr_48kb7K5vF|al|Of>c|V{w2~ z4^FezturVky$VH@CK|=h1v)X3llTNlU>aA`5lpQ_!f`X52$63>*US{}N{W|7mexenYRltibQGHPK$deufpPLBFGt9MCNcHW&Z_+O-b@ zJmF=d`))$KY;+_&K0msTnY{eo9Q|$gK6vrG;gMdvnZ0;8aA3b-2~m{wEjNdWkigNN zUqix4ynHtWKJnt$ z0aCcif;Tw-rI*1}j%AARDS+41qQFxTQlbQ2OvuLp^AUX7PBpoUfS+e`G+V4pD!WQ9 zMs3hTNb?q;Y>1_L2s$%mFa?b=)tKH)B&D~j)6ICZJiJ>XA>Fw`g&HChV($Y5Eq^r{ zWR0?&)q83Wsu$YXJ%UPRo`A@`v}~wcDq?%vldnDxoK@@JzNiK6Yhdksr`jk(GjlfCJU{>z3Pa2Rw-M5y7Fr%ss|T7%kY65HwbuoTfE@?%%4lkku(G{Pxy zz<23jM6I3s8SN?vWDYNUJ>w`{SiSGOk^wZOkFdjd$K6=V*m3FXB+Eie_ZzO39iL%t znmN2cHscW+_xAe7^4<+si?cOajKOnPu2szj=p@U>V?myRip?VET<%Hd=YcXOya}6lA!Yi zY^NW;ZoU?5Csj>>M}=c|GC8|kMxTcCmL`Tx#vm8yZu3NENr#Z3N#G;C-?1^ar3@M~ zt^aBOn;AWSuV_WB7PGTm|E^<`euhdfW`278@aZ4d51YyD{Xd}7KRw*vMrwv{^NT*> zY%Z)O+t1t{s`Guz&)O+={{o$I(V!bmY^%BvQw*OC`R(k0_5eIq=Ok_!G44sH`Z@EI zak}t5XEl5nc@p&jD5}Y!X=m#buQj(YySiBz-0w+o!w1Ogmg=%%C=HZJYi0(u}G$T(Pp29W$5udp9H4j*stA2-e75Cli*MmR%c$XD6mV*0J;*v|SON0jOgh4MwM zIW1o5bdE20SAw;39yq(3r_WLl;Yy)~`00M<|L}_c`EUH||J?h}oqyZWfcX7iq%t-J z^|C>||11pZXM_5sLHpUD{nDU=Y|uez&|x;{@IU|M|L*+bGhjM*u@k0fIhqsr`_Dh8 z$dCt-u$85QY~t#bQ`vN+U! z_(NOt?TH;61KS-ymZ)})AHqMQzbZpX!yKxXsVUCAs}F$VXJcyql8*Z@ruFQNFzER^ zjTX<|2lmek#ytNh*yPXN2YxNEh7>}+x*Wbm5V>V78mop6nZjrczCWJ7i+yTtW@xq8 z_I}{Nhe1*w2?Zjvk4nS=46M+Sqgw!N3X0iz8&ctr3|AD=yv#{yI1TB38M+ybz=gSj6Ds4Kff>A%8YqT_Ts?3z1=d z`cL4g@v$nz32b&*qT9wiB1iru!+;9?l3|E-ykr<+uP+&P__AR@L3qjC;DdX~u;Z5v zL-zDb?gmJSmyPS%hXSuCKDRwCyxh(0Z;yNR!u$22*W7bA+z;{j+(1;(mngJ7#U5I# zzHDS41rjeCc?i$@%SMtpzi=pRn->l}*n52l!EZv#8eSyNVO>y**^&jZFg}$x9d%{- zrL4RGz4`Dzgv>~qA=OWr++y)ov=<5W)q~~o2yGgFu15?*2i-v;tSnKpSHYip1#v-1 z+RA$A9hS0Qpx}fi3U`_F$i~DeXw9vX3tB4x3fGEd+I25zWD8*VL+^2JjVx|m^ehbK zo4(%BUn2GNHMunBSxi>s1^592WZtR4-GqJ-+CTfV6tB$z5uTT)`o{>tZY0~h-5{&E z^x=cWS%m+Z)KT)35@&xCEZjo9=WF|iy zV@-Bd&p;66(rMXm2cYY~C19j!UUe-FI+JFs4X<(}Ga7-wmv6<2Ji1fQin?Yi7UaG> z%u7#=teQ^0V8q*$DPFGG(p%PD;K2o|1CCQkPQZ2bM95HEd<>^$iIDa4fLfl;dxcTU zaNNOs8R;jnutP3=a580S!r0!gB8s)Sna7FGorEz?olk`__6Ozw_QV?-4zt2Lcm^2r z#N>XH`q$xqgDK3_MJ)>es8W4LZDOYF@kM7tWrhttKHdDb$ypV-aOzfzkAqneW;*** z)NT=90h>j`FPndrk;6ygO&gdl``wr>`>TZMQh5O!TPIh(o&M^|_mTk6>)$pXpYG9b z#(hgBs+_a7i9i-pA}BWBic?b&)UgSJWd8xPZ?#g2es2Cq{*Yk!;;F4t+%ZT>frQHI zcQPTE2B&*uao>w~aI>6_VN}EGe>$7Jg$M6qa6%kN+&XpjMw=yCP-wLjiv`2fTqgOv zKmhm$greH9vSlcX_-n+rYwvpZw0dJH2PSB8Vl+-}qi#V@2`OY>u8>&YKq zt{)$p36AwgRmu#GGn>tNYdgxb!$>YLvhSgJ*zb?Ew9eq$QE^PtFKkoi|{lC8d_2!N*>=)M2 z-u|C={4eN+haA!M4hVRcANZ~svCGf8X6wmqZCR%CZM2E4tHt#^sLM6GIbWq7%h@Zw*8yfb;{qVVT{zS~_GV;9Z!Xz|_p0|M$Bc{;Z7iqAy{1 z`j)c9*bR6yvL=+U&IeE^*>ClguMhH-*A$zT;mDmYWHgw#wzrSsCO8uMB{vS8akc@g zDd2Gzs|BWnz@W<3zGDrk{^8hH?F_+EUB{}`>E9w0h=jX z;!MG*)gZU4xS%B9pG|&|a)R>+p4tSsbdZwI^H{5c$FH!wYi01BwbSC}@e(-hlmK8J z*0y9f{iI`G`wb^tBU7x8AuvDZ&kT#)y=R6Uz3gs`Xq!m3!ns^^&_YH;(Lx{WYf4%Z z071D3f_vrnwKZ!CdW?|fSd8wKXA!rw0DEf}G*z%U(~v4kEC6T|%3S8=5MInt%SNQ2 zV#p2UuxDtz<0@C(S!*F7ZXug|ScN;##M%eMD z!s{(YKoT#Yhbs2ZvFW5+Cr2R=w`b`}mu6{j7VYFZe>l}7F|*y}aA`lOkY=*KcX?J< z{t!)kKtVN=u-Ct$!&Z00!S+ZwOl|7ONPMo*j7}}`ojjmi#KDIO92U7?ry=5J`T={_ zUJvdEl{01`nDv^I;`^Ibo^9c9fPT~r=Ay;r_%`I^;?i_@RNXGmqT6Mys6L-~qE9MEhz^wM6VZSg z|C+im6-Ma7Ks^J-Eiy4@i*t6rg|y$@{dE78@WlszdwsBXba-@dczn?79~>PXYyiM= z06MZyakAjR2yVGf` zEDw5#to8%z0Fb!Axv7zfRk1JO{fclTwONR@iBa zMCxHguj=6J{hw-op-ofcsNHE8++cQ7TYZK$@cI7s(|Z5Muh$Q3(mMH?xA*l;!h1DL zM{7n3PgE=8He9*vZGZLla_W>(*}h~jX^+xM5IVU`egYbpEW-(8?095c3=+HD04fgynT@Jg>bl}o^h?w zcnF$2A7D#INX%UCyb`NHd=mxPgR}E)^_ZJC^h%aYVfC%@H=Q6IN2yVzQL<=xHse;Y zXnqO;@#2}&O-n`Yma3)@^R~R5!YssB&Ry=~sVGc}cjMZ5Obe$hd1}_Kz zOidz_NXiaJSl~l?*L2V-`zzyFmAX$s0t5k7(cyckGZ>bd)Cv7t`*FEguv;e1{4V~J z?yc_(aALnKIX;Ed3YX|R(W|gu8h-#jF4564G87wZoI~$ijvfxtte`q*n4p}g2BFs} zrc3vW^H|*sYfaW#Z{!k3AC&wg^UNeS-lPt@mD(0(oPa#gENNS4m=`P%eOF@t8fr*} z`+a~?LVUp?MHoX>7y@J$9=~-jgk+jEdt!(sdQYRyqkxUn@~;+yi{%6?AGHnep1`w@ zXtlm$D{X{(C`UIB>(%}6I<@85GKwEeW{(}pIB#j~OoO%s0ryzXCJ~hKBZ*f*K?@ad z0Y)Ti)h-VR;;cdhX(CWBAiCOzf%z%soSY7M@UY%-4AP=e6OH=hALipX?Ym$*IHo%M ztHp5s7WCih2;|Jcuj}YT$gQ!3%*Fa1-?_s05ym52GW^}>48H8%qWR>?Cw|ND~pW09t&ARy7_M$-x z9zfp0<56XVFT)zFkFB&KSBhenYJ+&%V$8S%gOwihSOhTLm zr{Ln<6o-5=!7lCEH*Qe;^sg{OaYOBdE!JSmP5EqRL365FaXf49U^XvsK`f?M)RwpQ0`H*A&0Hkf03hCr9aK( zx7uH>fp}2Qv$5NZSfa;9Svea*(tfK<9^)RA>D?$P)M4l=agg)cxH!&3Rl7NV1;#b{**dz`;u2UC3DhLlODiaxz8 zWQj@~(X{&vw9qvRh6Q~KIkK9agUo?%gmk#^&VF5O?(T%B#W3*0k{r>I)$y65gXF`_ z(;si(kNA?Cc+y6wZ9+Z190iN>Z3b7>Q>=Gsn^5q|W>_O6I9$J*E~g9%^^ktBT#LF@ zG`afSiKp6gtQ0e;A2z@Ldh^sw_Wp~Ky%*#6=U~1OSS2Drw&Mdl+SWYkF(_o@d@U{q zC(4r~=`Mhk;xL*E(ozV&m6wzI!6@hxuslLArMEo7twcKW`+sa6(01i%Qxl_g;uh(| zp(J&;J^hl$axfI@VP2(j81u4~qOP31QK;9MwmJhTxWhrz5-Vrt^zLIYxh6-^2H0K6 zqZ$ZWqpsW<%4(_lptv6ZuMn)IxghXBYVD|iUQ83q^ToB(o3<0!2(7z>OQx2_kxicK zAt}p4do^FI-;Tr1YRrYs3T=)v%mO#z>BoeDi+Kus6ks?FS1WjZ>NWeVi!CP~fb3gu z!4;OPMvA53NU$Y)_9`5Pf3~pE{``S;C2b*BchuCH#bkF(q|l6uCdpXrbRTy{hkbm|kg-ac?5#EI&I)ea5dAcP|gEv7%L4>}TE@o3qSQBu2CkQLlb zjKd2=JS_5Y^e1Uf@;kHhJzqrLe8XI?;?p*}{gV)M7UJmV1kBTff6n;4+_RJ0>nNBS zSg;y!AIu0Elw4X@3n2Eh4tcy>?%FpgQt=}+DH{j*v>AN-h|VS+_V(z_wt>+Eu1buvvfm=f@|#vUD@Mm>q0vkO>0r5j=|*Xn3J)bIq4&=lns_ zt$yJ?`B<>6o2MI0^ZcyKSX^&*{o|BZH06ZH1=)ajy|SZ=-p%v)u@Znk-EBeRQ^tI0oq1Ut$hWy*_A(qPDs|6KCy~}TehsqEX);0f1qBk|ck}7ydU^+M z`OnuMH;6Dzo6i?zi)`1z++72M`w=ZX*H7zDo1dZNegai$Y8F;bMR3*XD8dpFPEDNG z>fxNztiLMQKX}Cwmf;)7L9~+h2_@l6@l17ywKf;C3ktKB|qusg9th@}VkG|Bb*w2L0si{EE=w|`_CE4Bc!!qbMt?D-{pHu`45&wqr7 z(15Q`U%sZs0U_{;W7H*Y@cfXItwXGVT2l%=hZ<_Ks!QCvQ~&Nyr-S7wU+&`M zs%R`};+*aB*ZcdY&%^86o1f;lUmxdRZy&*}mYb)XqAYE|#P<*k_zDqtB++O374EBl zc8bM<3H+4pfvqp48sCb}h=lFXDU87;sX4*zhYcIRO!}}JKe+B~e@%I^2$0<0Uek}~ znXXCq?j9J8dsV<#-5wm+o}KP^f<+S|_;-K$`1$%_=b!hWxH35ze5$)<2`^iD(^_Yi z3l~ne#+tO87q-eqO32H_K2Ooq`uLa)LUO8;F6+n775>mIYdn>A^t}bs1$sai{5myW zZW>A8Y&oq==i1G1FQyv|@2HSW;kqXp?Ai2Uyu3g@Jk${s)N(xoiJ;F&R**+y6}oojtTw3%QmRwIO$>7(fWs<{dgUQQ^Ux~UwzbUKyjs8K z_ZNCmpc%P84OLXxhj?r1}H!fyWTvvKiu$d@30B$(!@xr2=Q`$$!9xL;$uwWTq++lrbgN z4(1<@l}fSX<%f&mYGA-HXb4vwbNH*n>B3t-t#3n zKbOq2Az0qaL^FmaNqUM#FtWIr zHPn=fQt>beUGXr7$8lV6x;>FPmJ0pe@d$LS7dPny823OG1H|)=oOkngBg+Um+-bIc zJ4{ASPsstvNU0{pJ-{tPIdq>2oN@(fA_Ze8#iS)+p0~qPh2W0<{s2haNgiFi=S!>L60akssAM#p}+x@co&+)N9XCtu>$0DNa}c+#22Si^@qN=&)Y)>Z>(CNw|G_9uO{beP?>E< zjp=fQ)SvF-LP1d_6SY`9mo+hGi(z&OS7&}u{Vy)Y02{RLqMr1#n-7ty8I^lo*Q2bb z>w2IKa*$dCEFH)5eCmn5izN9I4F%36reJyd) zE9N~|l35IvZ*fM$aEigM=xDvTgUop^wBgkyl-aDOcEb3~jB=7FD(8O<@Huh+LS zd=O(=-u(LY7VzPB|7-KnetzL62Dfzl0ylr__;0@NGk3!?qc2zam9|a`)BSQAO^RRm z>c!8z^eNB0e(Bz&1*nZvl%u!=wL#VNL^9&IgczZ`*yR^HUitxtOV=e!s`_D{h7E3v zsrN=JT(0*=GukT+8lTUZRw|{$1b;!hNxCR?p;P22?DC7|Za-jNyhN7*aDS1u5PZ1f za$oqvJZ9jN1pGns@tvLxlN^#YbOk(1iE3P`84N z4O@jQqyl38EYPaeJ{;~>xx@(E5-=>fsrULG+d@FDvW`PrkZ!UDr6KjWDy0CPsAPso z-tLHlD{f|=4$j7l)vms3&;2J!18n*^tj7T$a-Y_;BW!6qhsY%1i&~3Pm7wiiN^@Q< zpQ$EGi8lc0BWA&0t<3j=Djp)mwFzs4!s2g%8$cN5c zT(wLB+ayY-h19boC=Hu?i`#XRg z5F}Rsxcn8+xPPG&k3T>DPkwbI+Ak0H%=Ou2kug?xy!bA;--Ia=c)#e$3J{XC$Er$W zmRY|@UDEPUIXNDx(|0OnLfb(uVhVk<)V5fn^q^F{Sd2E{{UfY*fl|!U?JD<$Luqyg zfpv1watlUH+{t}_cnV36xfqBYW`rhRg$PZVsyX@C2D!3IH|w*EhsU;{Skcy!!h|23 z(btVEO2AvZJuA9pJg|)G{#!L^bj%V_X)YR*oPGl|fENf|)v@xA5eQr%M zBnP~H+#sK+MT&dKFibAf@q2_wkc5W}VuVI;_B2=b;&n1Z+iQ~g`Q`f*at$LuFGTN# zhNWUw%kD2|Pe%PZSqY@3B^AUM@#d+w4JXQ4oz&X~RvHhWsDOaVQzakcw4fS&OQm)r zgy(=&*{Z0fO+;^X6Kzo9a1&UpK;$)BL|8PD7CoUfS>Zaozx}H0n_jA)X`T!$Fgp4H zNCN+-^v<=hURxcrIgkR%fyO~cYA*>l63IS83`cyqv;Me-9NrcMUI=!2SFH(UM) zRRlpKz*(S7$Wp~t5On~U zkDnjz@9xo%>-r{T#`y=*uGkhDXR8uuj68}dG!Ri-7S?#3Tz~zvK!#XkJUygMj}_EV zDV<8#LchUfQbJ;kZzauKsM^zWfS?;_z-ureV3pu2EoyN5fIX2xVewe%KWONK2prVS z(z_+Bd?ds5pDQWRR(c_0k<=x=mcTH$V6#lS_n&kv6|RyLbykK2v>On|B&v6%}(&SIsBUDbIhNWkFzmws*iy zBEDzsY}xEnu>F3u%OpMO#IZa|#;WsSuo`$<^XX_dusO<yG z?R(coo%gVqCso@JzY|%s3l+9J*n+cWW#HFep1wYqCv%9j@0+`?I5Pe+X>zfyGHgfH z(m^XDdDla+^pBK2t}s1!Z}omOc$fLQsjKjxvlFMmf^xxel+JQ8!S`ZdKD&Pf9yW-l zW35x;h4enm{*W-3(C0Rbc$?w0(T2wP1uM<*W&T{0`o6SzZ&a*_*G z?uRkEnowO{CI;5zp&_w6Q8bt1b~v#V3>KnG_IivaHq&Ss3Mu&PFN;UtEDLX0(WjByR7}<aFCCfVvymAMvJmG@WL-MghIb1;R_}v%Gr9Lj15ms|a z#0yk!8Sb{#>|(h~_)lf2eTSL@|7iz*70@Sr-grXe&#FPNQ%sW!JzR&UxmKu|O7`fm z{|IO6^2dXMAIgbAi)5AJ-7T}AjFA})=cj{Revu+(KR^wOOY5G}M9t&ezS{RBQnJ{T z@fEsL?6KB3PAH__6@+*K3DYZ{C3{EqH&WhsdO5i7e$<%`qvkU#rtA)PXG)!0Kc zjNw1u1^9!p3q`|LF-!zuSpP#DUOSgbEsguRq<5y9T(Ah_W=9?5XK!3N4a(2ODWVOc zREh~aw8c@pStWOBuyg&t_4|i=ulA1*kMRvji-euqI|l3=h=3$DxEP$x-az@nIUdd4 z?8XnGiRu^7g+`-^`28H?FAS(Cx^>@qogDmLNr$6OJSY=tOQDc6b|3d*_(K#MKr63tyaU;{SF z0a!C7)$~}hJNi^YnJ=pH;!i094tVd8_lMO%frh~oxc~ENwrFbr%6#_eSlVN^yT0K+ z2^mtYmCH8j#6l3VL}YghWr_~Kz=6&i;g6mybm7 zaBs+pc`Z%tfZ9BZ8kzWp6yr#I3uA6#)?8_b6kj@#-vktl0Q?v3~CF=5x$s6YeEHl531mWfEiV0^l>9?9mRaN}E_F2CmTQitU2pdGXCYbYG@YvQ`rhD@-?^)XiP8cw)Y$y2IUu(=ul=3BO31 z+YfZK;S#em>Zv5fi;+lDycl^N#fy>SQM`y0l3dJ%LhoV@KvD8cf$GoCt3V(p?c~UUHQ{Je}J28RlmsQ4(YD>#riciV*=m&<8gI^bY!$TTMq-g(!l%3 zTvDEUVVHO)wU?wa*Vo5E`T-LiRvlu=3CS=hN8umshGl;jHhiJ3L2b!B$6Cd4?n+;{WOjK`m%cPQf0`U^JX%}|wyb9fDmu4r$b5U5c73d#XQvQ8sc zMd0ByZ9f%D0p!N2lsD1TC9ub&hE*sSkew~cu^oirS|vENs+tVTn-t+U>_-WRJXGyT z*-0a-Nri3Y+#uXJ?=X<@T)dy3pI}E|Dbthn^6mIay39Tn6bhad6%gVJcwxy`UoolH zki?=aCYKSm9;=Y1I8YSq#F=vP*C_ER9=uj9urEv;K#Yz;v=j7JA@7+yTnoUVHPS1` zLwD-*XTdRh1;s0{Z9_KK=O;%C)h38k3!G(uf+RDBOU{;;^h^3T>WO=@lhWtZ(AlSI zXF|CO;JIBTu`R$m=}qD-vQ1*%v94*U4&iV#bvlv=EvqDsWbFlMPr2Ck2K24fGLy^I zQQ<(w1FQQuL(z6ycaZMnFdhROYINyPu4MaWm=af&K_Z1ZmgD&V*i(qFQGPm-O8aV} zN!%PZbjjLtA%+`UD`E5NtiJ#WrROMSLV?s zOB>wY`~terc2Eiq9P=`0=Zh~8M0KE z(^{kwN)6YOrpz^H;mv44>i0tuq)=q@`%;zMMcp%rJ&-uo>Hgf|3HW5L7`0xBgk zGhFt{t76Vo<}?jgsHko!Zd@x8Y$XjH`FU?!yKFMLS7yUt#>fWPzlaccup^rvfwS41 z3p=nvL&I%&J{62f4xiM#Qk_8~zy#!2BPVVwRXJ3I97*`YOWC` zl1I9VEtE{D$4bcu0F>a_U<8bEe&agDPtY>=euo+oR&sC6wtKPiL121+F*RUwAqE^V zL8pEgIkK^l{ejO9d+qEG5I*UCb$WSzf~FEo?Wn2xbC?7DlBTZ%gEuBMoKAJJO1b42 zM#8|rMoiKY?U3)3pO?hImzZybNfB?GG$u1u!f_Rs)w033K@HX{=grRVFeR`f*}LrI zYupFSDgDLyirooc2?So;cr0=vx+xkQ`JkB9K|lF*4Y0G@uj?xx25C1|kDWG&#KDlO zKWC8&QYRka-YiWrIVem+DJrczmVQgX&VfVowBP)8RARhhtKpwA;hy?RJME!m!0`S7 zK!QJg{RNpr1dMoxM7B$yw_v{DoyW#gAa8zvdDITGMY*>qnDmg^^2E}bhKht(hEoUx zu*)xUg!xZ@LAcLPv2!XToU+j6s}?& zKVov|B2o(3I){?p7kR6FYVd_c<=o7k8l5f(EC}Uc*u&4hp|-B_ebfW|o;}!K&!e4I zn&BQSPY)#xSoaT~z8ZnDkss#3g^HiVZeD@gg!+-(94+<34v@1(Am5PNqe00i5)2w_ zkwit&bw)Qj+$*r*8@g|7_zP9=Wf zydM=3zv6YwUNe<8WJLW{#AAnw%Sel=`k3 z6JX{nV=z#k^v_hitIg)`pRWH{ufA>`@x4J6aw5Q;IdSzZdja!Ik-TDiQSo-UTwN~O zU1r_+uN9_NKAyG5=zg{f>LwB+DzOtQ?*>24Q9;bdF3}JK=mS_zIWO-OCSHfTgx*qz zyG)1hEXl{TarWjQR(Cd<699g+@x;6UK+A;O#A3?hQrj*4PA`-zE0+D;&Ab`QxE#%e zcdtMie!;Ap>K6p;-tVt|ZvI2tIz0?WX>j&tCUMR@|KUlSut5*Rh^FUadCKCp}uQ2wD$2B_~2-W_ml@%%Z5I{SBt69pQXv zhqFVqevoXbet{UVaZ!iI%6f}1ZyCgre_^<78FT#nkRFTp^>_i{OfNc6aFo?r>1mU8 z2_SaNPqdfMS^_YzH7v~@HgtpAP)^i2VQy05e)tz^K3laRyX@_>Nhjv%Fn&ZbI=KXM zZfgO!5$2{Xp)iIV-U5W85!X!eR;voRiW~VSkSA<4j(sZ)tpHL?wW&JwT&+L5T(LaT1)<(nkxlc<6{}i>R@5guQc!`!?G`OPIZTV{ z*f~wa7VSTmzRh+w?9%o|P8a)5MQ_jWhoo7bQV(eo0t7H5dI+Zds19uD9awJ+tt=YU zwhi}DV%5AGM!{Nz8n^S${Xdc0(c_>;1L<+m0{IecktMKoU$51>50!9awJIY9&<(UD zmisp}n9_BzT2m;jDl(;8msgkGfj+$hgyQKJBHk`3ZIM(oM&9vk4W+xEgHu)yC-H(? z!V4@b^z^E6zPZZgGr`d%zi8jUX@jRI;zmJg4Q{I$CpiG;M>|Nsxk!_z&QQbI`_bnV zvzB5aR#5jzS49}6eDtvUQa)1q61+*jlw7Md#hYrMRObRI8mAx~VA5vOS>E=Iar&B= zp@4d=ThqJ6m4a8o+WESSaL1Qlg0kQ7_lVKw;{$6a|9gb(v%k;KJ5X|``FAgcEM7Z# z*j)b|V#c^=ES0X#B z#NyvtHhZ7OHzsI^fq|mq^?iJ1jl-MP^ zyV^_600$$`0M?8~{kCz?09ertkbu8EeY9+=pKq^!dE9}W9e@C1G@LQtiS~Nw>l}wq z>|Sr?wI&h8i2-t$+YPw&j^+R`1dIBnVO%bwPPub>26!KLORr^X>!jkKP?_Y{#%uZI z85CBP+rOo#i)leMk}qZ`@wrLEnW2RZ{o_(qig0h_7Z?TP|A#KfxB8GutD%5q6#;rs zug>>OiqXkvA)oB3$*=`FEg)44|M{9$UI5Ssl6GR!#0xo1#uiYRDIvJC^KM1?8 z;6}$Q>>&<_H31EZR zu}4@iaCSakvyZz8$?kD(Q5ad*6qbv1=62!cxwcIkm%XMY)E^tGMl)%>W=t2_`K!~n@x3+0^VAHvai+L))RjqLh`(LHq1xO6m%7;g z?xe+6c-7dZh|h<7PdiC_NO4OBs)ujc$U4R}W>h6Z8~$u}zj5Bpv0#d^Qact6b+&ML zc+9fNr{bD4`60|S9Yp%FPuL?k%PtBElvVC4b$ZfwSkaWyq-J)NA=86>(JP^hOGkI% z(OXxUS!tzA8pWw&0`Yi=QtHBz>c~T3ArP!IGy%ajHyL;HsIt~`Tm6mh19i4=7px?f z$x%4w^4!8he}TL-EEjg)#P#X*!>4~-KWrpGQ1!wgA_6lc&mBO0zkxXRcradbPcl%D`QXH@qf$@N4BWXPNZJsXSn z*_5%;K4o{IWeObM$;<`gl?ESb*~=}K7IT+B0;obbq%p>Qt%zTm?uVnhrH?943FP>P z%ba8lXT)z}Zn4sYMH*a{3fZLUA)OY6uddBlUUBjCHB+GU`k*vpO*lwkpfJ(fQ2j2! zZDxz<9!fUfw_B+o7Zgz)vlm3yz%CMWTJt^0zj#Bl91^yArZi}XMnQxK;w1dBz-}|g ztVBrl3#5pR3w##-<^p8fC}4EMsv-`m@$W!U=$jzKK^_iAxjL+a#dr?1DHchr&)Cpw z3>#@NouBU7H%&18{1>>Jk9)88j!;7&w^uFd72~0g>#tZF@OSJ8c;)z_Km;ZPLcvgl z9HKLa?Nh{oT85r)=u(OBi6qn&)fpP08YXKZ#})R+>;4eHXYfCVCLZr=^pL5Y# z2dfrcNKvezYFFY!io*uyLOh(+&`#{4_`>5o3c}Pg#pGBHc$z z2s*G)o-5aWedkc&^O9Bq3!VuzfT}I+bO3l%U9y*p!MOk(TEqSB$t*>o8tlLUqVMBf z|1PPWeonS2fP;SCToWH9GfuY-P4+3HcbJWWcr~G>-%Y5|5yvAxUBYd#tYX*I-`o}@ zMuN4iX}unK4k*JrD#3>iJaM=wP#$*$rjm&ebzN$Wksy&KFkm*5N_ERL0STI*35Oq2 zGndz`+`FR^agrR&?t+d}2Y`LuiMI24<^7c&>lSj-d7Lxbjax2?cFLmLVG`O7Dcc^z z6@`@JA;O|mUNh!eAR{Zs{)ApJvgVFvQAE_8Hbp6zB%{L5gsTLd2Zz#q^^bc&(7+Ks z+T0=o<+y=DlMWkZ4K~u$NIIFgc3VhbsDnopV=04`>)`Wr%cOl4{cza<_m0@P29Qh% zTb~qcoBl^X_lFq6;A7L+0|n-$|Iv12`QT*AAcY;t82K&v7DgXjOxRDG2S6+^>H@r@ z-_|Hvg^~IHQ}-^sZDd)RVEq)K2Hj9jr+Y<8mhI`T0w|KQSf)fKNm$9?w_Mg*nmD0JN?5@K|TZUt^ z{E&*Rq17J}^%SBBoe7P#pF;Hl1>HaluA^!T4E;b`?X2~3Hn^Tb&!<*!J5RGAdY75493pkSt6JU;-< zNu6OFNw~@41F&uEF_L3`T<=|kLA6d7H5*&EEa<($=8!I$RD;Iui{l||h{!?2uO`$~ zk_}0grpU%G z3^9`=Yo3O}6HP`nv6sP$TOxhP%px(X&`w+m24+Wg6H)8S$D3BrI?@yPq?GI3DR2-qICPQXIx^_hp&&xQw!&FAL!?n!9Y_F9Zhr)kJGBlHE+r#hvmnq6)>e&6Ci zJd9KgH>CsenZ+G6q?R$1#ow2k>y0wL5%xWxO+t47hx9W1AwoCcNnD+p^lsTT%cv&U z#%AZswy`{K=%nBtO_1iK39sM%;r{ABHV=@x!~p=OH4fl^HH|FoJ(YA3#0WZHsD^>{ zSTV8Q`}eRoQtzK%y?J%`X1}+8@cOX7-+TFL^G~d7XSA*=dmI$6h1UUHW}AN1mQD;8 zLDOoSh)%}i0w;7X_Ka?ftnHBm* zP&f@)ULhIU?iP#jWJ#~D3oRNaQP`ZpE{b1V{%v#qZGC)sbq$A(vG&+ZHzjyG&C)4L zu8^+;$w1Z^yl`?7LM4R>+SvyL%=rI_b|HEwBaDY=E{j5&v#Hb;`v9FKZc)zgeQ4kF zj!rb3EAwkayL>(KD=-8VUNauuDZ-1oxzVH(6&+AjECuYW$R5dMghrm__Hvy|xrsyo zE=<8-Tq3H~z>kDPm=Ws$osW0*le^;|lyQdd?x99EmL;7d#q45cQ1lib3URw4BVwQC z38jM!$!xox&}P4}^?ac2UHyVu^PZH!q_^x|=vexGb}s^KOZOtkwsh}hlDO+ib?dOW zdIrQ`EPth9NU!<*t* zh+VeL%?G1DLGf~V%DwWOd)7BJnn95}w95UB_g^23M&=N8+iDy1ofa6|!|6bmQ8}la zPFu8jUD}1BqI)%a=`djoohqCxuYIoxJuFrTY-eC?jh<`l=PA~wS*%JHuzDCI1P+=O*-qUCfvp3e~21tqExnHWyp+I>CN zL}F8HOCpc#ZVtG!CFa}B2e29NJS`VXR$SZ~v6==|K0|&PtaM*L{E&RZOiY#_R5A6tYB!eR}Dm-vWjUa<54Z@5w_yy%gy@0mup*@+`FyDhCPmpej=Q! zHpQou$p#2|&M=x<U0I)0vwqi|vCEg|_UXGzA)JLP6b`p*qUu(O`$p3~&Ye9?1glyij>ttpfv+9`Ra5*_^RUgM&U3BL`4B?X?TouM){dFDu!rM^NteCrqM$)d+~=03b&*D;6x!M#qmE9N2Dkt?_Eoz@>G!LL-_Yy%7j#WcdV>!) zb4@Kr68&Cauo=H)w6T}D>Uq*b$0)Ht1ymf9pN19c13{$zZ~o%&;Cwn=&_~6cr}eaE z_~X^O*^ew@UxD$HzwRfqm+!3|R2;x+v|>lDZmSM0qHOSYx{PZ36&5qjtg5}CJaJg& zU}uWua}AdD(J@XNK&oiw((wPPY$+~7W|Akm7#v}dH^^_A!_~FK)~}ZMG+(~&2WzN7 zliAw&retYvmBZ~z%}acHbkqi25ep+OWND%qwS(Z_M}87cj#P9c zN+O}Rk2mn-N^3v=*2G+*zZ1IT7s-VG>adO3hjosk9Q6fd?Aiilhi<;+_9}~%r zQxz@>ziMu@0{hlWDbXRb#jA*q&ZL#}U;aV1QX|TY{Ek20&lm`fwV|EYaE<;1YrK^; zsZ1=S)5OiciA7YTL@1S`U1rlFYv8}cn$+lntC^&QR!=07U?@O{kW2bgBPqP<6a|@> z!y%Hg+*k2|77hNJzv#Vu7Xbvv$fP_uZyzUSLl$0UW`Fp4d=jey%jLdD%7#Ec&Ert>EK%MVXQTX zsV7Ahv0D)>f_#={ZdaI$Rd8M)R>NU`k~2YhL`4nrAlqKsRcu_mMtqD1 zIbZMv0AbW>SVG?&B1Bui1cLDq%q9)Ga8^^ecX9z>EKd1#i#~_~64{F5Bbu{$b>zpkC&*1zr0hKk$fJ8A75931W)yg^4TxE*_&7rn!2_&-?n?XZO+F3Be^Ngtz% z<^VJwvJE%JmYp58$`6G?JPRUEw-iK%f@e&Ln`IKDa z2@yIFIuR^LIA+3FLM9m^67^*ztIFnSQ3d(;ZAlJ~DIg*v8?FXmlr*!HO4LyugbpdA zMi%Nb+h%$r9?+jl)AQr~djE&VOV|tU?vEck`eEU}~D&Ht8(+G-$6@kE8`WVYJE@p)wF}rPDg{5^y3awN66#3N}IR5(6 z<`cZkAp1db6)3R&2?ZHHQjhHv3|JSW8YR&{G{fpMv@78lwnDn5J}-J#LbZJAs%XR4sU%M1w1Bo8&# z$H*90Jv)kObCY0pU(qd9ul?iKp-Yyp&))A@K%v(PO5?+smtCK|@0G@nFVK*#@ZRwp zj@ZKWqgixlYd(KEj&3#0>wt~ob1vSU7q2hTCn=dpy!|SqyK?hY9t2b~(hzPTqE9pW zMwr0=WPp#v3#;PQhDec9$Ph3RwtIK)2jfdXPD)2aIz@i`&&ZDdWdlUMYw%$9eixY3 zbn#+|85gyYKmyg;#j()>e!_*z-7{8Xhw`>KooCEMvRV=-xD6$F0{dVa3o)U$rKTx* zV+g>F+LL{;`fs@oglk|%?Q@U8UfTp<4Y^hm+kNajPhj4;XHD^X(#FeuOEdn=rf>M? zoXAobGsbBn2!80H#`e&6{4slcsHI4um)U!SKUxd(-Yc=#4zCSetQmmg4N_k%KN?wG zZ_#0>lIshFEnZQ5r@n-+d1*{%IXFrFPKumr;#&}0Ch}@^f+~3~253zWIr9|H&UVVS z0lUy*e8C|zfW{YMj;xD5L-CEa7?0;w=0o}=&KvjiE0%0@?$03x8N1IxsZABsg&1B$pMWt7Qf7<_A)xq}uYi&T2 zM#Nh%bQgo5QW=#3Gy8FsA5BD2=x9JhWwA7!ERnfn@NwOz7jLLoolivZ4@XE|s5O8` ziw3Z`V^lh%E2{lf9Jcx4j+Tf-nk*-*L(-$_Xx6)(UAFtp71Woa4^4UWFu6<9#7sUm zicV6t`Y=vLE!!W}yP*frdkO=5d;7(6(KIEWDFqVgVUyI9#jCXCcO{R3{}Vu{9l)_g0sn*ouDu(gokaT=ra{}wev8`P@4x5TfwxrwKd|O!%b8rA-zQ1OaP-!vEJM~N1$a@2BS7@i z^VWeu*yhWszCokgM-g(Tlx%Zo1M+{ z(yISP%#z8q-Q!Yvwrojkl|uftW0fDxWd?9~1`$2WqJS>WNO zMYJ!7=uw0+)b@r71IRIqh)aZPPTnHYP`_gvT@Hbw77(s1Rvu0aX*`V~PUINK0Q+{m z5phX9V@t4(PPXaEsW^3suZb5``r7Q{!);4l<4P<=%Ay@ocaoj&_I`!Pe_)J-PhlO7 z{-QY}b1O1jx=OTyRkD<4#m%Mini8^Lm+Tq`e#|$5uwTF)krPjy65+F636iFFWbj43 z_%S<^dSB9me|~eYclh$~;N|OsUjN|m<-z8~e*eJCsBBrF{<5XBN$lzq@*%*NcE8)9 z%#2SpjpoN;O{3|9{zLeo&WXYZc|;AZ{rp!0N5so`JA%ZEE9j8*sumT2d%B|gPB~orbUmlLdon5JASQtnv zX$BmJtR9K#1LFmIy4WWX*xFwpu{M~+2BAlUEoaM+h?U$jB!!b#ugzcd8We%lb2vYT zbTK)dPsgXIbO{a7J(3ZPR2~#^t{5hnVVS%Rg)A99@w55{)0>@6(kArY7*?xMM;DenwlgbGvzAs_>zpss7<+)aAmmr z@w=Uml%jyo>Y97#`gp~{)HQd2FHwjZjo)L-&+b;}7`mCFhW~$IjRBpSx_9*Zsbt9@8{WFV?+xJ115Qb=%@Oh@ch4#_lyx>ad zRd3~4MwEXW>FuQKED>|pgCzg9Eyug2p;aIzyL0*#L&I@^)Qyi|*JpDO#U8AF%@nJv@HetV`;% zq);-<4zm#(%N^wF;!*N7B+gZdB%a~hf^A)cBQ`mrK>d+EYi^YB?a|}qwYatdj;+^w z@%0eBWOjgDF&xZUZK*g6%+IiI$S!})lMNIUFmWxZBwx-Y?I1bFP&9kbR5UHmd4}4a zOn0UZc;GDLb?}bFHa`A*d8a+qJWfEANyZD{&uHsv_gTe(dp}P4W5x5ZuQb5WCT$iB z0B#yq$yP0l+{-X>&*lY~UmmYud&($*>($l%)LYE8!s`VP?Bih^*Zbxbj}Q8@NPmhR zZ5bu|GGO!cK&W7%NVX3ZQz}F_4{ODgj1f>5-_~p+m82Sfs7oikPY z*eZr&?`f~oLjGKqqbX^9{8vQDytVEfWx3AqoQS*p0rU?}>27(L6x>@zw_k3GJ%|c| z^a%z&B5*^9X|xHVm7KvS$li)!tpLD6;!g(1Q5szg7RR8?$CLE{4fay~0NPU!cRgO$ z;t%WlCWV$N0Z7=FFPl3SQ7VRsVsd?ek!>+qI(C>f7jpUCXXgMH%|L?jS4)NNkkV<9 z;Yg_$DX^(`y1{Md&nopcojL5^R&l2wb(0&$+yNe`U_=;^Vn>|z)H#Iw9{D)T%YecS z+l;~+OiGpbjoSLK;mL|NSN@zgp`%hqgr`=kpgRymc#1SJRPjJeee+S=GuM5{GExjP zzth1nM3ezcXXj7=CO8U_PJ%Kd1Rz{~#yS?#I^hILk6mx*FIo4S<;*IYM?SiueBC=v zq00#rSPUhDdWCMc-zwM&Qf53pl@^a9)Y{2C=xjpG#J7No1-r<>=(UCSvUFJclm!o< z{^J4CoFOd3TK+wLQ(neL^t{~J<)7v`q|r&lz2=1H-^`F^@{#V{<`!vPvXLxbY&M$EX~ZaXmhz!X?-^7z8{Y9weNsKw#6-47{H5l~m_t}2ceZCLRbYs4D<1usdB>w#o(T-4hR(&B1+VB0L! z8*&rtJbQIDMe;1GdgLW(2`Cx}Y5~mM(Qqaxq4qW^9ZjlulC;f)Mj=8g9yAk@t-UQ@ z>~xmu!fIIn0YR-FUC)7y2@lyyg!VcTDF7%RTyqu1{2D8z<)OP-kdEJju(P@IyhVCTXaoOwz(PIU0&T34puuT9c4i$mzpW8 z@v%4*f^JTWTMhw8y8LIfpb;6%_z)5X*l3o8Qo{sBd7{97&?0Gl0$yrzHM~-=%npwS zlcH^wM3RI@`hSXf@MF+viH?fpvVuhGA>knwi6i5Ns2y^bKZat4EvreoDP}ge%Eoa6 z1iW4urA6IsUcR5~s&Mfae~vSMJiuP1rj1)0^N?Xrrzh(vV6b!X|@;KF$3G6 z`c67P!3x4A_axz;Vn#>v^}(;~0ROts0lp{vPx}PP-z=*vshaP%gMkS*Aa=5dPUeT~ zO>p?>6Ln7-JxEz=LI|(A3}R;y+J#s^^W3>o(~-1RdT^|;HZf+>9&3JMzK~pXxtxV* zz|Y@Z1oYY&1+{pebhkPo!sC$W+J2c4N}ZJ2mo#eJ0DW}lCFTKQ-2OJ+gxQFq zTSU!49#LZy)_4vOi1x9JIB|Pyz8b}Rxh42VSeks%1p>1rAwXwxbb0f)9`~;HSN#m@ zm*L%!KN5gEKtYpDiP1+eq0%N!*8**H=Fogb)^QXdgJXMdV@ zW)_PAqdGQd=hn&wHir$2uGRM?u5D>13lK8+hnr9Y0~zdtd`$R`a`KsyYJs1Vv&m}q zZikpkm$*lhFCRaC+}z)@J?Ig%PelU8DOWfZpj@-k#CC~ksa}8D2Qx*^68>ne9RPu2B1wU*?}#a0XyMu%0>+UX zsx`}0Q2~?SRwrV)6v!jPzve4h{IT^;P(w@!#|g&}a$@g(TiqHV-`rhZ`{+OgJ=0tx zpk|hTsRCzv|Gam8@-DGP<|B6JfJi%F_E$bcleXzdwmB|`|6&%HbjsYTs_6J^9S*{I z=*miTAfugdX)B5zupLy;y#?TRkp2N-TXA*cx+Vs#s} z&Z7Ug6S2A@7R!|}rBTj%XCEGEgA7j&%CR1AF@7zLWq6iKO`w2VHe+p0!6u7uzUuU~ z)1udiobj?b*|S0CJJiUch(HBKd*^v!`KpoxR^Q<6>O>se#$ZB|krm^P3^`{iRyBH70jBWqj zU#!2>s@MIeU;Ur^`rGl@crlp5kw5*tZ&vc7ue`lQyZQb$hVT4O?Y{`m=zK6e{;svh z&wl{c!1=cyQJg}x<FYE_mB5qukWuv z?fk>u_dEa4!~Z`1@BeYgF(i%P^sB!(tv~K%-|kg^ zaT=8Oj;ESKwlsZ5wZEnXY3R!-~if=MT^!W41koyO8!Y@p~5zusERam z19KN$8YhblLKo<9LKz#_pI(-nPnvb2$!>@UDKu^IJ`a=&lf8amr+RU-dH8yH|2LDV&59hRj{3tBm069Zd$zkl)oR1sFbO(?P{Be4Ah&Ic z5S3E{*~-FTEFL=&HB3u`X;fw96lYx|oOEAM(9nM6sWjSi5V{n+1T>l)zg-_5AW0^B zvpF;|l++r|jXThbn=fwgMu9CD$NmGCZo}W<NivQz#9v?U0W>;JIy7b{h#Tx=MqB?1g}d^Z8l$D*Qy;0Mvr7SN#%vc|A6s z46Crb&MUMq-_T-2ss!#1KQ@-^ru@`nqHWSPiW8GNWrbP>fgWcey`m-BLv>oIx(^g7 z0}T~5%E&$-A(JIAB@PoW%@D)rq$he?2w1(&XLcG+W@8nD;rr>}4D?37CavRzx1=sg z!3T0WFSbJB(P1TQ8!sOv%3&fCy30oiJzsOQ!t>quYtk+l63W~MGfK3b2DRn^gK!ly z^bM{&N+U%}dT(gON)j~T8%SAyC(Qaolj7{ms@-FXsr*y$l)jyyDohrj-nuWhfKCvu zrUL}5DWD`=D~+?Jv9ck+tv^E! z(g&>l14Xs!uk{+63sy9``f`OF3;8mJUq9{e+VJ-B?tT-O{t!*KVPJ-ra_>Av(~d_~ zP;q^M;vhW#s=sw>AIw8*bD+=r;(XN+6%WJ}MPn+JMU17?+S*HPwE{Yi+{oEqLT^~0 z=xTsv!jr2gz@<*<5H)LM>oG7TM^u&XaW&;bG_DqE)?@5}YemiH7V7L{>|uK>=zJe^ zzIcNS01A~asiXM>9;?0-rREdqUNoP86gTv$(7CXYJRuYykSld{j}kfzH43rTc@P3E znV@MEbE_7_w8;Vwg;gk20L23g`Y@HEQS~oK;!5x|!a$EV8uuLMq(K12A!B5L!Lt$N zz5M-v7`0SdpytCOl>HzpqIXUX0Cy-PkTEHs4gWzVP@gj53|N`klnt9*Ymeu{fnluU zYu@@+1B9(yJHz-u*{!`cV!Yw--`g{Vzg9zbbo=)ZnPSRS%tr;L+yu<>PgTAwo&mF)Ebh zr^%{~vy?+fp;EuHpb>V8B$9c^R=4(^9L)P`^Dpn1E8721CQJ$tv^pxMmvZJR|5l{)5_O#b38!++h^zVtP&GiwY&OA|+NZA}6O+i7Qb)s}X=$!R+ECyCl(BRtKycgZ zEx=XOu!x&YWNo^T;{}Z|i86RW?wD(u1x0JV2#(v-gt~I)qWMrUi9!;TiEPo$52Uo! z%@5B8@M|3>gR`?S`eE5`fqwez2ILSnqW)Od{Sd>t?uS6ubw5P2uKOXRb=?nft?PaW zZe90Zx%}33KN>7`-H%pFUH73tnm4p_z*9Sz`k{0_;i)}DbEYTe@YF6M$^MBaJhhKK z<`|RQSGKqiPZN%W@}Vqa5Fysm2D>?BF^76hZ7(L2hlGIcN#jgrGRt902H^A_1VCV* zIdwc3bangX3(e|HOK}7qui%y0Vj2W#QES~3XfUnTx`_FuXr}hKN38?|(`>=LDAXas zYBii3XSAaam67X;`NFbseS7%{D&qYmD!Alx=0I6*aYJE*^?*O!@IXJlu~vKYT4cJ-sp&RKy64YL_l8JsU>kQ;4oF{ZOdFNIw%%vsYAaRK@%_p10vS4W z11)mLGpXI`UgKzaD1$lF(CKvNZ1XVq_N|T+-E<4;xoPJfdTvR(h=A-@6&tZ?zoq`R6+{sbGf7du_w4+grje@oB~S%?Ct((<4sPwgM=u$vh$_~GnSi9_r1Cix zGz)`2LAa&d701x}8ad+dU=7>ojpqOph)i|e_cg3_l|E(YO?1fWP}K)PO-aGKsG5=; zgSI1e2Leyp@76z!Mn098v;6ITb%lI(mCzc_&d*i?^M-C$9wO0LFL?tW`;&d@2KQEz z_~!QUo&_G78T5&s81D>4yH=5*#iheQKpcjcjsO-*^NhoETpPd7BPmwSR+gbm0(B_o9QApcJ5=_JX=WrU+0RttXJB(K4 z(P5vSzu51g+m#A2vc3h{wdn1y6_t7Q?GmYs>yKZ*sk&wFd;SRloo;+SeCNM@$1A<> z{`=m)QQ2)??(6bDLOISy_sXMt|K=w_zJZFrz6=MsedA!@LQ+ZGwwWyEu6d12GEzTC z*2*6@A7Z?e`ynV~NRq{5A-*~r>G^z^Qq{^uiYbik5zd8@Nyh!rqcUQOSdv?0{boKZ(n}BKNfFx2PPi1Qj;ew#38NFfh%eKn5 zfPrZ}vU>N$-iO{C5HNjLeG-?aR!`WiOdW~M#VtotusEFuULA#h+xo6hw<(~s1{r#P z$LRZu1!NSoN58!*5^$~92^zC(y1mbdD@E;}eE-5!LS=vO_;8DUl2;!+7i5dPEZ~_{ z9P(w8L%syI!Q71SH8cP|q|=5D7c}_xL}Rxi!>yX^$s7a2@)-gOA>A(j0O1mIm57O~ zLm=7?Kg+aX5!2u9Zk4Ri~}}?Yw!}42PRB!GnQwFL(WN~U6kO-vBCHzNhNFg zfl|P=87UYwoCAgP}fxQF0c@n=Q~I5`{pn&UjWxpm%UE{O#lQ z=Dx90d#E2Lx5OM?heZNVUmS3eur$8;hzVLZIn*17-K;18Ow`G;=z+l~ov(CGvn-}R z{w$NI6d14-%d1W||5e_X9xaY3#J75mzRH`g$mI%O)xE(Uz6yTjf<1P3vHACPs%=!w z8B`O2H2?guW~7VOYU-&-pJ&a?Y-YUr2uUK6tGeQ1GW;}>4~W8E@zl2{9lUMa78-Hb zP%5GocjdUxCHv~T2zcuLu6nO@-)2Th_gyOLVYw+!tNSkFu)6PRTHUuft?s*=R+!w% z)9Svhm>ptK}6C<+Uw2`&U{sFG1ScS7Veq!IaJ_2%)fQ(~3>X znA5TpI~@lm0Bq>?-mDf{4Gjmiax-|lr~B4QOU)-+BakJz4z9o4j;`+3qs_;=-@b)p z8Z!`7ISQzH;1(SKA_)a%Gp{{eryt|`Rk1q68{mR7si=|z4cebr8wuAQNQSD$9z$wZ z%)u0SN~873Z1!#q%QG7w>;jB40dDbYYs)a`==Szu@bTg57Z@<8WXOWOVlC05$NQ?I z9yVqjt$buG`BwHmN`hYIs0RbNfx4Es+Qc2Ff_|x8c>}ROSCalpsGk; zQ5upCpTz3#x6u}Sw7I_gZT+&L{nWpsiGz({L-U%oUS76QvzGSWJ$S30YFyeJqXif; zMoE4vwV%PY7C^)SI0CQOf7ob6%-AdoejekyyW6`VwMW$K`ij4Nu<37vC$eWZMw?WY zJx<5M01{&esmE@Bm1}}%MP|A=0x*=PV7hsU3#B6vFHxylDIdWkoL*q)#vaizYVyK3vap_N9z>&$t07vYh9V z^#h9FgM$;lHfKhFPJK&cwIyw{Ne5~wR?nsjkM1w8dvDqjBuOf!of|IUZRUI$p^jZ+ zv}Kwhk~b*FbZ1kT{d2U$ng=J%r)RhHQ1DH04@8E|Vd)y9hM|3s-J|-0jznezEjIVx zZf{W3BGm!kGDhXVoULvdHod+10Dq*uWJUq_cnw5)zV<063QD7%H)JanU*eDNjCf&XFtBYTa)QbBRUs zv?}MtG(f)CERw9gRMk`pAdxAZ21{t8$lWNk!v;v=0JXZwR2BZqMq7HH&PsjXKCDUzND467En_MC=mln{6NbsQsTZ54Y-Nedl1o? z_<*tH}~X#5yhTtZ(EX11p_{ z^`Zq?aSKO>>?E`|A$Iw2`;F;JiOV$hBiN$iV^uqTmjqh0(mn)3WU<{NYNys#=_g0B zZG+HO$%0+B4LW$vAoOs0`boXR=ZxBa)~pVnHLV_-OFca?b&q;_7-0K3PlNpZtjVDV z`Lkwsu=nO!8%LS%S@S#Ge~k$?Y&j<_vSxm6c+e2gg+LxkoRAKNsf1#pYvN2mAwVif z8|tiq5P(e1&sV$lORyRr0j)90{i)t3&9=XLSe2iW*$zqshs4RLyX8@4+(W2}L2f}o zbOquFSL?Tf)%arYBPdu8q1qI7WF9@SeAi`@u*tbb|5~z%q5cSLVi}<{sz6mOB9NF@ zSCS|tnFYR6N|gwl#9#S1lZYIy8;)z<3GYe!PB<{ycMhD{BBO85Xj_{EVj*0tTSbvr z#U4)=$BX8!*m5b7mXdWW7%prw)!W4s}EEn@Mv?dVz1Cv zKRI0~4-8uxI}J(5aCND;t+di6Q5X_9WML!;m$-{Smd_hw@6mKSb|fLA0d_hi)gd3W zGMqVzFGY*v_i8BxeF{ZtmuN{MgBu=>ve%`9O(8NK<-juVuT55uitw+!OCB<8KdeyZ zR<*;{?@s;-tTJW`%YKAGROQw^2;%d3^YBfre$^4iRZ~;PEDG|T$ybXp036s{kAd4d zQ2J-NCI-xJ?QUvG?1}*Mv)LJA3|1$LQH1>Nxs}I~g=ynCC|Nlx>7!+4>oubc>PP&B zl#7xQd^~QvYy*~S3`Q;U0&c~#MeqTE-K+@B_9?lV5BNE@_9>La<`J+|RnMzW7tm%; zW{V)yQU`@WU#qI9dKzmR>xv0F8}-rfY@bccf?QUj5$GxT2rOEN2=vt${dkFGP_k7i zsu@mXQ5kHjYbI!Vv{-NlCqNYDk#96Q1|FOYpxulbu$133@TshW6iIVIGl5KH2trCY zI-gJnDx!K#LZrHf9gAhAO&}TNfmPCXEF|qHF`X@f1O+I`1d5mJ558J#mq00%T55xm z8tg&&1WF&zWzj)jnmT$l?`T89azAaiY2~D}JW)oo6BJ%*G|Frz10XjVx}f4*ZpGEZ z5US!96p+tFr=HEtO0AvPRVk;jCd+VJA?_fy?se?BZ)nByD@F_@crjus?)fsnv^Cl& z-W-r0L0=WQBLV@82{qX!kT@KOQ1kds0OdNyEJpT3nk3i^Be3YllA=8rLpvX~DL+>j zvWS#Bwi`a3I0iXr_4HEi0VcK@oX(5n0(_c5e^a2z`3>vntW|ZP*Vk{^X$`*&`w;5WMaOg z@-!fIgLbe;(c3D$e^7|wh8ju4NwOR$;9tVGkd8PwCIOu3J9N6Dn>aTaEsaoiBxLKv zG~^m36sH;f1~ZlHg@C z!j^DK<6ky64=$ykNJI`v$#hq96couA9#`W-y*3Ng9y{FR-Co`#(Ig!SomNRcOH6f3 zREX~Y*7Uk_(IhCErMUnyiP@-5RZ! zpq{fTxB4pW{B-#) z0HZn|9T3IGT9p%+!JAB@HYb|ybNSZ=1&k7gD|UL3ctIschIaZpss!vGE2}iB1bBQd zcUfipt9&F?M4%Fff|Bdcm-i3ch4rV+XOubr1bU3G(Cb7x*A|+1GMK$85UO)2o7nVz zO0@^cEg8rj`u?ELK9?x5)TbPhicxY7vlQrNNw)x3h*!&O4I?T#qjX*mi$yw)WXem3AmQddl zkM8s!$J*__Qp$izz3o_{T^6DcY_GM)r}+BDhLetNAb;~55a>j2Jdp2L4u305b_u+bFuJat1l(F zI{&#M3g$-<4j{Xqur_u?{-Efdyc5t9XBNIQXQ^LCH2p?>e3e;6@|E zogq4Yy!_{bf9~z{_fRJ24gH5m$KIex!*@IXeXuzFzcycg+I&LAz85cF{Dh{$C}nfE z`Q_@K1sD4Vdk1e0|83{R*N@*G|McQ9Jg z_{0ks`1#u}2QTiwz4!$B`r^y}&WqWXofn^PZeQF#++BUd@awC~dwOMFeEtL=d~uIV z8@%owe}8_w(dTrHb;oel!`)xM+o@Vs|7v=(1^6@Be)+yT0ie1sLDn`e3S7e5)h^wc zAXRK9b9Ws6R6-VtlX^1yyP|)Hbp_4gEJ3%&$;?6NhVPQ4I|vwt`O}~kO*g-6imfUl z(M4Q%N#z2C&6dj+6Lk(^swOmqAKR!2<qrtwqoMHlb9_V?{kunm@xOaSI03pxL_$ILh=}d)9uZ;o-6I(BuFYp7D>Zx1nGS%O zNYWH6Aow+T4Mq$g20%19fhB`odsQAt)g71gT-9XVgS@JS$)`0_6`K3xraQaUOOr~9RnO~6=FGuVCOnDE0dg9vXhuAx z{n>O_cx*ZfhKHaK`~!=_Qy9Aj{()9Z-OUyud&nf~*8O6|R_>KhL(Rho{zMmV z`XoXuK?n(?#ns9@5v@gH*Tvolib_F&(XUtV0NJSc?QE zT-s!lXYQ7vqr1)J-?o*cNo~375wC~618kY7NeyU9i$tGYo6Pbr7wi4JtS$-XLONe3&B(!mQQ$L8q?|Q>}^fVpn14Z1e9XbYZ(j>EL~4= z4%C*G(nQ%di54YsmtGlZ&Up9As7`{d#vLA%^TkV8o;SkPV@w4>A7WM*9qsk-!NFk^6 zv>mT6;IL}}fiJS)Y1kbdbLS}^Vbg}xd!xVjKQB??`7rE|) zx{6a)UD}dt9-vv0A6qi1XeBn;oecZl+?3xf>`Bl}VrrZGzjEr&J5hr#$VCOUAch2; zWF-R(d~Ht;uU&gqE?C;msKGaZjr_d3CF7mB3PHJNk(c}o|gXrTFd~P)0aMZ zufpu%A+`Rwt=nSxq!BeBKW&L8dN}PP^aa^tS@hkX-SgbS=1o1>u}tOtmr>8jk<5rD zCtWq#VXdm+_1`8p>De~#(g?j^y;h(Iviw#nBvZl+GQl>Xl3;)Tz(jTelXcuEq{|sI z0rhKC+M2#I*Qi@K+>Z(q*8;Vi@Jp&q%O0yuq7ht#8SG1a`kdY-T1uhFcuL*o+0vkc zX!^VBnMzPlf~%ybigqgOJQ?EDM5oQaUEL%F)y^<_A%k(TKAa9{TGDUNTZGS+3z}`= zg5VsJ(S$;LbH#k0uNZ;_WOE6XZ7zgi;6herXF5ja8Z1JpNWb2>Mskn+fWJgeW=!jJ zc7}LC{gidaKcPYjD57dq`4t2ZqJS#U$Lrj{1ASh6J(wK9Y%mo1jF-?1pv6*x+^dOU zY`gP%5AhPMX9%867K64gde+6cjQbVl@YpG8A!-dJ42Qjvoyt|uW6K#T^K~FTgHOEH^^6Uv5hsRH=~6)q~tgfflEEFYOT&jAK%u`WjA9fVE5SAJZ3jC*g}&v9a*mywK) z=kJ>n>RHW1Pyy*sA+_%(8L}==iEY}%O&`puUXrCqLE#;Qb?OZ0>aR3Tm_lxAajg40 zvLHkp>%Myq*zWHXG^B%c;ox0fmq%%~dV8`wpUx)bqwd0Ou8CN>jhg+;!E2)LYjk_UWFc7n0%N+D%gK5mgaF5qc&4Z5SSLdyjT{2Sw=I+LA|f6m`OnOdbcg0bm!-f8x&f14XJQmAX1iKOp(5TcT`i2+6+)jc1v{=p}D2hbo(<%#FZ6|iDg0|VaH^- z8j_!$wNZ!zfks%J^{NCDD)y)3xUhsc_ni%)l{HBa-{~Ys=GxT)yt;F%v`EXq@3#hp zMaO(sSzJBZx#SB@w4h+V>KEsrWlMy`Ar5yxez)^+=fC`yooV7T3ZW;Xsq{NPZkt@t zz2mT$=5ylC;vY^NN%sK6Gx(=rj2SP^2Gia6AbGg@1I|bvI=OjJSBd5A=Lgi|d~o!9 zawr1vFxXjzW%cG(W=)H_O$|EWxoRB(#|6Za{7f+SD*P%~qZoj52`VVrW4KusUfqy7 zS|NSarcx3D3GN7*Yxy5C!DOh_`L+wep}&LqOAjp6yR;!6SKAP`0VQ#+nIR&`R_zWqfcr4b*y|36PzZ zd%}d35BmCdd?N|8gT;%mt31%g-;fNJ$zJ<};X)~_Y5 znwPX-Ru3c#SUy@p7`JGUjGDkxclpcD4BWrjvAF;8a4iVRq-q3*g7 zHI1XzU56@1@36)=x~-%>7dl?LBPBJ+Nm7dE)*Xz{OlZce0hESjA8b$b&NJ? zV>EuxLS9!lM~|PE{~5IR3tEyjbZ09Sa!cZz20lEQeB5>7%pZekxfQL|+m$Y*Gk)HNR4aOG#Wd zHQ*M3J%NiX1;COycWPX1AkBB))3<50l_*n6BZqG~A3 zz*K*EgLXHAuivh(9v+dE^HRYGS#+>N4uWxbC~APtWK&eNKLU27zlk5UKa{cC^Dt8M zliu$7O^VhWXSV+#@cXht+L>Ph=;^Rt$h=PhlhaX^(T>el9 z(=dKx`9~;Cg78&{4?U#W-faHP0LJ-)m7_UD!i`m_=BesaRH+s{;cmP0sk7Ub#zgsh zCnWwIQLg%ZSu&wd9($ds0$AIAx%8yksLd_tgC3Y9FPye&P~8P4!s4%3l)+9 zxRrkyVJrWlRINs45KIVeLnt9x3&((As#nBEDsdb6NOvqxQdlU@-BsDDLRqE*)!@j6 zFIT7g{o{iV9}s76A>zo#&h0pYD9Nd%a4R~-pAnVi!{nyWPJ7e0SV@EKalLhK65`r_ zStFDMX5{ASDWg*hPnd%q6Fufc#)D(cmC5Mp>*nt51`#FJ9Ed4QbHo!ID21sQ28TC@ z2IcdrHKTit$+ZPAw@*r>RzAFC#rL*Ypuo#rDnJ>4_h?I}pW?dy2|TwUH>7||4i}Cz zZuhK_Psf+TE81~;f9sdSHQMpzms`J_Vk^tjW?&_-jmJo&t3`$Js?%}7EFp!lyre{8 zfXUKR0ToaqjEj4o0kYSb0g@kAOO*mVkY@NpL0lpYt^_@Foog&O7#2f5#>KGd0Y8gM z0&&Ia!QJN3CO80B-=@&v3$QkB7zsK6Lr{hg8cn%c`j3~ZWJ$x8i`OWl0-lgU(A__L zQQZ~xGH1o=qOVxn=96dOH;V}8Meq$k>G zO77jl;OqCv;QiTZ3gv;c1uKKTRclav{>_)0j0E`zwy=I`%n*_Nn>$tQ+LjdS{;x#w0HR- z28{$cz!Yj3WoiO7Kryyb4=8~IPKJ|Y$8aRBRoLmuCsj`VJH*BnRZh?RbGk-~7OwmT z?c@AOrsM!e5cpd*NOY~96qG6Td+X)D!4_|2mJZK zH0%~u@;!c&wc{iF^ncp<-@Ck!^h;&i`8hSVM70knOw$qr+SHPEh_jG*%)&sfg8CKU z(rpP7;|?*5S{xD>-~=i%6b5n-gxGM`6+@XpGZ+e}5M3Veymlx0CGjOb2I2k$V829T z-n*zl)E*G59b2+qBcE)2JVt>ENOz;<93m^HB$`wSh5^ptLE+f(lqp0}w6~H*5!-I6 z{UC+~onOal>xVl7qfV<@AdT0F!bH87<79nEV@N3*AuLuB3c7Rf{1~d3{{)`D{%YnO zrV3fG9O@5t(7;Az!V-D&0am+s%Yey^N<>ApU4x9pOVlOSguK#yu!ADa^snP~kw~I) z^~cR8P7tMEyXV;$Ho5VE#;BM+_80V~E&;R9LNwE@j%~5Sg*$OYW=p}*I6kG)3kPf) zhRiUKBF9(b4-v2R%Hp*ewt(w}Hner8-95|I>CUI7PQwUe7!uCIDihc* z+7%8hx~DQSD_xWn8>M|-hA`$+HkKQC%OqDQ4jiVr^a7fENUcQA#;X)r;{&*l74=l~ zCo%Gk$|oe`An~pDGGDNOb}^slm^5@@G3sXMU=;fZ;uaW;0tI#xy65* z<@+ORHqtL5;eWm79v~bo0501>=xcc5G;7}5TQX>`A50=~Q#Lj}>#XBXte zgZGH7zz==jK0t1;ajXtIzy15>Zh84j0uv`GTZg9n-NZ)O!w|9`XRDv~x>WauI5Ha^ zU*11>HRO0pX+)$Ew-wvNw(G{6HqeodpsGI0lOL9U0#K1+BI(ec>nYK z@%lQH1@|m;qAJ)4l|yosnkkJ}U5g~qft>~ptE$CFr7DqKp|OzFQb5y!kDIGsQfieC z3%{7LzWoe@-2O^f1X7KWg0l*#*S=3iAtG4|1<3S4XE+#Gqqzk)pN(^3=e~iqKVCBu zu|H)g?aVx+q%lfN_^i)3TzIh$6kQgx5Rae zHF1JkH=wtiR26uM!+EN7J1|F}Vek`q;k}|zFA+h$?K#xvR97iY9~@Y6T5iNd$*&CZ zsfhERK|U-dM~`>+57W)fmxrI%`--F!t)MH8%wJZty30-!Jy05xp4Yg zBq@@GyhCLiX2K#TKt6D`^1_!pyICDfx0|tH7|T#1^E{(l+9%oJv{Ms{*Xi7-Br2v- zFF8adcFYxeHz$UMwH_HrW~wY@I!3RC!ciTOJF04L_I&3S3~4PmbMmbritYU5_0M}J z|Iq>uon63?T;JVQ&bbmsl2Z16yhmZ0`}^JxFzLbmdJI0-dbZ}V^RNH91NbQzRrXtT zwylLzRc4HdA+<7NIvKP@6AZW5DBdPWNgJfx9X8b|ZV-7{1$>2sT5}5d)(m=xI6-zq z1llE8ikc@|UsM&Gb^++o4CBS0tLu)_aLt&Bl2mD2vQcu|h#H}Pq8Z#cptbQ{`VeJX zJ6bF(FbP|O&srA*g=2)PQ=+IvNw~8BazNT9NR*a0pF^;YkET_;1K|`jtCiAmF${LR z8hyyggPv2Rkws1k+|B!?Le*3@MM?<1ED1}c^@#u{4OMF`PwMn2C#E(ASF}e+q-_uP z0H$Y;2eVW}*s~sfa`okB8}0jKo`5YuZdGlSC^mkIXc#a#1j-$d%nB8!vkTNYTugWQ zLn5nvfS9BE*SCKkKHObF$I?kHhhtaY!e} zkd%Gb1fdp?(+f1NsH^j4#U~Qd*{5v8US-_!eoN}jR_j)+*cJ7qgc+O-4+C@4t3+`z zQuA4ot}I!ktr3J`luSF!77B4UJ;QbvnCZS1u>Se_^W**I6O(LYEFvd3s zfg2}c9@tZ|_O_i>rXM(WW~0e5^w#lP`9xG?k^!dQ1B?0lyPXR#`>_VdRq{PvhYtt> z^Y45I!@|z)|K3esMa%b{|GBdp4{$~9d<13gWITMgJV)Y4QtcX-g#cLQU^;nwwyU3l ze*Z-9=iTe8FE?v6Qdt9SBu$4X>`Z>+ow*$pauF=F}fqg>p zbLfyr-(mV^nek-;48>3L#jB(N5>rz&u2Xy)Asr`@*0RH@<^kk~)lz=ReKR1?Q|W;Q ziuQWxNraPO691h7W^h>1cBa!YBPfGKy%i!%()ao-7}L*D@CP+@RNl4;Gc$k?;RUlo2RJni+$p|J%nN>$7DnQ=4* z-_uR9J=dv&B%vzU8U}3DD(fziSRWz(2O*xYTMILt_{!@q(v-LX63ehV1sabrwcN!@ z`Z?*6ekd$BlQVPAc!8=%FiApJC}(t$-&H(}+mlM0I`XHSu8yH6m)D;!Zj+<)so@&h zfVAFPpq2?-W<=z6Hjq9B`>utzY1IrCb#1<+KXof@(tx$T-=AWiH8yON0BXAA-T z6?twgKpFoipi&`~@Qn#%G)VWQz@v4#JOq4HW%F&|51&=#>8g-XXPz!Pj!SH(!xO2( z=I}fy>NnsQN$IkBzl+V+%WrEGj(FI7J-)uY|G7cOxUDWB(T@ z-GmQW?GN+iJNxo2dMR0{h3GM5V=3frsoZ3P%k*Bl^2Kf|+uKvJ^>9CYtK9jwsqFe;%`2-6-TtkDcY`)%&JWm`Aoo8)#4R*}$_ zBz=hxB(J*)oTrTjAw4kKFxi>OfTRR2Yhg`w&Vy)@t6$n2G4dONvPNKW=ke8TJDK=| z({uU@>MAK?gbtg#{2}4eK0vli_nKax!u`teqg}LQ)^3-c;FpMVp4TLyDI$0caBn;d z)T`kY@uh8rEog7%ImRMWM=qM_)^8WH^ZBlRYQ6pm3$}6IcJq(BpNvqv<%#Ow8O2EX(l_B{-lE@t4jZKH?C32)sMDcWL{(?#Iq| z-H%Q0x*z-9bw9j$UH8MU*L6QUd|mg$*VlFbb;xwSRr&tBdE!2xz%;_H7xbRmK~(5@ z;t5afA&PE1@r0*#vG>$A_MY0u-cuWi=9Jqf3F+mD0Z;8@|EZnqGw@bKH&`~joa@!? z@Dc^*Gn)okFmX!!);jO7YhZI!vdn`9R=j#w*|$PF{{*P=+w}U*=f2Y7-5K+lUG|A~ z-(7`jd*m}NYNo=Qj3Ig5)`!HBG@K^o%e!yu2j<4ONmg>B>m4nVmdPyc(So`>IvSLv zY03}m7lwX5&5go)U+LW>g(9m+qYL%d$*ML}?5S0tc{O5Zu%ng%43CsT*bEmvJ{=%j z)aq$5ovyC0l zPeoJ&_RGP?`KssZ0$(~hBTEysLs+WOBPZ~bSo(!r8B|PYdY`p0=0_^+5pZ1 zQVZd0Hh=>Yo`~A2U3j#4$^nQWLxlDQYfsXpJO+3OY&47!%MRTz0Zt0)WVO11r9w*@ zYllu(96Tf0!w5bY)La`LS{NqdnJ5?LwYB0-mf{1M12x$FN^vm{)MK@np*Ow7G9&FI7rgJ3!6|T|u{%UU+1EgVvs3L9$wr9(qKWTP`HB-J)In5g+uzBTaZuvmD^zBfJGeD z0s@1sin7rm)m<@#yTK4O8Tp*P9?dAqfVx@hY-QILcS6Wd$Jo;5@NqzvB z?OFjJ#E4p~2YnZ6iwye}`WNS2O0%0nSFtFH50GW~vmk|$$Qc+a>1=p)_wf;wq;Tev zvP5a7i&NAXXX)c zTEmbWQHgyz3{}UVl$_3A=a5PH zl>cN~BAvAGX7p^`9z|cs>tRC3P&`BJ_9-u^;P{@l9yt&I;zw254-p;U0%OwA7TK#+@_yCp&i)$>Eo}Fz2a-! zHZm=enhJ^`z*fDSA{y3Ozk@!VEl*L_+NIPeS#!MG$#n7Fpxi zH9T1`-DR<*pR;z)9M72wpoaktEUMt>^6?Xsg{ulBhat~Y2uUBUnF3Iyw0&SgYv<0E zme=j;u2msH#+FJKppmo01>Ck7J#|;Fbg`1mLdh# zt;eY*4xA9EJ&3B=s|oT~?3W-VJ_fKnWzTi6dGc$c$2(Xek{K9wBBA7Rxp*>%%8FgB z*0c8k^Xar0bI0c#ji4cpP&lY{o|G1VlexKp28Z6^`9f#S!mT`&E+DQ1d+ow-~?sFmDE z4ONQiHbGe!J|DhIkL(J=!c#%U2*86W2b9euVKz!axhPEJVq`4Yc^lz_(g0&n zHg{LwekMijW&2_vuEbo*Q4h;2)9`LcqgdDdXw(@){~FkfLrbSRep3mr8INd~qgs$GYoB0gs7|V)QZA z!a%RqB4V$BCX9WuG9>W_0wYv}ggn<|i}eg8P2d|b*m8?t>!GnT9Hp*1lN3eJoFJsp zSG{k5`fi`U{~2AvcN)qrBt!&l&W_Q6O)U`d zV~r+wP8vjz0j**T(?clp^qI!IQ3LO8JDI612vL-PM#harAwY33FK99|I;I*TieqkqyF2|BTt_F_6e}JafaT$x4)hT zWCY*{Du|wF-3!a?H2Gm-fHbj6rNERLkW%&5u4L{w}J>>KtMbT!zfn(z35NK zW@e5bN>GuEckhAr7MaQXCP-Z}8b_{EuP+H-byBJ_D6#8ei-svwHM@PKjbq*yF1~4r zr&iQU+~8!H#Q%~;p=7I~#2dWUXK0aqQD%jWvI}M$Y@3Lpi=dFQ0b}6adFic+@&rFI z?@^N-;A!~BnmXD@I*8N0_^iwlP5O=UW{|*f*`q)6YwNX?97-o$1?!Z{=np=A4Y6Wt z**X~xkHO=tR&%)%P%q@w0Hn+`@P=TEf1yjDK8yktkXc&V3)HO)UB(kVmy5w^zsR7p z$EJ}+Zga2d7UIh!PB?nW?y&d(UekUvp9SP%?T^9rms^u^7=hE#BVD7(zP$Q(Cni!R zgLp^TF6UvD>6L5_fCT-jQ;{LPVstCYVivU+$&#>a%!kW0xF1>~5*fESyX;g1c#*+V z@M{~qtDHP*EddVUHXJA%+H}+gx>^J?k}86J#VKHaeS7D~RYW}4NWZymAb*a2fK?FW zf4y^fAXZ@UUz3}UVcT!xi2+SHu`pM%TCg0Hz+5xH2q@jFlq?aTqD|o&7USU9|YwHNURJX zl`RPJKX$JO9B_+Q3~zvD-9x$!+Cz=4*-)?qR6d`+qwzV8BrU**q)*LaQkSimRPL0( zM%)`p(P%gcttdT-gQz7Fa4s(YwmJVcWSuPn5?;;}i59@>D^S*gl(@wSXO<5&(8d;; zF+}jPy{UDBZYD7&tn>kr!f5MljR+ikXN7*WY6G%sbks&|XS6*iW2&%({A$qp>5hy%D`&RuFqWY(B78&88fAQk#6Kcl1 z{>c@CC&u*-f1>><#U@$8dfnid-xFsjY<;KzY2VqNww=yie{}ny_lO3V7TY2f+{2nKD_9C!{P?B!DO4hOl&62VxZY@lR%HsQv=7 z*ibm&KdMhg7~yJPX%7lB+}1&nO|f+ltHp5#YZa$a_UiWA(dEa#`TCq&fMnL_AX3O% zf$yTMB5rljiR>1V6E2;=_Co9K8lhmXK7an~8f{cRfh%M`)vELpbP{Zv%JV@b!86tz zJkw6v2kejC`t_`tfY!d9<)2yox$Zb1m9hDDiTH^1!yhttLNHw49!zn)eoGZ{H9MtG zcnryZa5h4P7=$-1&d11ahcIQLxo1MI^5xnNQo9Yt40D6W1n1mIPE|@0VU{-A9|R&a zO+XFsdW{=BS7Jxv&WJA>jaUk(Buzp@i79}Qr&6jpBR4+IDVVNQ^aH{fHOHHx2zo^pl#V{WA7tNPeo&kah)h&Tb z%>bqAZh>lWyi}AswlV0IA`)bxiBf057ORbR)&+%|9zp2l>!TwVa_dm!hHh+e6`ETp zQd^7daoLJpoK7-w>7?1ut5yY|xh0SR{T#IGmhjRY0_*7=qoaBL7#yag$vATaFm7#q zO2uOJ_uD1QC&E5H+FW1$hN2l(F0k-$6+h=3#{g7lIn5!JQ@6g166yh6!g7dy!%v3= zz>%BO$2Rg|)#OM8V|GlirVAvI%X^j81*1ylsv4O^JYFb$zT|*BBz0Acmx^n@wO#`P za7=n?C0{Sz2O`E}Oui>!g}x=*9Fd@_b^zZAQe!lDF#O>htrt+NNJd4yKuz)%lWeX4 z4)JY~M@BcWHR#C<Bp3s*sn>#jsg0ZZm?d|OYA*7}*e?WbA zgbt*@qzVHConbLNpEJ6GKZ^%C#1iYzYbPa;aM`)TP&G#dz$IW3Kk#ujPEGsjZx_@B z&k0*zx8bU`op~55juh*qO$i5x2I1o&{C|i76q|x!Vwf6r8RS(!0`J_mh)0l2Yzu2< zwK<`fjB~6xaNOZRw&Fd-(C z?fmiFepjCisq3i8&{LK+qlXkSjv4W2fwQF`O+*Y z#Aq3&BKKk$72x{@3h+Tx4%U+yp(aGTY9KPTxDuiru$TcrZ%SB9Mrs}yFK@}-eYu3- z8xuxu*nfw^{j*?LF?(U8=(aV=ZF6mBXj-8cQqF)>%hQx-TLTG2Qr?_RAkW9__IM6j zhLU9d2~u?Ib9E(ji0VpYSXWo5LdeyH<|^NAZ(s|GH%5`|%B2P&o)JeS&nd@$CPRn$yqUiYRw};Jgb)#mfn`xf<4y!}4#_2PM?|WI)``_^$4K+S%^;*$A z7o~+=$t&&(fFp#{eKgCR=4d7E#Dk#U1tl>i;}*>(q*4Xbad}IOHtE11QbAW?27UJD zI~uO1M^_K`{rzf@wvC}NbS9GIL!YjzZ zVdnQIAy$nBi?ii&!X|1rm)C4%vhL_Srsr7zS4MgXM5ewPb4j(7fSmod+HcSSzOTBn zQ{*MweEcoBmtvLNAE3LQNIumqoI*NnKoDywylgqNebez&iudeD2K!jOYGwe5!AQp- zK}u1;Y4a>q1vQe%Gag)w+9IwX8)%Fv6YUJ0YdWxQxDHGjKYF~pmicP2`LewF`gr}& z#1tI`Vhd6%ovXG)-PG-uFV*I1A#=0~jwqqYSv^Ro`U`~A#$Mf6h)~r1z?b72tuaZy z*)k@$@V4hfDV1$wf_HBl69rYajR}swZA_F^*)}FBt85z+#Z|VAfdJs~OT#&O4n?C-AhPHo?yyY=k@Vlm1Qama7U_XrI=B#kv6bZLKV~UV#>KS93YOz z0nC%s%%*TbEQ5lBC>ZfLaSvLHmEjpZ`8n%yGYEB2Tw|Oou@nV0(1${JD#{vaO2q*9 zSdd?HjLlBh#B&6(4vwav_LvjZZOCcXIube5j|{ z5+fOhmJmSQyu)%1R0jcQ}Kf+s*HA6Uuiu zgYq5CpnQu{DBs}}f-SVCP`<+{l<#N?-cq~yG|r&>9nK(_PV+n5gz_EEpnOL&DBt1~ z%6B-0;A!nCl<#l~Ut7=SASK}eZFqN3Qsw?Z>|qIq*aY#D_B+^wlY4S0D(-i&@^%*oeufqv95*@7n>gtG|N&l1x^sA#)8(VD8* zo#j@~Vt_ZkWv6LmGVp|#P#ca?4tgA{G;J_H3CT~|{x~Yd*H>mfUQWUE&C4lrzIi!? z$u}>jnE2-96ae46oTA;Ems4na^D^VutCNFy%MXs>crfNzINpBWe7{6k2r>NGu2PUp zy4W|uCxDqkn5!b8RlsH!AE97WhdaSV!$|Ywq2SpP$xWCeob*Tw+}_M;q4>MhEj8f z8-7$zip{6c3ln#22KiVfb}kmMKp^?H^z7&=uV+7_u-x)`v-!53Wl>y^P+TF6#&fj} zbYLuT)eHJmzs-|^k+9(20-boKc4$ze*}bR&*8#cRLt{pRksc8=#kdy{C! zO!iKtmEgFLC!u7M)^M3xWdQ)EhI|tdX$VMb{5zjcJwT1tZl+v?rKEQ9lsmPfIwGj@ zM}xC>yZj-)i+uo-*6pLqo4@tfX9x=O4Qk)s-+mkEjli zerEO#J7q|bF0(>>q)QHqg7b^{s5>bjo@p*P&`TL?K3{&^tQV(~2%V_y0yY6~m1fju z9|qWi`lTJq#$x}+r{>?zre8_L6#UVZ*NR&PBBj@xBLrsqdOnzE5@Nq1BPkFM7U%>k zQEdPg|JGye^*CFGrd*&M=*H?gW@!}hSiu2V77OmPB~t!CKMga!9o=m%(G1$#jJ7k} z46OJ#2EBL`6#~YXH`N8urbAg}v+j!eB4|u-+g69hlub2|ZW5ZtYDMpcr*s7k_m9(p z(-`^Cn0y85N%}@bqBVK!8@<9Fl<8qRIINJaWfP}JTPeD9VNcvPe{bfF(8YxX7 zA_CIDhXhfW6O4%lC4?$VBq$P|H<&xS7)`LeBa~QT;0arNS%9lnYeN)*9h)=y&{3-y*ixFdX}|y*#nYX@u!(@?_b9iOp55j=g&gVv#0@YO z_pwt7OG#1vwp~Zi>PC)e&yuVh4lK^E-Dm;OOvaJ~O@aBe;4rocpxusbN-;c%>7j3p zm|h7jA;z(I11RBihLYbX4{K%E+^KqmC*uJAetEYkQI~z#3;lsajqZd3JJ=xPEdI34?43GP zovY&-XIPLt3GE&*n#UEkW0eRf+8~&zkiK$$x}KO-3PmY#RLifow+}z7FEV9~+fVD) z_nVLHg_@qThTE+E3|O`R6PEuuJ4Y_@Vs~i21s3V^pZ|os4+sT2|M-u1bh@l)+E>Q_ zg4&Th5vz9uBP>D}ExoX{IpkS{1`hz+M=)7wOEk>T$FqL+3t2z)`ge&~Boc)kp(??O z6eF~90-$;)tK_YvUo<~s%}?P&FcE@7YB$I8fT&I??DMa<%K5l!tO)dj5_ zqaavub~;}4-f)O^(rC~uftadAn^eTkQVy3u#dfEZsj~gEahwzOPodE)U-!(qM}TINZEl^C3`z{F*gMh)P6}~hQpAEdc;mNN zQ9=SUoHsIxOZvK_yav-*s#(P0H7G)xLyE#D)QAiyk5 z&Pcke;ohvhMYOOLqUbeEXnvHvkwf-VATrGogV8J^@Y3rzioBr;q*xvylSGmi^{}1Y zL+JdaC^rP~ZXTLQay+K&QfZA_s#!4i1|e9e9!@D8=A!xS-#2&Gg&olm^N~{golNZx z;Cg|*iN8Q2f_U*oY;x-;klh6?Cku3T3#i%g9koj@-&3ACLCFS?lb9c7ypeG%3#GQ^AGAHhMS>8pMXyAw>lu6@-+BNqEFj0P^n`eycSux z!*?jQN6t2Mu_ffCprNTnO4Wu|Np?&NYcfkPf&TuGachVIV_)seND)sIQKk`suaz;A z;UYO7b4kLv-An1&NrkOF%2>F`%U15JJ0(&o2L)zj{6ZWpTlL$t6#V|e8aM{(<^RW`+s6MBQQ{7!#RXsB+ zt@2pYT|Lv<{$f@2Y!4{{0<`)Fq67{iBMLw(f*>Rc!XOGlB(&i_=y)a5fvt~3PMCy< z=|r|R2@yyD11NzI<@Y=1-23i(@4o7uReE>2-+lMv-Fxo2=bU@ax#!|+2f{w+5gQY( z?7R)*-q4LyrbV&h6o9Y<-1iMy9IQMF&C|Mo!(hhB81ph1~#JpJDI5MBm^^ z06UsPjK)DloLNRdo&t=su@S&c#ta0$Fx-Bjpo|HnZGUul@=j|pI}EN(kHLV)$^>R( zyDwQUU*xM;ac)9HKty-9@0TxtHZd|M2e%tu37SJjF49yLX*ERw3w#m%uu~-Anxh*_ z@9D^0h-`Y=!m5rIk!7v)g<%Odn~44%?Fp55jCIRP(n|*=1pc-d#Cp=ZzXGkbh==l* z3MI^=v#dB)8_RRdHkRkafmohnWU)NI+-&m^!yyn8uhX;`cMylm5$>|J384pj23k*W z`Y&>Lk!WN4FCGUIkD(>Em5{BlT#hywJC!?5ynWS|@;7)WAJG+EK zSyeKc6SG*}tcZQek%NXo02>Esw$dQLp6p3TPx2+{_7Y2OZb$c(S*`3t8?EX;1p42o{Jqc`4#uK){EKiP%gOQlYNA#Jf~fGI>(Z$yWuHilU;_gPIa$u3|fvFq`f^namzfaSawu7XY`` zkO>-9hLBbTomflt;t=v(k_}CTpa=^YL~Q#fGcb-$fQ((8D^%0LD<&eVPWQ^XQ5=lG zGY;mHN7Xlp%MvDL*@(;x1z^fTApiHHkI}I{NJ(2m+*rkvk-Ac#~0H$CSYeaBaj0imF>Nt^hP6!O!;N z!$DDzBntuy%=Gr%UcZw<6vDZ}jqUAw;WGH!F}Ve;H@f8J1Mm%BK9l8a3cD^u8d zw!~C8d{Fv~OsTK}nSP#~g=iud-mT~#VFB(Dv|P(g4i=+>$2k7)aNX^tq^dB9V!`}= z>fjL0zaz$U#9rgkfitUQOF@_2z0R8jqP>3e*6r2$8r?Es%MA${s54B>ofhUXGZxo7 zpS;!XdSYIOE81rI=-C3dK*JSTsQTJ%!v}iciCV4jNx?m!sM0~+5y~s&T_G>vWiHF2 zdoh~oiiOw(x>XiKoxm6tlsNf{ClkFQd&5kK~B+2K3DXQ%(Hu> zN`b{DhX;=|v`7jsId6AbQml?bp5~AhQn|vvchW&Awk}rzbwA}mayKgj$wBvQbduX; zje0C(6KXt$Kvo*6c~FX}?7=)FOc4(K8slV@KuIdZ)-0~Ym{3njV}9R1s~jl=-k195B?r=5bDBpVuO)o6pUEl|GO*y)cM z#{}`cL)oFSkWe*j?m%=PB#3NKr_Lgk3^?ASI@Wzl?gI>`YY z7k>MzQW)@%66r?7g_G;s@inDmjG=Q=waPA>&P`cuj0azL@!(ybU2nj<3(MDh#S!w| zeb$v-y@^kz*u)OU9oWxnE9fkE7WH5}^BB9snKV+hy&%#Lv-mi+taRhekr1=R%LS)d zW3sUr9z@iJ4~Ob}phMTFuEs=~rnUyeDndDxgTM+D4^QDP8pKJ0PlD@$8@fm$lxaIp zag4=uPS8{q=av+L#UQ8jxYZtRa*wayb!}nl6ev8x!jL+YAX+EKPt!3tP4p@$=_Zkr zH>{^yCkMEcGcp)L+iqLzL7TvH4E^3#XS4+mHvS#iREZlm{DDrL?hm!0S~ zT=txB6)Mpf$D{;e=Zo?1uJ`W8U6;M%r_taU4ASo-=slyGFrb|6u(6AO^(OY% z_1fBM{nqO1btung*plM5jcc_Zfq&$urbmyadwbKpPu~3GBbXz0pVVg4r*I8AIj*g( zudcs->qlQTLrXo~+J=*hy1(FD~r)Lb>W5b*>0#L-T3i~gT|Y8L6S_8P_# z{6HwVapy+6E#loq7t|2WpREWL4D)0vxVD711&hnpj>4EzE*Fdpc_IXi8h>M`LDoy@ zJWv-yU*}LW&*cm~8$ityTuZ#zeX@7*I32;i>Tn8=miQ&hz-kaklX5lwUIcfP^+-n` z$?MJEkzyPhNe!+RZg=jd8-S_sa?{Fgj_$dY=X*|qDBtH13s95c^mB5XH$YtZ8HTcwcQCJ==vmb&bBHk#_TJ=|J$Ww)1J?SR!ow`-Eimhb82d<3&m&-)r% zhizMs=_`u7Gs{ti$>{uoGz?%Iy9tOR)D=8}Z_;%8bZR^A(BsKNmLPF6+Z@3|ck#JA zL=j3#g^ozoY(~G0K}$Z{|N!!VI@vvTlW!VljP|hB;5Kc)LMcF0&*(evn{Xo z_I4+;W@5Ad!*BRgSUDI|E+E0Q4M(w)w~(#-*h1XYTb{!N&8a+-+tCUK?%@oWQ|kEf zGZ@P>)AH`}xk%)^Z z?*)JzQPc^5hn^xz7Y@<}U!Xi1^Rk+?MrXO?Flw@sQ8QCfI%?*pmMeu+3T_oRmZQ{P z9t&XNeLnml)y=MJ*PRh!I_c^NREH({i@HKpK<9AjlKIoGs)+=$T_)GKt_imge7AME zJ{Yw;D!WojsW1SZKe3a4s1ySpjSZsOAmwI!DK5y&EX$NADul3eF9{X6sWHlV*aB4M zL4RAF_rL|qD7X3G-cDsb#NKp=vC9>4R` z(hNNlHk{ko7z`bi&5c1Q zMJYTy95ud!qilEM{!cg?C7TO(|3uloAVal%GmQhCe&fx^yo%?$-yq zcgk`B+bm|HA8Y_P7RQ%~Q^AR{l`z43-LzE}&FSz{+&0Ez7{yMkiUVmNJyC~0@=APm zFBj<5El`^UiRncBQa9SHrRijTloLtx{C#Ds{K`sv6A2vvJHlSKOSf-6!1}xBF(qE;Py`=Gv&70+Jmn^%1ad zj!Gzkg7hu*c*>*WW0mUN=D_z=CIW@Gri<}p{&sMk#WsTWIvSXfcMurEQ=X`&QEx4B zVZ7Kr1q4)uLub4*c4{F1W%N>vgfeY|?FXHLGdL@%awGXR;I z1QG-d%BIi2X_(RA5VPQJXNA8gTH6Cm3{o=CdG(HeY`ROuS7#5T7m6WhapY*MR=u!> zo%wpMI^79Qqx*1y&-Ec!6(TYeYf$?Xuk)ZAx49$T@*7q^lRD#I+Q2UJ?cL#z7g~s2|B13%4r+9)55ZPYuQ*&i}xAc2hcyirM zdqjodl)`BcH(O)CbbTPn`Zb1`R-VAvUs z5~QuwTK8_ieu$(Qwzg^5haowtJ_f_`swp|GU%4Fh^lD~MTv^#WIfHTk+S+S4RK31_ z3tGgro2xhKH|o<*)^GI{az0o%j_l#sHlc@L@{u^wy2l5 zP};UgBq}jZBJw35KYjx=3ETuHbl3Fk2W54QyqhO3%IZa&;+abVS!j3^%tFG2pm{C5 z6XT35!nh$s%5JMD5h?wg3O~?BogAKt+2>Yq=k0gD5Rb&JWKjVVXyF7|I&mJufk+3G z50-^5S$U$a_4oc{ESWBrgW>jg8|;5`07pOhuHCVh2Qn8S ze!w$C+|(;oV(}1Bh_a^l8|mRFa=9r2(aFg)B1M&eq(}aHJQRK-MvJtRTY1Ruf{N_Hv3l|XBAL`Y#(jq69&(zEQy?hP z(dg_E&QYBl@8Q(V+rlUdRlJ~Op5E}mz38e<996~+Uk)n!(sGxVC^StFV~8#&3?;}` z;gjg1qS^6uu{V91&ZozFx+1_q=-}7x4a-$@U>eJUD%9;RO(s2>PCBqs*~J*`gU1Jp z$)UI-Kt9+%c&zRTCzIKH8oYITE^OTnrw!grw>aB_gGuQU9DH`w-$=)6F&fR`8%|&g z*0l_vW*lmTRvDr!6JLNKocrASM+HAD(e+|^5)T9NG4c~}8_F2>5oYrcsIV3gEDb$cc20$7dMJHu|H6Qz*kgRWKaH$+c?=00?FHWG*8k%)LWU*dFn zHYQ@Q*Js9(uxmrGh;4*|xqsG!1aw)!jSOYp%l!uH5_9w{F2cPR@k=~pSSqlLm!vng!}YIP z4cuN_&<In>o;P!j-u3pK@Qluk878~+B=&#nv6g?E=p+-(=?24s&PuZKnVHf4V?WdLs5`L zH$7bl24n|YP)LcZ#vKs;K5i6kK~1k0TR*&QW^P3AsrQFUrq#8iS|g%UP7gMQ87(2A z?2j=p44aDuICI zBWVt8vdM4Sk+cy{E=g3q*f~NXmKdx=6Tt0R3r-`$Aq*sRYV8x#u&;+dk^q0zIK66r zs>9LAs?$a18BNwT6FQ8rDzZ@t6u~v81JY$|mr9mblDXDNDJvA4Dq+4kBeb_yJ7VW4 zDqZBn*-u<)7SJ5QkKt6Ha3kT-Ts>C5dQNu7<+Forx^%!|^+mX_Fgukr*ck7v^QdPZ z*1eGTP{Q#oZgAGteVikZM)hu_FYpR~i2_U?pw1FCs0&<7Iizjn_51 zFS$kp85FNCaM(ArriwR$`uGe8knDepQR(V2+KTen_mQw|xB$OJX@OlA;Bul+a>1;5mqnvSEViV|Ai50@q}2Eh)}#YV-CHLctuEl!>_+f|qKM~K zq7TI!+=8HZ%1pe7cJ;7q-p0RptN^x!gn}(`T;s4hcN2=LnoB ztV+b(L5>u&1$h;Y7GQ@Z-J39R^b4?{dI}f!6ksbnB&D99C%Y_gZo7rcR^vwbgu3 zz(p3oqQhjvpAq}YSa@9yL6^5KJoP_ax?xq_+x?;6vbq;RurF>MExaDKqwUVa+6uS_ zB}JS}!zD7Yaih12!Q@98?l^Yq+v;kQ<$P1#AvuI03PA)B^Csqfv^|J`Mz)GFYP$}% zAOtFou~Sk=gSf;9gDkx?m1wr6(Wi*k>{3E2>-4icSz0pFBTRIcGNbiVgKd1N8918s~y(>Err1mHz*^Rpe9pTeU6F>4GW@8B@%3U1zo$o4T9R7e0st*j< zVMz^X{X|EN;8KXG<^$Y?h->O#!-*OhLSiwwLoaIxjb17t>)SaNfg&LUsT15B*f{Mn zPj+QksL(8xQG$wwMqAC25FiaXip8@>IMjvxOL3n3lw-3jQ$=F3!e1h_>jR{A&BIBl zR4#s)ot-XBV2>0L2Uv54RkfM;emL4=5aXvIY=sIWJvGO0E5-8&(6V8QGDlcBPBp?V z6+sR{nqE;vr6w%jcI%!jUo1fg7kPwH`9VHJO_haOi?A470J4-AOx3Yxj|o&h&m@%BhtkJGz9~_?!i2r<)YfC)Gu^1h7DjdPQo@YsCv@m3B3To z`@(ui(IXj1O>j1L#@jf9233$A;)Ei)G43#U|5(x7o`C04{A_W8Fy#lkb_&!E@v4i( z@}hPK9=I=4Qrz#XSC8DL-QEAuMDT5TgcwZys8$=wJ`hQLce>Zm^MJi$K0GZI=JFSL zM(!$+h%RaT;EL0J3Vx_nc)1$eAEAM!HZt$RQ;S%+#7E!JO+7&8&FX&G+)bHTkCdpr zBELzZ>;W$8xt*T`i6HTJJ4sQIb$PXBzMyO*g%YWZPsD#Pj1gwC3I#qGiF%tL!kM+^ zdrFZ@M*|VR-z;G6>Ya5QGY}}78mJO_!YCSuH=^OYItX9YEO0noVRh`T(IY4;7q~*^ zi1dmZzop?IZdy19cUn~9ePE$Mm2<~T)gWxeMMb$bQzg0x8bx@z8>$?Aw1bLPEE7D_ z^vPrnF@5)t_R8bw`2s6)c&%1`pQe%naoWYN0%3!4wYFmZGZMc_-!akwaUv6Wo#&i= z8Sr;|v`xUtiTDAjRK}#_1tBof@_GDK219Jzz^29s){+pGvJ|+w$FwF6-F)W|MmlU! zpsP>XVFB1WK^X8A-n}9`S|32WvP?!=HufCLwHvfZzeP^(LxrE|HWf~YzRwjG`1n;5 zO)bR8&OApwO>uJAzMfIbQkg9*P2n`=5bf5bO%tN05@=kGt=7OHzK0qOEJoc0+2w9L ze+4ES?)>w|b0O&N@ZJp2Ul2{Yj@rmpsgGtF1loa#M*k))0?mv)KZ%fx5PSv$TctBAzmT^L=%z!r{H& zvtmhUgb9-_;He=M)vml;TOL#Wm*pooj**V2eT?EO+*x{KH^r_)RPb>oJS)BZfbyEkC=|A3P)gejPC5JUN*! zCeR|U@Yl5(-yWRuIo&-ueYT>nugiN^)OVn9BSe$68;C$s=#0kz(gk|ItC^a_l23)w z0xGiQBA2h1jjMh$$d)&pGAY)e;6=D2$PVHcIVq4xc{^P+z}FpNwaMf+^#YiPO!+$8 zdF=BJNknTTSY(#DYVbf|BFfhhby=hGFlg=rb+U*6qs?Zc-x<28x9?GiHrRRXV_^gW zVc{NL(6-Aa@}IoHw(w(94Ud7lJ4846lP}8cFn`Qdo)P%__uG*nsCL~DL6lvbW*o1` zx2GSbm`OAjR|@KNN2BPe4~j<8B>YamZp1EeGvhn?RS2O*34{v(QQWzTzKo+*vL>3+ z;+#qU*gZ2biqxt{0KM4i5J0E|yBEd=j?o+0sK`g{x`+}Pag<9Km~yb9U$V}Ezm9>; zan+CRraX7MdVaf8uTj*h50fS?;%S{6^^Ok~y4XY@>}@4PoW2a-yEZ2XrZ==Dc{bQf z+uIMeuwP^hRxl1Hn4%>}hiAKsv)L3iV3KyS8qSu8t69?QHtg*-W2+%PvwW!0+}_l} z`g$-x{qZo_og9zl3VIxi9d(BHJ8k6}7MD%uNWve{d#|?#`9$8ypahm#Y@}p(z6m=S zjw2gLAh6Go0ln8|Ipgd(g}>mHQ(n5Xbq-I?K(bV>W#=nY%h2YSbY*~w!X^Q3(RMR};% zj1`6{yESYuPmaU>-IVUhg?}4g48rm z&RrbBM9U$CvwO&FE|sMH=clOd68 zNW9U8oUK^eU<(cwT{y97_xLu$ISuA+9Vedd3=B(21QPMufP!Q(JrdQZv^$*cPO-;L zU^?!>$#Qax?#sj)#u6}FnA@bqj%GNe2uG1O1oj$HfmlD_=Usmt!BbBZ9OT-4yLGf@ zqjX31rJ6v+RqHZ7zQncQn`CD)TUc+K2)bp}UExhwDbYWmo=2$Z=LVDAx2FpazYJ2k zFwsZJ8-3=1wH8A86qqOn8?=n9Y?(*QdJpchh+Q$=^9ys;Kh^!ynt!_CpAZw*mhw$U zf&lc^lj-i;8U7-`#MyMdNCwnB0VO~RNR>8{M<80S?FQ2TZSDaz>mJtB8#==lN#^5oTY<@9K7Cx5-?&u~pXn-136@9?x>=jk zV8IjXSibRKXR}Z-!wrVKkRB*~bKNk0!BGen%=M#g0)pnY&JZbPCNF%K{rvP>_b>+( z1?eV=E8tF_c+d*`^{Z<)xsn8g54(dz;#@gE0$-#D*~n#xS(1UB8uK}V>K(y@N1+*F zwxj3(_G|$LGffs~vw4PC!AGQgN`7puQ6^9363SfZpJfjwxT*J9hBNkhV=~+0-F;m~ z_&+&b%uWuqjEpOQQg2U)2iC2U6?i8~F2aB${pp^JP>Gd19!)@r@qT>r7!KJ9dp+|R zSP}v|A#2>PC7{Sy6cU&Ze~-Zz^KTAek4g}7EL})@DRuxLDR|+U8clgPYM%5FN@u)% z_by^Y@_6`7ibmKPH=lfW+#< z_;+6uK{k$eG8;a$AcXhfo_?q=xOK&O%zNaquUoC@qqE1GCs+d~GhfJ>7s@Y0RN#iO zQFL>S%drQ3h8M3>iHqOzS-a;(o|Sn_7X!Pb+-u@DCdaV2%|tt7E%qR*P|a`)0Yzbx zXVXAVDj}_aq(-fd<^l1#le5ExrUKyJ)HgJxHh5M+lh&)w0B*xLv@gvI#@b-}+l_Y8 zgBL!#Q0HN3#>Up&e%3-4_bA@mv4I}(9$DPOh+_~AXOdGlP_$yR44BzuV!kn7Hs3s= z8RCSMq4vTat;^X5gDJMQgJIv&UIIvKlwM_kgh5uF?O_0#16m>v=o1V*84fuVHJD=< zcOVc591K!;Wgy1L?42%?r<238X*i};{YKDlt0~QfFt+i?{Z7^~-0CLiVV|ISN_rfO z`L@0hw<*bUh8)FO_T7z>aD_ew<}4}5WClaGu3RSePZ`*hoY0mfBv-Bd(g;RTcfhf# z3Qn8iVB9u4uw%SESG0C>q+xMkE{BXw!mIsr12K6jz+S}j(h;DOekiY}23RF2Hl4P7 ztZRbp)(=s-@?e^@AUHF8=!O87PIM9RIsIzQM(e=IiOS&TkS4>BJC4pk10l6xMKjiF zn6<-AMX-VaOq))4D{GwqewGEtN{Wz-Z4A?=mJ-|p0j2~#vXP@P`|eP8K!~PI+TNZX zfYgN=dMhT5kRdQKngir;G>2GYP!a=$RN!v=Gm}ST-h6~4Jm$SKM+cyKCyZdJ(g_r# zvM+D!Aj%^8*I}$|v3TiUjq@Y=0G7kphv#j`l4;jzD{^~sYI|4?Hh20j@lZlu9iVz1 zrw%|d$Q-T)jxpp5%ig^1oayjwv`LjNzd-*k_&p0f#9aZs5n{CKwE=uot+(7Fph?=N zt=4#gD1t|)VEJ&=!3m~+AD&L{kgN-*cUy}N*Ypds~ zX5vr);IU5bXCjkJjfEdI^f(|f&^~rn^pA|$J-Sh&P#0lHHNcgPG*YG|=hduK_6hXV z!cApir-~7%9KHc5bN5jQO5qjysrU*{n*)_JZKQyLY!+=bq}?g@K(Uw{q8nGNZaAy& zV6q1vb4X24uU1$~0WI428R(E-95mh}FR!iR5^q~_fDYG~2`LH}hDaQBfK#|P-aQet zLBih`Vp=+YN!NM0H%0hnstmY_WNy(3_!9B^>IAbnf-75WjWJ>=iy2S?f%hUuWCN!m z4s=QR=1uXKVGi!d+!}3yHgx;j4{Y(Q;QWiOSpGHaapWrOOU}$Lm6jCF4DIZr9t@S$ zr*ZtIml+wQa<^$>D}%||dbaxewGn^m#&2-NW z58G#_htqRgEScksE3z>;+_xe+V<7Cnb479)ohgB2HVdmFT|cLf*o|0JkGfK3P>3;t z%tJ)Ci4`*bAVLq+7m=lmI(25VlbMmX<%>cWrlQOkmt`!HeNx4s=YB|rkg)xz(vjb5 zO=hzL7!s$)2C2D?vD)uoDcmWWV81u8E?fw13 zlL=J3%kNzIcTHDbssx=Jt zjHZlz~@^At%Qi9$pO};F4{> zR+S1Ur8Afa-<$5SxJ~o0)9Una(Gr4SMd_}sILI2t+H6`wavPM%Q(4l>185(FPKFYX zjG@S-jUL@vk2tN?)h2B#c)CBG&2ZA4h`og4(tPBw84@Ui8}`O4y|JuW{;~CH;wjuh zZE(>%aLZ-cOLA#ftE@_)cu8ifW2*z`pOa|}T2WvD5rK@J+}X-$!JVx)xWB$Jo$TRC z?VR^h=G0^WQ?WxyUb>A#-xTg*j5)$dEBSJyY#w1ep-+cUniacmSI%P3mW?f@@0ilWR)#JDL>g zjFymHiOkzK9(<7wJp?&^m=pEu(63UO z8lSU*e0z3siZD;Tf$MfAGF8lpnu%?eb8`~&l$UPuLnYD?llV9?@Au-tl9CTXxH|a! zbZzwHV1L2LG;C9D70SUzCkw+@&;f?I>~jSj-Fs#54y_wczj)tS@B>`&L zs{cA->>E)f=46!K^^O~$iweNAP$ihE7MA8?{El*)rlm|LZpFz2qJMHJ)086Gyt&(R z4B!caI9xN@(2jSX#c5uAasz7T1xm3}*kmZd!13tFqJW zIt*kJt1S0lbJEuJ`&8SQhe7Ft(Y|7#*mS5#_JBgW4y- zl{&mNND!By)}}Iw%Mqf{JqTpyY+Xe{@Q=abL_4M&a5WFt{z8 zJ3!zGD!VS;ctQEPI5-y15Pr-yUt1@$qlr@{7B*n<8gjV^?gLSkpyFJ6dN_HOhZjAy z`QvGL3)hIu=o2EWO&o22eSo3?9M|3zK#s`|bZCUP@OI2s;Zqb9@FOY++_~$gQp?kJ zE7A(hEQIMTA(iZGSERVcJc~dzLfUL~h>OmVVJgr=>u_UkKXlc1xw;9>JST{S>y&OJR zk~gUC<*@03!I!&I-O2HiO52qX0kx~nThb3`%&O~Qg$3e_X|!=sU#Qg1o*mN!{@M=S z#h1658UM_Rtl8I>6uFUc9LM;VZbG0o!JKN*?RSpBO&np>)d(yotX`~KE^{Rm;>1CU zEZojj1+{B=$%Z@)K4M&Tp$;<>KS|NSp2@pi1Jpa5Qp4?d1^Va+Jww162hI1)q{@us zlQEjbBjWDgt1PE7!-DY9^=lN{+Q}e|U1OmK;O*bdUlqBd3 z-vn&N$3msGG*DKJxV3=!=#5oDD6b$vjG&Q^^!m25jbfqZbw%}C5uKXyVS^7U7$s=; z3Y^#&F$x;xdeDFpw!M8fst@uvUsY;>e2FUEPjhX#LO>nbvfVmqN7RrdXMj09vDx?H zVg!;PUO@B7t{30{o=l=C(mI=B!6Dp(1ypuDW5-mxR)v8}Tz7$k2LGn3j zYXoj~NxKs(IoVspH!KXq28~uX6gR?HS!r?k#6iGGqWC9SjB z44ZaEH4yFDf@=fTC?(y6;Z*7;(WtOlIfIO|syMF)nIk_GqEENGo}C!;B4W85ie`E@ zTL-pBjTSbXw&lcY=GB>&(69kD4&j75-6LO_Uxra{!VR)y7IkQ4UFyfc3mo<6XVb7# z5={sUq1g~JMHNG}1|yAVh`=)Rx_8>08(m(I%>@V-bVS+}j4S%)$XmCva(eUl>^UKc zjhSH#60>Ops7I}{YdD_h#_njiS!tWk=%=%6Ry-|8m-tuY@pcT?<9w5}q!4DFLwHY& z5l+T*c-7{E#;_e_@k^j#>?^bmMoZ_3K0uI{SE4=%oMGv)5A3bV6Ao49B0~F(|B)(q zuNg$NY7IMxn>G$TY07RjPS2scADyRQ_fzV%`cp(@Es`}b8Aap^m}Y*WP?0A-QI+%F z?(-}Y28@J59GLZBM%ZZ&7%(56EuuCoHll=2zhCx0GgDB}L#)^cyV9~j7;cx?-Rl)f zZB&)uQu)3|7yRvvR@oRkOJ(~gX-SvMccv$`QCz&Py^KfT$4Fq58suuZg-`++EZ%W* zA>pl4#vPP>)pO3m*+u(Sxk6#(vr(OkKoODI#p629ZnxdNy{MpKc2Lz`qbg@y*$1d*O>=-VDrZMi!o?|Ogj978&LJn9K_NrfQ7Ny^otr$LuF`qc z(vbR9l%`s$1$u*o7xh*KyG+ys`nMh5s0z zwP{*zk;OvsPzs#>oVpw5XYOv{6kxN{7>}Z8RW0d6P%BnAk6u+2)hJ^WlS~*aFH{FH zg#_*}i&Xb}^9*65`07p$s8%I7WMSPZJx{;2#`E+(+>Sk0w?4o~ z)M@p(zC!K{<%zMx60XFY7D)j>552HzG$4xkq7DgZg)A56+2&|sx4$!jp2D1fg-l23 zK=<%${=`=vA(MUZQ_Qp7ZS2nAyXZ{yeQggaiv7w~nihb;2m_?{*sETv@yo)tU+!tNox5pwT zb~J|0SkD(ZJBgJ5U~HjPl^A)0e|3qTExc-MEdanK%P&5YI@?7RhH6>>W{RsLY{O*X zErYkATj6_x8GgF_9?CGfR9L!K>|Af7Z0raMs;O7`C{A(W{2ET!kKR5w4bI+h6xiaF zzPGx1yAHbm)-u$r30(;@ZQR|%lSh+7GrH+{i(rKl&nvxOn5nKhHphXVhviXXT9)ay zu+f^86*GTLPoC0#%LU~Nr7)quMi?Bm5_i~3(5TGC_hQl%mdz*iH}TSMh-?N`wPalz!u(o009MC;v{~N>G$eP^u`wsBqw&1T`8)qZWP+0 z?F~O(OsB{U-AKuMRP^*E?TKmcZCag9g>OerErHIJOnMb|E8XPiD#EaU6NLe>Eubgd zkP4%aF~|{5#6r|19}qPVq*!?xWmFrswuO*@JV{Mx$>^*w=>7Be=)6>4swk!M#*=iQrx;yF>skm0cqG zmdY*@+)IU*iMyr37YgnbHJ+#U7YptcANc?ySthtwd?hcqlOmX4?2O`Y+Mmaa;ti#9 zCX}OfMot)(&ohSp2xY^TC`}kne>^*Q{MZ6Ipcg8SWGCAV@!3Cm+NGm=xLZV#H`uc} zY!;cdo;mhf?}`r7Lgqjvy`W*`aHCT6923fWOSZ_hZlR_Ox^ReNo*5m3+Dn_|(HRT0 z#|=QOeiK)rIELGMNQDJA;8BW^DVwW_MNlTA6E-U*)xls|dHl>uHfE0w7Bh8J1FdCD zo$+~u{P~U85)n{9gR2R;-U}pi0?CjjBC1BMPBKZs92N1C(f3Zv-Yi@(ietwiyzGikLfq478~bxK!|7u7%y#K=PJ4-_ zIwY#P7mk}yr5s0?9d7ZqP&fO;l#7)OhHrL2UIQw~XyH<~MDu{@k(k)>FMFbWNH0Y&Kpn*w=@fdXn2!&{h>{l&u+%~mvaM9R@~XdaBMj8ADm)PIWTq#oRe8>+8q|otelJ! zQ|y*=|0PV^TnF582^|VYV>-EF<0vAt$Hx5(iest~OZq)ln!PgoB-;ZtEY7Q<069`@ z?(5Eh(R+_C7k!L|WEc4i5SwoZDR?~W4Wb;3lBrzcQx4~3i$64@MoVYB%;BP_ESF#- z0hW{dLhoNc6=)#3Ai%yndgR2>le6Q!;mIT1$TB=1pQmfFgOlW4S{u!aY=P4``KdwUz_EP`3F!g<8Xna$nC zsMQz_dFjQdvsEFYg=bExH%GZr`R~TL9l{;TChi~?=>ovaaFf`Dvxg;k2CC@Xm{R!6 zM_d8yX5Kt@ZI?CNflOB+4B8gH&>=&2MN7(?deB5LoqQmRURS6nxXO(#yOxPWQB^&4 zuKdx$?do%+(b`(q)duz8?utjG1N}O1K5Yz}xH?Er5)ZdwOB-%C5qoaij zo-(hxWkdw`b{V!lS2fU{4^j=+jeL-5>zAzN_Uk5d5rVuDVUGI^vRLJWDQDCS8KM{! zuR#q@rFc>F7x%FoV>sem*-4$KY2QBP_RxI?)YP9JP`TJ`37vNLwhys>$3ML;{ZN;! zvA9RE&!e4ItCK%_4g4g#=^q@wjp)$P{gZJ`?+fmI+b-=h=Y)+aCs&(P->^6ERaW#~ zY${GaPfd%%^ZegjGb#A_Yuag_=dXzU=~CywO8A(u_xZmFC9!loTou?I0PAL{^A3f?rLne5zEXMFvu{)O&2BTi1X zMrb{A?6WbCD$90*W5Bl5vB@;>;EU8)sohDjS&rY4ptae)5z$aqa*z`ZffpAozTFzJbiy>x6b$D;Z zoN;Aj#_>i}jSl7LUmZwx7?N%O=9#&$z`yUr__u6IN=&k1(8}qww1j5J$LVF*-6EXA zDt?aLAaZ+ZhchK$XiUycN{Hae|)qn*ta_aHyi|gMB=u0B^S093iX-iES>^ZRro(mHj20_}MHkuyw&iK;O2!fzAaD+`nK5tqbb& zEJQh2TsF@>0B8k>+RaS+Yig6yW#h`;%pJPC0!Q%`Xbi*AOA7)lq^H0{F0tV(N2yp= z$?0y2+%0N~p>Y5|%J7wydG}CN_DY}2Hc)vDNQkE#7xH(_wX;n1fL(o= zyJYr=l0>7|IFzcGx+#!8;1!gy(iP>XB6+ySOztqC=)T11vLd=S&`0bSO8G&PP;fs&1W51v$1Z^dX zQB*Jh;LVG`fum8OpLM5{Mjv@eEp@%l<*uZSF{cX{C=0E~KpY;IzaBl8B`{UY*Y!nB zF%F1eb98hX5UFrxq8nmLTny&9RtVfR@<}(Af1y%9v@TW(n3iuObood6 zRkDRO(ska>McKjfvsijn1ulRC4m&UJVWs?LJy7p2BX(E}Gr7HJNPiSrqZafkMudul zT{pQ{9wUSo5z0`Emf#o$7s>^PzACi;>ho7vVfZk=tt+g=?ZuEJhFZO$!kC|_r0z!y zTr@&tySCvrLP0UsbLuvY>MS1R<`)|UY`Q{&!Y&X?X3ORz!EQ6{=`Jb8+H7kL{-`9!|d5<^@gMnP}$fHzwc4OHco4uca|rOZVV z-yNPif9i@4X8f#O2uW_@DW+8A7WsHV>*4eog69a|3jO=)bA)z8C|TJ;EQ#CbnAuBMe!(Wz;2*8eESC zC-30qx082#EK5_bgkhGXlR#t4P(h011gRUzMZxq-Br$#hU5MeeWNZ2k6~E^37>kCB zNYn8Dp|WU&cZP@OWIzFRyxQd)JwR_`;$DSa)@=<9{hCAIT<91^OZ~A5iViYyY4WZBFDSc1;|`NM`pe`qblJ* z^C5}a_=>WD=0kK|nqLaDytH)wii)L0gRuWg%Vi;p;{(XT7RU1|^=mr*EPQcA_2uBJ z7QM82$R|rjmxVKqf4)jNsIA9OITC%?S~{%KiCOJRwVvhs5XbSpWnqph@=YErD;$;> z2SWLaJ1Zf17?BBD-H4!-c@h;R#mh)5SOB6pVr1bm4O1VfVc|9?%CzdM9e5rR?2t$= zh=d$E!@IG`_lu#)Z8L802rPQbf#>#n9he4&jlN2oQL5MuokOdcbG}%a{yBjvElX4- zaGL(b^E=*3PQdBmhPs)=tIlq(qpYYq{-vfFVwcliI#yG0%m_hm{g`|g zQWzSF5K3*I=z+Tvto=oxnmGdJjR#jJmnH4)t^QslN19vpW>KztkxkCcQq z2;K#zRMfvdt{4?D7nqxJx|$fV_62Ymkdd@lIN_p~d8DW7fj4geZO8X{*aiZ1+V9~) z%oX`9x=4F6Rb;{Uzoy|h{41e}ic+u= zQM6HnyW*fsM!T&2-WC>xg(kY&7_8u*z+3(rv?G$eeWkk89XdZ;T#7D^7nYKnTP`dm zk&`YgC5pleORZn3_u`<5)j*=jh;DQ&V+>Wj9LiCuk`tIYIhEE%T^TLOaIoGH=%G{+ zw1bYN{9Z0uei0UeEZ8RK}a37am#dTXs2WqvYu1KY=pQ_knz9!_)ey<)b+kk_p~^QqS7K8T zs-|4ev>;slxwA%HO+#$Jm9pl(;Rx<$8qFpGxx_-sm_t^j6)o?uWo*HJE$h7rh)ygs zY**@OCqpU|)j&<{DeL^=`?8svRR z*9=h_mq^#w*Yn+FSGg*^P6a(KtAPyNX^zW$kK`)pVr$_MAzM!+dJV>MQDj>5Lcf{2 zY;vP4s@qPBXFc*=QM7aeLoq!cl`kZNufLwj;r`HLJ^*aKmNw0a0Fjhaizz(aBGJGZ zRH@rRG;pq|Jk!4uJ&PbJDJ@Qp6<*w2+Auq1LoE3I+^7vqPfP({YD2`lL zExY>Vk=ZU7V`T0;9#vIcGEOWVjB$g<=l97B52weE7f%FK!Ptw@d34>`vMVf%sFSbk zD!u%r=z)mNsrTEzFzjvxL3EoG-;h5#P*Gvc?R+j>12os<<4ae;Nr8;}bIz*;Jz`l- zu2?p~C9RoElv8BrDZ#wHJrkilNlyEjuYCSvLcRo>(zL&gAP>DQ^c~VN0&Czp@Ri*| zcv4)aJM^{M92S6s-5S1D=?{>CYZ?2p!X57Z{&nN#%3J+-9UV+O}A!wszZ1~1$ z?d;gvuoibuj^~S-{6_oj&i(B^I?(T|EFk(`e>#x{`Fw2!BLpDOc)GZ@@|2O74i2Za zrzZz{wNKy$ic+GVEEcnaM`w#^y3eZ^7wLR4+dsmMR_V&*`lD+#6u||JfM$Al@bFi{2f|>qAjmc{@Nuv)4xgc)*>GXUTQ7dt0(#mVEy~c0zbvijdKA}l# z#b>)3>HgXAF4c4B%Y7c9yE{r{27tWMV!R#AtgNil$HlUKhxacP@bh^3&TA11z@7zCV!l(~yup3bf>u0Na3uODNyu$~9+Yl|PKF{idS-Jd`)^8+=MNo-zn z_>kV++G%lA{T?FMwzfA1K=5X--y6TlRrUbUJ<{GbNWs=!`Nfw~r{BBR!72yoYVPz9 zk70`^!A4`09|2eHLLycy7$ZgRbF41Z3@|E5#Zq^FyN8IzTqhh|iZzsC5$zr9@289O z3F|!Pq{(Df&Dhp9XHS-;b}L&Q_!O?Pe+X(vl2`jex`oS^uGdzt+v6AUpfC9(xp+YK z>KDJ5;H>ujjzX!uz0>R?HW3#n;$>*OPOM7;AsxRt=#XGySo3E`=`La&O%^M7yncYF zN7rF71srNvSo0&0gjZif4&K#Xt$iQ!Yp-7CBVN{C{UJPPakjNPIo|((i;Gp$v~p=3 zO^Evx<=%bTEG@5ZOVM3BA1n_Quy9r~GfUuT6mgt@-Fcr+3s~8QDog1q+cFsPK zt*0!tR&HJJL+Ptd&1Q4F{eS@)mQT&mqs7TPyMAg~lwNv>S5xBZ4x-sGG+I)&B-^E26eTW79TkDLkZsLs><3cuhcx!zEWZUOdsnp_D zH$XGqzL)k9Gib@;1_%}>Z>NxO7iaiL)AnFz&|>zkcTXv4{_+@8(l*H)xJ%}QVZ3V~IDfKb!}M9YYioKsbXgI6Oa znUOS#dw#zmk|6~{Q0fNm2^p=NVz+RFgV`{T`9}{mheqkWC?chAL+Of`2D|aBeAm72J9Gh0Ots74e?#IU}o)m@~0Q3Azx9hfJlEHa^rP2Z87{@2a$2cz>ogT~cQ$q<18+TPf zpj(u;(C5OWimax)S;K^Y*NO6nd`46qU#MX-0I73DlCt;V6hjF8geo{l`gN49V#*ki z5aLb1+dium>fI?L7bTd$M% z3|pgEJ-55O#A*_3tJ+tFC<~96)$_@tU2aQ=vNC_bS&yC%TENarZ8m_L!EPf&piKx< z)MsI6p6q+YMV#{c?B&EC^pV1XXux2>>b0@W>G#?VY-&xgb&5Z(auUWg#X!extV~;& zbK3Tfr;)9L%Q5<>$`8hK)P+91bxSsLTce(Du~xD7gp1Yc`PywxE@V{rPc7$rTEMW=r!*xk_A&0j+tv+=iThLH) zA5hD!IT4PJar{6bN5NP%15&Rs#Qjca33AgOHfSLCXH-a?hTIoP2xlwu`g#pMWhvEP z%1Q*^z~35}EFzrJ$Qg9{IJY0?!#2$ErVa7q@)sJ?oM?TrJAu0PXln9xh>EVJ0~pu7 zBN$MRd|aMi17qL+k<}l~r|X6E8q%opD)b!qlWAb=>Eah(sC^-*$#%X#4Ndfa)!x)m z1nQh{0rS&g8bxyHHPrMq6nV1}k`8J}nl)S#(3{lQ0KW1CsE*=K?72Zx!&;6Gh?3iKw7qn$d#)&Rx4ewGt1w3X z8!ew@yDBW23~?eaMc4)9OuC8#Vaf;vcQVVh1&o%tb(dfMzHjJ!8fHmSx*rV>e0dB0lomutd@F}QVGp>Sx`U-S)rocA;#gsqfbHY z_$ft@*LGD!u9Ux)wBeME-aU@~9+|D~U2!to1kaiD=tj0b*`2o3 z7ny7Q0=;Fi02f}s*+m}On@)E*A)(lx!c%Xng+5VDCXOfuIA@dftdU$1TFq!4 zfY^aj4kvY6c~O;msmg_4Su0gpTk;i>z=yK&fZAvhF-`BY46u)xn!>{%a8X5w=Ir6q`klSNiug)!|1-pgL|hD+uTv7qoekUKvS39L^B@eat8Hbr@swH zG32`quHVAPclfr)^`(TV7FPyMNKV8?(@vMGMn*W~9>Tzwe+h33zNHY}u3dNI*n~oW zMyHFca&RZ}%C&5uZm)2^0i!X%g~g@d5k`~Wu{h%{v+((`0*=~TE=lky0{pUO=g=QV z-5XbNa$ofJXw2=q_a}$gFE8DA3oUcBB-`Me8hW^U+Y($@3N;^BHmq}WW0FNyVfLb( zN0o6jQo3o%R7ac5;pLz{%Yj{lt4j-3A&oE<1_8Z)I6a?$LxXGS_Q6nF^bFp-?D3q$ z>2AYm6=F(-$CIO@iFj?{iRh*77oIHjA+!px+S_m{xn6^}4!VKaZZ*dBmGkS*uGP+K z-}imBXL#G|bl`u{gKH~@)K2nTTr5Nzh`G3pXXA%>ZYRD4PQN zi=`=UC^=hj=6-_up1Z)uh4%o6dI!eTtv1w?YM}_o^&i5568p(6|8MB=dQ|}Joa>tkn+h>c@vjt-< z;7nk0dT@MtGS}Qp;gBE;lRDP-5@bgo2M5P`@I7m2sVzV za%zSNIq%k8KR-th`aL~NleTAYTA6)nKHEjvqZ@1c%zk`&Z~`5Qe5TQjjE|p{+>yAn ziYAWTNY|2uhJ9JUm-gWB(aCu-Kb%faxlYgKNehSc0?>9haSoJX2S`gfiV0NjEWeEb zV38j`-o))eLvR6jS0&@kUi%zA#Se#XEUPP#)p=M)T5`uDN7|WpB&2uHv++Y*0o8AA zKcuxpI&=pNjXMnK$+<%iDugJRGoX?@pjZ7-)8TNgXDmmU zY@HoF!im4$BIN?*+YF__kwcWm{Z`Be8t+aIU89M6*tE6FA|tL}-vg-B?bCZx_tIk} zi=&&t={Sb4w_fcF@}94Bq+d2p_=&t4kfyYAalo_RN6ZBq<-9J|kv65IAU3nwMzdpR5f!xM>S+ z;|;{XP88i3@rVZ~J6l7kwb|NUv;MP@LBDSUZuN#~^UZPR20i8(M=eCn>?1OQR!#me z)+!q4tx?ad&GV9P#Qy{wWwq`oSQTtmcFX<_lheb)x!klTJVXz@Z7>yY7vd`WmUL5d#L zu}OijR-(qM=iNK6lmA-7#7LbR`3@Hjh9%G#j$6>zG^6tpg3Hf~RVYl0bkuY2L}rvA ztL)(FZRsC6$lh=Xa@P6-&LO~s!~m|IyNG=T-&D4)OUy0!VQLgC+VPBl`EC~po??@9 zd+*22<_qMvAeKL(%yx3DSI;mPx<61&_9d8oblK<-zEnwguyeox>>GC^jLUGitxKL1 z$CF_U^M=D(kZ8}52>Im693rC#DDBogfhalyD__NIxkAN-lh82uxvXG$KX0EG}8`LOblMZFGtsT0O6?3VsU_zy}pL z&-2Z!M&Yy2PdE-;gy3yBWw2LVh>i>q+lu{1_iji*qGOx|SrCH)J>|DC<<;ZJ`sNp+ zl`o8f-SlE76Ocm;cZwA#g-CC8B{>X39Yzrdl0@7nN8&I&r7@brZxWm9)nv7W408B0!d>05W@}RoG=6+;1I#sA}#V73u_|iqiEKF8xqXI{z>EkI5ranE`!@4`0W9N1L2ws)R4&u*2?+9hhjzbK6H9|yQu02_( z`pUNLGb@cA>3b+***#4N?WNYjp~u1E2si9yMVoMUdRt>l^d(q>;iFdf)K!xP6ix6r zHjR|7q&EbRAKuY{hExa)~+;5+q!m^YNzX&rGyE8qW*6hvN4Q`OEZ7JlRi_-Pv^wBQ& zRDX&stxPx&KKpvsV^g_F5pHF*OmVD;zK~TBSx3tSDoT4XUF7EpHKA8@qZ@2A{Nr}t z9=DIFsolh>))N6dymf-zhs+?^DK{Yc5ys~n;dTnRAwRy8^^0K5VUtHjs|QRip`Ysx zK^$mL4Ge*_3#AHmDr8#H?%W6w;*N-Vd2;C1m)6Z>P)##z1%?SUtzU$u^>j19(K?+T z^XXA8iHLF;Msv3Xd~OZb@D&EZuq*JYmIRC4>ud!r;LTvWUwE<6$i3({TYbN3N?_xX z6hIqr{j%CZG)T@@iFmg*N4?Rf+Bk5xJB2De)24q)ndzrMw6SG{G2YbZz-I7dIKHhV zAXV7V>LTL1%~~BVsa+yXlhAUBs!J%du*4g++sS>Hk`U9b>6o1eY{2Xhg1ec%!?wwA zoR!AyE)-b7?{(e;TSG%zwHr5XC3r(N_W&w$t0`~li8!)OlF1_o1u|y1cyZ|P{5e=) z1TeY5j74`97=7qY6sFBtPfs}25}dg}921m!SQA?u2y=?_71+?j7;wGpKTG$I5yt>#Gsp+M07P^fP9!(new)?$S z4?Y>xAdw(J+2L!HIZ;2TONwa+Q*bBrp@S zsiQaxLa}skibHt^r)tR6HW04_$aTySrJsjIc{*pn3T>=4?xV3A`w36h;_N%Z#_zNT zu%khF2Z%D{2pc}zvmU@!{Bgd3%hHeR;AV_|e}jk9e-!?lc)N=uP;it^0Fi@J`39V0 zQK~U)Axyb^?faeKxHp0q4!zfad3%VVB@M&fOvkXxPb6ojr|=#- zoIJzt-6wD3ej@#R_IPk=@A*LjX%qU$wdCX!(JuK4mLv3GwVqAF@rg9OhwZ-1CQFUp zlv1pRzy53eq^6T9@IJAa}5PbScnf%`yyr2T!Dxd$y_3wRpF2MBLr)-fOcy}rBL_6L ztNyrRQfI#KcYH77i9;d16f%j|%`KSZLR=cd4G*f#94=|2LaT6z@nnX09SHyVENKjR%$KxlErF~G*^iwbW^p&4s=_IM) zAK%~k_2lX=;BPL!@$%}6?Uz5|%KSFUyf0;5dH1ECy7JR#q4Dya7f)V(C6Ruzov;2z za`j_U=Bo6EHp8e;@B_`0L7D{kzH4pGVr2`zW4S?n`(-koPa~tF=cj zzvSzD8+paHi?DqQgZ(3vLsxy<{3hRD{d#hhaB|;_!SG8c_c4@fOSuofd*!EO^dEie zhYQVVg7qae;UtWf27{u({irfhmq!Zm@baD z|6-DSFY*b$upPc%$NOKz`x^c+&7ZH}U-#vg-hJW4jhB=6ntW=$_p-L_%6u7R_$`;_ zSlMT$e-~}Og=c(gAJr1VlkZpm>*VTZH+?6ppu;U;N$xb;9!fLYjGD zezE@Zri=Y4ri<{p==;U|;`}i`T;orW&dC~u{KH5-`{-!EN5Ch$FN1ab)C(`3fn)u8 zQxi?0mU-Ux4b)TK_GXE*e|^wOIA4LpO_c|PXy4v{x5!iM>M)PD`n=qKlUhf`dH4bwmL=kNVkp9&|8coUitZMJQ=PZ9wdmki!9hDsHS)XaZ zo_o|+?qiP{_Wh!HWm*0U@t$-2QC8$%{0r%rd(S$|b7}2^(8~LcRx!9S+M_L#o<7x3#F$yKNYq#a4~J^qFGAJ*d-ehBqmLcLE* zIp`bS%ErJv{;++{2h%@(d zpZ?<0K;B^){#?gDw$JqGKS{3c;aPC^KY$kM`+25 z|1OCMev18KJD>fFn1lCq&+EosEXTh5EXsWv<@$II;a4onHotzNBwPjZQ{(R|_>Vt7kt8+#y^_4dKS}K`y7Y%6 z?S5TJD79rjSCW4wOW^5>e#XDMl5hi*{ag`AJxoKm41TT%q_Y=S5);zw)s;l1!xy-c z{CWS(hby2eI%0kOQu2p?^3UVZjVDPyB=x0X)~enj9pa^Cu{T#Xlm zC+`Pow*TWnn&p2!NZ&>JmxAo1Py6pw#o^ZA1H z@8|w@R{tlF|8H9QMQiU@g8Y9E`9G29{DQ*C_s)I#O7g47cj*@ZQI6-=g8ILO^nX@p z|96A@{|Wi;`u4Q_g->5eejoWR&GtFopYZuFCEpcHAjiw^zaO;E@}Dli&*66h{QhF3 zKJ6f=h98CRdqJA*(;gz(mY=}B;nK{fUBspN{jV3&UkdvFllVTu0^E-qKkY60?uFz(MgBiV`XOGp`CW%C#pScVw7k=|NEb{UD#^Y2$I_`TbuB=H~|L zGab&)uLk*_K|a$B)Z_f7NdIq0qnnaudradGeqKu6LH_?<$Y)xzkuUgU>zOV58z}#E zqzO;1Z{|PI!ub74^4E~>ejI+#7NzfANPZb4`u|3nI7N5~Jinghv%N!hR(@Vdz7%PX zwkgdwdK9GD9&J-${+A2sZztLKei`jE?cn)0k^Tdu*#*Ln{b5?$)AW~v{{42Of3$IF zdF|iVf;9U_n^&0s2SJ+o3G7Q@{?#DOeA>do{ErsW-wFEr4fKy`H$IN%J3;@y9qB)9 zX|8>Kk2bV0P5YNiv%QbOuI17!Pdk`PGymNn&3xLy!ulQ7xh)6}23G~53Qbd@g6eCkibGA#b&R=pVgO7b`|e(G|xzRu74h4cy=lb=_T z&qwN0XQTOA|0~$PyEOYxy-S#;-Xu&@m*CQb@0Ww`{aoaG)DO7w|332n5b5{u!mYnw zfu6wS^ZV2rxHQ}UP9crY)iht_(XX3Mf&BJAj(nf`gs{Djnm&N#|MN(B>JeP|e~A1K zA^mB*aQvCN1DDVK{X&pt|EW80`F{iT|2opEc;UwTUXai7)G4^~Z11Dkzq>T^sZ(%i z=8uCk^QmKS`Ak!n;Lyk+kC3K*#^K{z|0K)j{81O< z$_xC0H1nyC3G;vHpJr+1Q!f+dzyJGL`UX@0f3`3_rnUS_$#-M?f3A?vw999IJ{+V! zg7Qp%7VjOrzWsjoy}yKfrl|>`d`|d6o~~i|zC6=N;^(Dg75PVne5NJa;C&jT@1Q)> z_~YCA#z(X?>C2ZR^Fck7mQeb;UPzxpU!*^;B>yTKwjVd2)KBRvt$$uf|3Xl|8>vs7 zRapPMp!_&ep1P~B{I`ShKN>0jYe9M9!`}+h|3#$ySAu+&|5}j#^MOi>VEjWHRPWM-)kYg2+|J<=^rbke*)=$ z7WxXV?^n^ozlL-gr2iwNe+&8%m;X1B{uhJ%e}J^phrN<~DES7`Uxd6&6#E{u_kSY& zUk3I61nEu4XRlfQD}f5|6^!S5HC;nCu=7gt{Yd{`LH)mi^iAw%=p)GXH<7*@^k<6n ze-e~`2kBoo`#H=1G}8Yl$p1y8|84AV=;z1$|M35-?c8JJs_Hm?w?J%bpdhJG1)T;8 zG`P=pyDW&XPrA@8%Rbtolwo&vwmY=Dvz^_ot%10rV4Ctf{*`52nzu$S>bAIP{&b>3|`vad!{#r5! z{}_DdX{rA^gYoq!_;Hi|mtgrjJ`%~F=VZC5&tJd?jJ{rRwlSaH;PYP$e#FR^f$ukX z1^DDwQ~N$0kJza6CjTz*vqpbImHv(3Wk&xN@asnZF7WqQZ=K`o^L_A9)<1ePs{4No ze3nUn1bm&re+92#y}#D$9|u3n{Hyo-N`EpV^IY2VY%gB|-i>|howVfd2miv<=R$Ce z$^TOD9@<~SQtf*c_=wTZf?qLs8oZh3Bfa-h{+|ZlZPM=s51ah&1{bJr#@FXQu-0#B zc_$_Nz#EPJ)8Het4<9c7KXCG}DgSlw7fpLE6b-y=(Z^eIH;`O(Jdrf)Qox%HL??0t~EAplIqm0+zqx^VZ81Og2r<(K+ zgEt#|02~gbIV?Ht70Q1J{HkfcH^3`R`h`3z)*GAwuXr;3t+wiS5x9%{QF{nCga2jn z>jE$0{p@pI-U}Y$eu96>g2R#FWD4AfKf8%@<#&6Pyq584?Q1Xcf1C0i1Rpi{N$@Qs zAv@=f4}QdwhuK{7 zK_~c4lm8(2DT8z1BmTEXDz6A$YRcOU4o8NQuYvc`-luv0@NMvZlizXd*V@~|$R8np zrB(hx)0;FnDP3wd@v*T}f|7P%ejJyjRjtnP5;2|TQ1b?0J9qgaqS0+C& z<$Yf1`M&K7tC|yaj)F5BRXre+c|%gY^yVK7$W|!;#_SC9oYouYo_qc+l_J zWDjqHe`C@w;d$Z_%Et_x{A}=x_@98!2k-O0Ls0s9@P6(Ob*JA9KFW9r`a|HuJm37$ z%X8pxWH`AQ{9)5xpK|gA61n-~c5tha?*R`O`~Y}6`H!Nn`ur69B>k)PpZfP{@T2Db zUI0IB?C}-wK{G$R4K8s1eLg>R+)?9?-v_=Ee}5(GB;~&h{D#SYCHPEJzbnCiH}V_7 z*Bkj1m?y`K{>y*t1V3Z+cY`;Z@%v@zAFs)%#ig&`p1jxOS71I_N`Hj${Q&Z2Q~xKx zhu%}E|5MJ@^^3e%uKDxsi{9 zYmkTa`Xso;ly?Vs$n?)%@Ixm31K>qQ|1ofv!M_HtF!)d47ft$qf*CWJbbjaiSKfWS zW8}-gzcum;z%}@naR2q-Ipk_K*+VP%2<0_^Wsg^a!;#@+5Ili=8|RYW06vJlebnQz zD*ao)J*NJ9z|T=$ySKl4!M`{4{UP`R%um7olj6wDFwwGkbe6r)<*oF^n9ps^ z4k*yl+WhcTdUie=P}$QuJ)WH@6484CQhqiZ*TFWK?v&1sz{gRii*DnzgE=ee+TqefEey>0+WhcH zp|&}@y0)pIan1Hs^&^Ez?Yh-gee~)hz53?5)pd=2Q*OF7Hv=;3MpoSTu2SvJ& zc#NWhy6#z8+O<>Ns5^#R@gVPTL^^@$x01oTDD2Elb<7m|cRD@=RkE&SO;cmyFXy-S zVKv-X%NjVIf^N@bU#Yl7TrE;=C|_Ua_+F&jn&hDPElj}QLHLP+7-P55`E2M^+ptaE zu{OA9)^#n-t*w$`g5q>e<1y$eyLF{astN3|K$Ni}+ya45)S0*Tx*{(Ju9{Df?XQ@i zXXSAbn3^jWs5H4%a7q7S}BHi2VcJ!KE;V0PN&sJDA zo2Tps3`)@zxJ~GOGcffI+k*WrUB7o$J95))!A!9*(P6}bwpNrQ{RGZ2*F^QyWG_ISc8TJT8J%oae!5*O zPKx5zy=``Se9%+wiWxZR%#X~%>phtbB{@=YnAO|5y*nX`t~R(7dOl7FHh23PT_xhQ zi^UC^ny5gPV)%X__GuE%4^K4PdaZ>ese4$_-b1;fA?_}-V<#kRJW;|Bi!On8Ww5|x z6w=FZwY$4-L#HiBmxHAeS@&8i8*GCu1da&)nFhn!3rFSUYXpk zKak&+35dGkl)(FnyT+B^^N6a@)6}tw_(nEc@7U;`lp}>b@;R|*sg*sgRyvR!uUJ$g za%;+VZLqni<;uJarUN4*rJrFR+7#vGtDym6SQonchN^Qf6OvT1?j+X^5>sj*yYU)s z-1KGIK7O|A6xqX&=)+^nb8TBDD0D17q93B7m~IC#fh)t%m~(VfZqW3o`ssjM$E>Au zRzBsy;tVw9Um57@iL529rV8~fk#d#v^sdQ5R%I*T45Yo^an-k4L1zcnX=>_fU)9Y1 zMlAuR$_%!gx*v0kk@cwhVlE;6)0S<;5dv;`hba06$c73dIpYRH3@OE&(%elzXv|Yf zO2_(bDcjcAYRmB8IPxxPT2aVVMXf;my2SILMRn`wzdq`AU!BTYFmWTMJbJwi#(ej=q2lPChSX<{C1 zw+=RH2QwDwIq2YMm+00~WA(-^15ZmJ9?2k_MCm+%nMNHX%ZrVZ=pT;XKXq5$q8p<@ z1;docmc&mbzf_4`!K5`dZ|*RYj!d;e3FCa3_~mkWC`(d%l6!7!&c;5rtuv~mY1bB8 z`Dx#sskM(6i(6Bl<@sowS-7rthM~GRN+g;j%0|`F!rdB&_uy{q4sNoAlKS0@Gs-pf z@sU?nLH#&*YhJql)@+nxs3_!hEI_IqsWPd)rW9Hj6818|qgC~tv2wVJynpWM!`6t( zQ1PftFB%oFdiE05c1ylIMp;x%m$Ex}UMrT|^50r)G$7q*j+<*>0~ES`=|pU%Q4K%S zUwAw>Do6<(sf#F~xn2(%YMIE~^*B>v(H)+XoZ+X_PdWzVWdhBnnknWt^^2&`Rn=A zqQJYQVR2H|C7(?grgk3HHBzHKR;9Y<&$*~IMk}1iz4$vZ_l85%UAvZ#RL5tElZrs; z8YP`ko$rY%;aa?{BO2XT^=eno7;43dm+GnTZ#fxi^sOr93Oi2vR$Qv8a7L}>G;{F{K zYdXuQ1UQERs2|ZE7mXUcCceD&?@Jyt?AW(**2XB+$bWOP$GlICqn>zdk%NQp)cLl3|{*ws7kqQ85=z zV*zt)sTf35HJrM)Q@rB)ua6I+$yWjiuld~f1AIr2yrq}A{?PkM9n1McZ|XKa^}Qy4 z?q$5v>Iixt;au1J&u211d{0(Bf?g9>c(v^G=8_~chu*#VafCnS{AUZFp$vV$AieZk zfK)+w=;-8+GN&Bi-`v8QMDIq!5%SRYy*dxF2hqa-%7!fWwhD^73U?Be8*J4^qCa`mZq&Ea^iZE=!W&UYYOxB{W<>U942 Tnlj4o_{id9Kkur;5%T*FAQ1p~ literal 137826 zcmeFa33OCdwl{vxy|<>MsH#*dQzby95+Ec2!XTic7L@_eK*FFhiDA;<7)B#120}}i zS{b@!h{~km*buRmb_fdEICPr;-Ho8{;m~ahJ%kX`)i`~>y-(dDXup2n|E>SG*0X9hYm(3yeG z40L9oGXtF&=*&Q820Am)nSss>bY`G41DzS@%s^)bIy2CjfzAwcW}q_zof+uNKxYOz zGtilV&J1*BpfdxV8R*PFX9hYm(3yeG40L9oGXtF&=*&Q820Am)nSss>bY`G41DzS@ z%s^)bIy2CjfzAwcW}q_zof+uNKxYOzGtilV&J6s&l!1({B9Qr(un&Ld|NGJZf1vX} z@$>(J&fgNAzSi@iQBu~nt^81{M5T;wzfV8VF7!1OhU1bnCY>m5#yKi?;%cKR%+YP26&*Rf{Vxv1ZzXqg(f054!E;5#=Q|;4hC5 zJ=RA2zBZCxqxD=HNv#NFSvIVVq*aucSe_c)nl=d98}Qv(iB55w;CLi>j2 zBH887MS9}M!I59?%z7@8TY!isqa^TYhyKF(Dz5U9;U%NnCq>Ip0F0umiAHD1bRZgv zlCBk5IwJ5?tc@h#@RqNQB-WId=;XKMKn3iyei$unSzA-+P^%E5ThAscKbGC2TSpZt zxU4ll0gx*Ut*KwHa93%x+s7Uihl! zPW`BMNp_zwEqZ^LyIFfOsl*=vSyCFLTg0E=>&ZjaX8KW;m zc1f0s#+9`e*;yOOE0=)s3!aMvkhLI=3t3zn=~G}w2{H#Noi2M``*X{Kjpxc9ENi-3u^a=T5p~qw{8f>H$M__7kr;*!_`xe)xooE&kYgd z>!tWUiRZ*$|g_rmm33j zhR-)UM#haQYY|#q_~ph>#K=2;vb24rt|COwZA^iE8h>l(nj``RFV^mf_S>0C3jOw4 z@iS^CMu7;fjaUO~Bent!xCQ-7%tD_w0wr_M6Vjq350vx<_EZ$p_Y}a7=fw+|G*FiJ zRozz}^uO@c`TP4E4Yf8YO7&{wM!{6?@K6B{0@tylTc3;C^q>VO8LG#NWlX=OkBkZ` zC;9&*S|UW^L)naNBSNjmRjc~yd{t@%;m4wQM!BaTwPJL8kAW%+q4r}lsXdpqKQ+T& zQ`nw3cT!ELy(vojer{Tg$Rx`9O!3!*S`)pKYsR$e0wYb-#^}~AiDu^QFNWIdSvI{o zu_feAXijX=+^(ij`!+?b^xyq`uC;l}-5uM6{WZ^vqg(q&t%#iQkbh_fwF(b>A7{<_ zm6VS$?PH@}mxnW&Wngss+9(bU<)Lop3uD^5L~}B_b!F75$wy{uvQAyF8fv$Sj#iVJ z$F%>Z(9Di$bNt?aZRtYon>kOf+!W43y&vaRt$%fXequ$aeHX_TYTwPJ@>gj8GV*)& z{&$gg^rz~S{5j$8Skzy}c+bE@+EQ@N?YHG zW~;RIkJo`~Ep6?y5LZiSYx5vnjXfiFkJvWi>n}pB9-Z2azp|+P==e8UXXrb>*1YQ- z^0G1DU=-CSSD52zOXjjjmPZ7)RM;}pF#3I@G%Kn`2#hugORcOzD~)n0hICcdNM0V3iG2lSt*+5xa4RrT_ zwhz3Q3#e?%_J}OG0TPAi>AHW8){>#El(H={J+AP8wzMIxFx(e9) zt0Co9IZ$p$oRioh-CC2}x;p&ThjL3<`}gy~)whJZH<~sl&Z%jzH;RC^hx~c=)n4Q= zV~)|1VH9B$RbHL!)mb=sddB~r&rPP!1OC)T8PI9uzNTsf=0w9E*khD*DG`{hh*$}` z&FR^aVU@VIei&_I+UByh@r56>|8{14(U{go?}66OwGH7-jU_F1tN+8Y)==UH?O%?M zFB;K)m3E-@KCj|At+9#+~edqUodeBXtP+63|~ zHsoNwv}c59F+^=c;(oF9m2m5aqC?gx&!VLrznXh{3PqPX(h+bJ zm24X^0RDe0X|Cc5um&n;uiJ1n*g95ZQ7;Dyf2>Opll3mqh+KRvZ7)t#JpD8>Bv4bF{aEuzr9A&}+W;M3$z- zeaM5W*i_+%)Z~g#aPr(Ob!BabD=N-8wJkL@!4p;QRg|`GkCu2Kude7m{};aN+cB>d z!O2yQlbsmF0L1|1-RjlVTWy7>wV82u z<6L+(oyW!3$;%T94^F4ZJ4D>nA>t;AI8<4Kkxh+mB0%+BwXb%u%-kF@vvxEhf;1yK zi0jcvM)=)AU+5sN`aSRMzaZlb6V(}BzWD9B;=1C~*5Z+a3L}Hcf3o<2I-{=Ww6$pD zCHk4S^r;p4Ju)w?xud4DP-$9!qEO{|k4D&zd#$S9qY)!awv4d8vao}s zFC0W{zM#HR=1Gk6rnD~@S7>h}P9b9I$jJyMFek%%L0SZZ(JWNGN_i4lwQ~>7)#nw? ztDSdnUTxLEDm_b|t7R3=m05aC)rf+9;*3l@8rd`4Xfce>8+`M!_Y*d&i?2dIMbPK= z2^EU3858CmjqHP@+t^4@|Ma+{3rJu8>Z1imBQKBr9jGsl?Gu+YpRdkq>DoWtwPF6u z%A+{a;}RF(>>N*L*MM zd2tWDr{%of>a6sIHim~b+bSmu>v^s1^TJ*sTZOH1UBo!xJgD?Pc!wQ#IjHs+{u$(u(>2=y^8v$%x#0ov5ZCAsv!lH^_gF6d$ zjkaE=4dYP7p~?^Lww>2ngN1Ka$bp``*P2@psjRIUF&u|ypKP(|Tg5weab~G7ZgHb+ zW}n6vTxZBzwuBN2Whp&(UPh>(V6h2BWok#vf>HLvOhR zt>rl2mjL$ztTd)pPOj8iuF`yMHExqOrSjmpy2LcJm*W#4Z@>nwZN|Q@ThGd`^|Pkj z$SPVD`<^_*T|z^dsDGUE`DwyyGxb9w@vsqkn!Y3e%-MrHPSd3Jck#>zwI zmStz$@OeWf^0B;PJ*uXL|>KF78;*!q&wfOODxov)vnBH+cQ23wM8_?%BSn%3g@hhD_q}@ zSXjHXmZaws=joNemsQg9&++Q+h-at5>_z2`3*3Ls^i^-Jstv_F!Bwq)5o!Z;h014?#} z&`Sb)MO^5#9;j)kdJT8Vsw&JMs`eeKb{2i!5NhAV^RbVry2LH3&Mi99u%)V`dRmpJ zPObV`xXXufaC=N>}v%eNKR-H%A%e{K6kYrPv$nVPk)7;liWOWiQeMY z^}JBa^DDAe30$9EVLs2KnQLk5SJ8Q`XnFrNEhp6SB%X+V&hee#+@7d<6VG`SWeZa{ z|4~YZ{6{G&|K@y@vM{{WKc33&uc2tZ)hxM==ABFAz>^I-7ggsOm<`NaBwD65iagOW zWkqn6$Q{?TW69WV+ZT!4P|LU#pDwZITAC(xd#6G6PC0JLO=>0$vlKNKmBM@q>I>FJ z`r+xu)2|!3k2LC;>q74|6l88+BnN!Dh%zECx8;e}>=pm{=fsxVHM{VL<3`Y?4%3EU z8#6yOJsB5y5-mS@&CIR%FLN41dr(h%x875X?4NnS#MADbe6My!2Fy&Q*KG=mb0;5I zj&`n|(Pp6iDBbk?5?iivhg#l`X-~P2>hch9^|ZTdT_3a-1y8Blx!2S@x%!!KsAUi7 z@pD>J#=B-p-4<|mK7h6x?GrS=-2~d^n3t3HS@Q-oNqBlNM%xex7T7^~AV#4)1p`~w zl(o0dhs|irYZ@H`>;tweH}T1tdVh+> zaVL-?L1lf%6{s!7%oTMX3RUw>j+Q>_#A z%z4PkOsFYG4*Lc+M0%HRi1Z26MtTFz32lhv1J1#b54e}u5Xl4F3r8N{o&oryyPS-x z+7QY0)JA&Z$PLWNc&DK@(#P}0{^@{BpRnP%d;jo@D0!a#Xf>*YascU?*d!{i2HRRf z&6YKjmv3Hf)}L8>33ZcdRSk|n8&`EQWl7dv8`{R2pNN)apzh-Jr^$`4&@(qLN?Rl{ zH!m~-#}|IMXbZJ)9-U{bIZ-oXwZCS^>d7@SFcIVB=gNC^+WfKAljg6h-aP+E^@;hZ z%Qhl6C+2NLR<_{WD=-=7o&o>7(d~Pq?RaCPFY2#FE6-j0ak^t__gz?Ff2HcKT4cc^ zM>YA>yQ%9Vg9Gz0x~VM2W1lf9hkD)3aouoA=4#C}R)tS#xp~c9Qhyd1Qf~C2-ODzP zFfpd3VSF(2rx$IA3=M3ETv~t?Ww2x0iJQ44JhU;f#Pl$8+0JFTr|d-!MTUr~e;Z56 zDZS`qLu$#+@b;7cE@u6dY1@p(!y}oAp+yG5m`l(Isev;%VBkC?V8wZ8AP(nC(GFDG z%tza-0HrU+(u7ydI}Yq~%cZ-G+8a}MF4dCE7N5`rIqp5U|3&2jKNv%2!loLT)<^mZ z;iy4fsl0lse8U3%8X67Rm#0RyxTV%xZ${tLyt!SQJo^({baxuOs2Vk+dS{JyblP5N1cL$xF1@o$#?*x)a((NF>TTwKuhYXbydXX4V?*d`h=yS%Zu!TY8Y|lxrrG znSdu?WLMp{QtQh1nwnwM_l2Hm&IBM`b7AHIo7~q{e`0Ex{$8rNPz!2I_F{B-wgc7d z>9_xzo<1(x)5rbG-c=s!;aC3u*u!%g{nW!%>tD8IS=VR}FaMV@xMDr~sej+IdER68 zvYvt9JlqumGjPrc?7+Eq!DsVm2iY76&3sZV;2BJ;hC0s4F4V(&r%d^ad#Ty$(<||u zbJ6wiGZ&FJuGc^vtv-GnmA^XN;*n`pS#<+1w3imI)^S#9 zwhft;waiZQ#H`QIwp9iaFfR2Ay!=UGOTe8#duN%v^Y*Ku5niwe;WjjE?dFynE15dPXcCYF1Hze0bd6i)1K! z2D0~QOjhO2{|PLOqr1Gf?!;cI%M8rMvzE!`%vE#uPhYhvysXjml@^=Fr`N&SsS9*f zC(&HDZ{#t-Tj{SbCDQBe*_*ZMR@8BHzB7>WrSh4|b@nJ6y z?qhFVBu(Q|2-2#rQ42L~dC=-FwC+9_UCjCp?EP0YOY=18?tzwcp?0L(wA{VX2HSYf zu*lo!UuFgTuX@_WK5zU%q;}X=aa!1xf7z#yP*J7ViM$cbCt`SN-M@>H?t03S8P$Iu zO=`?`dfkTokN+Sx(eg;m4{dIMOvlWF&pq0Vxntd^8EkN|sX?>J8H+Jf4eY=0z`0jo2hKTxPjT*zxyOlxJL)Dc|Fn))3~GH~&M<>@Cu(QZ`IpaJLM_|0 z-?fp}9sMyEE`YYyNLQM>^bbHnMVYm8p&4m zu;PK@tex}!IDhuS9t%UQpX$6`t&;=RH~4E3<906ibU}~W3oE4qg#p|NFU)*~Vy>%d zk?w3J$6p1yx$ehW;ro9q`c(`^+x+|sJ+AOH-soze^*r_-u@}|Op0U&7tc{2Rq1K26 zky!FdWUzZlM&0{+r`5f_cTYsrY%5M|E~?uX_Fx{WbV%M_SzWQZ?((If)=9j#m0tbI zyve5~WPIM>9O%f`nl_dMOHO=|S0aMlV6Alj)Vg69sg%CI%APy8**HZ{TATyzxqrnf z8+N=jIwV)`Dd}Fax#Y(4wck9w_{}qR5m^7WWJDuurX1URw5<)Na-E zoTwYDD=RW6dcnGZ2#tw#X>}6M6DRH6ym+qvuHLqf(@JDS-g9G>>`zyBRj^8x%#r(N zenw8uPt2azJI{LD#Ha>;Sf8p7Y+Z#nJ@40>UieDfKedTuE?!d~Swkz#J8>7enC@Lm zE6kbA14unol(*#-ygyrG}kN zq1LHt2eK!lrP&ed17|vLP%<@}DfvFr8Z2vFtE;CKB5QdhP_R6bi6e+33r9AN9tCC%CR84Z zSUv0OW-L7vN%qXBMMT`I66!u#KCUi^rvhfpZAZ<0TAQ^{24^hXIseo7_8^T})~uZi zjI7TV1m|0`X3jTfHG>CTn9s!Ol`}mdVz^T)c2Iwc*2aZM4ss-um*UyqeB3KxP4xkP zoofKKd(r65ZP{1+A?8f_R$FoNjSR|8jL>I>n&G~bhfm4)-wv%0(Nw4Rt&q!$H0bd_{1nN@OK#JI;8rso&q8ae*# zS;NrqbX&a_n^lxqG+=LBQ9?vsvo5UT{7>$wdWpzA*Zj{f^pch@W&r+c^SLiXW38=a z-^iv3-9&C-({CE|61?G(e`S-e1bS0wU1*&Be!y4FdVZe|f79U3FFtMQfulI}!(Awm ze%534qfAzmDXGFUAdGT^QHC($ZwP~XT z!24(POx_rfSd_o_ora7e_)Om!{+gpj1SJO%pGC83R^6uCb%*XS;*5C1X*exiEG~=N z;nFu^#uNO9+FbG-Q<~1m2Mo;(Y)e|1@dMu?V4$w?Q~}2w0l5_XI`LEDP`st-cCM z#^7>dXts~7p!^58M%fIa7?!Va(LW|i`2wccs_A04wD83?9~Z;0%(-I$q;2;Bv&_Ni zNgP%>*@*le9NCC$U!zZ#zlBG~Az=DUhJ_=W0+}VB2hDKYiW2Cv8L>Nh6XzyIoN?m| z#|iyrMm%wagj6!(iyJ?(T@^CsAk2Qq|#T5}UkBcxeZg>%p`HYl0?j!>X zq!%SAcbuV;E|mE|DjYAO!t_Nl1IPl$a+uNYV$*dZo=R`Y$B9b8xr-OJqkuV~&*Ja7}=!6wb?02rx+8?y=04Zvu#$FlwBzsLBzJuP8WGIfkQ* zBjsY+9g|2`RgP0Qrx5E_xm;PAE1gJO+&Ho{pXG&P4E$OaFk(28AZJ+^wX_BmV_C!q zENy|N?Wg0z>)RET*3x@Co2g23WNqfuE_ zMioYn2eL{j#7!V%H6wOM6NSBo5vRjXp4T$si5pc6v7a*Hiz_Cb2N_9?3r_&@5F;7f z3@q!|XCR>um1@2GDFUdG32#zh8|0(F_Q-@rO4mmDJT6VrTQV9pe#SQNde9Pb{By<( zE#V!Kd{}ZX(-NkW%}va6Y6(Y)x0!h!Enx*=TbSq55jPhe$|MEsSF37IwX`P%EIQ83&*0lHz7uDtXdnJYHC+hJI_}>B1SN zntn`<1lgWrc}%+r&K*;TxLu>ZAsm+zvV#%B@jI%6os3{OB+f2IoN=Sj6)lf5;)yFG znRq?h#QWMZF!ml2ngzAOG;;4G}=wwZ(8r`c?htlH6X9F_~2iM>W%&^9V$APntId%uv*o(}Giz}q2`b*|G z9b8{8F~xVR2OKFg>`uub*BiQEKPrBm>w)?h|%(VnNe8-4Bk>EIAPohsDI9}*m5RvtU;b14a ztTV=d<-3_kvs7GbDaJ~IH6Jxd>um%ZzO`gyF2PpcuPit(BG~SuTch=EiZRYNmVDkr zaJ-KkSeFp&^bIB5rR2Yh(Ek&OvDSVFHjlz{i1#GKY$DNT-T5|9-!!uMICU}Mn?&Nf z2{w{O6%`BXGi1}AG!FgT`U`>`NkyfF!ukTialTgyApgrrFcY6tGJ2G-?k9Sp(BV*6 zUnO_R(l)#mSCq5U5Tw6)Ak{#o(TML2Mrgeo3{N6N+WLKh0!qt~$Uj?iQeGo3TTSkGK zXt&+F4_BWP`LZohS=d4jmr~G%?^)>CmXlM9?-;4Apa8ADERtVE4s1ftC9iAl1d`~n z5B8wv`a-Z_AFAx#gEHH%q#87Q9}|5z!B*dQINOnBoP9lsehtBKzFh>5A=oMO>BJt} z2-_)L0c!G_5ZOPESmbv@KoPzKxR>7(jQiL%gb0}qq10L`?zK`+d)flxXC$%a4TMFK z^&24Jf00uB8G-bdz6;#7Kr-Z5%^nb4e+680Gf1)f^<-nAd=>0K3OTup5*P3ixthu> zGH)kqW2N-Q2@zzO@sfms=TRa#K~ldAZl@M-t)#vXe1z;=CuyP<X|maZf_u!wJWdW^11JnR>)DHf7<355qbY~1 zf7>ZUugy?=7o>fVkV9^Z7b3?*dp{1IzV6lgd+!0Dts9ws9D=VQ8l+10&yeMmuiQU@ zsNExub)d^ZBKJEY-zCm6d7K=-D z^H*HEAw9kS$((2J?_)usMu5_nD*ba9E28Y(E}h6|zY(BWTlXLs(eHAGpJccn!;cbN zkOjC+=9sE|#sjYe1F2aKb4X_on7R=9A}}@*<1P_q#yEK?CG-+AGxdsjV@GBz+rK2{ z-QsR#QeU^vOlbPs;5^jPlRmN}TXa1RaykX~1oO(N4n+FAgTnrjX#<43CqSS8){Ugd zQ>&FXU(uJ?kM{(BNagw!qyMEc)=&S7*M#1wHrGQK=#(aAhCEHtRDfCwil zK@4o5@wJQG43b69KP&9j-2{oWWs;eE_3N)en%v_kresL4T!yqf6C` z*QXs9rFvN@M5BvREGz%Jm1uP3X&DYJ>l;*Smj_Ui3ZSz76`XDVLBuNv+(GE^i%@$t zgoVSPwND(CM%!ei1#bMWNURT$!*X0JpTm^@?IcWtxRp-3aoE=2ggNm8c*|ZeK#Zic$$anPqA2rljh<2(;Pqms~Jdlp?SFej7E{V zq%r&fICt=D$-%QF$0{&1$4fXzXG=!OY{)=%%o8Nbvn5A|*^)7Ko#IbALVTVrIe50@ z;MtO+!)(czwpYpCM5}QJ&z2m0;Y&I?%$AH>XyW3w8~2VxupXK%8A~Yf!b7tqV<`n~ zc%B8pSWZqY9-1u~D=0v#hh|I0Dsm8=Eg5TckP@tRYF=y5B(Ecn^)GF!9+wV zbtTIt>vNFjf!q+Z>Wuc3gK$7Sg{B_#M@y4`*;6j=9@K{ahXcb%3};gByA>8}34rwi zDle{k5Q^S^8G&B`G{QvJ@r!O@Ttgj4?+t0omA?jP-;2{9a5!+wMaR3A4mJORte&iRY5_-8o9Id8$8SnV^C8edF?>lsOl ze`yGiM;P(Pe?Xi^8A*@F8%V;k!=MCZ;NFhQ8z>5wR2OkWA!@bwtshbGLZp|$Fp@%| z^t6dZEm(oUkjr{iS5&X_&!7r-X(YcqAC#$J$$EM~;a))U571ai!=rmVp(_Zj zB=w;X_D;j;Ow@fG%z5ts;k+Wq{=%~ysOP67xeuo#SfxPY(9b<@66-jf{zm+q!@@pL z@#O&Fxe};nB%P+w=>eQX(o~A_5{kg0A4kVbT0w*%ig1j2Ds?-Xx`T1etboN7YOcer zA~_Y(mZ#(*(2^@r7)zP)7~oVRc?falakEOMrfhlE*bk1+_!O#kI#HEz6+?F}Njz^f zlAUn`=UTWC{+VogtyF8tyE?!(T!6*z!8F7Hgc%(RcEA9Q1yx|sU#9i{^jL7thooIC zZBN0o>{o}(^8Bg(H}Gy>hSMsLy5TAZyos}8BT&bqblQ!Ra5ND3DuFyJfr1hhv$l={X=D6xJYW>E9=HMpTJ&}l`pP!E8zNIwYp9(@ns zd-ZQH`(L7$LF<0K1hCNGps@9A;A;9%P;~u!O!EwVB5r#Y{qLY#^?L!^^fRE_^)I07 z(6<4O(^r5VuiHRz>fhkpMV|p*F8x=4-TLFO=Ft~}lAvco(yM<0I8lEA9(}q2ZjwF> z^kn@dz$yA#*i6+Qgl?LC6tG{P3OHT=BVx$V=RvZo-WQVH^gqHvcijg{K;HyPrk;s& zQ2!S8v+z+5EKv3H(CVT4aPFyph;uLfZ{X(Ww2tbnpTRj-zZ{ZzI!!_H^*Mn1=r@Ai zS1*8kKfM+)6zJuk^w&QIcYuB~CY{Ea}m|0`UkLi znZ6rZm+NyOIZR&)_zL|H7IJ`UBv- zCG-+ldt2zuF!5{5qJSM1`f-T7BlKSYdspbU!1;SZpAIYU3;ils`Hj$NnfhCyhr#;* zQy>s=LpI`o>fiT3ci_nNHYNN-ZHhL^-cgIk>J3lABnob&OcV{g$O!Sq9tF(2 z6v4zFoLJ@$rpyo#Pg_;wmv#Owx)6_r$f1jz)M}y&d3C=|DJhrl0rsrXrpw+K=Ik_O z(q=L&?6e7{&Ei-MJ6YCdGh(;XCYW{;BhCcc1k-M2#FIdqU|J<3z69C?)8@!4VL8=K zn_${4%*nRXCYW|BBRO{31k-L~B;VeTIJYxWV5dzm?G8o;*}D^RCnH1cv&Hay&eQ5@-`lo5w{MnLwLh+I&Vz?RS!a1@d-?mD|ryNf*i$Kq^#QStRKZ^a49= zf@ycL={n)1#Bo}w6r9$0(WNh7PAj=&q7>eVfV&i$9P+{(U3lja=LVBQE}3Hp?;7He zTQ^Nhv})->tMz!a3hg9__G9Qw+6;|bi+vTfxS5O?3D*rTMcpuBw=Y0X)Mjf`gHGX2 zC+sHe5i-3RwU!tp#qTY;7di9Mm=1)-bYGf+sX2(Gb>wS_l@PsvBzF*35k#^JtwmlV zM}m>E7+pqQL)}xPUPAQ#AK?a50#w#N4S_Ur)Cy8Ikp^u5=Fh?@{Vxa!qlz7#gjQ?K zuz=|9`cF{r$G}TYlfi{sfhTOkfa0(F6!LHtfuttu10+X(ELZ<~V3{O~M~cCAlD(cH z%Qg+wcOQ&=$e9Hoc%UWgvr1t|_U7S5{|Fs6JGzwq0)h0n1|}?*b4tF0xFM!tLJ+-4 zK275vjPD`$_3)(P zxyEq~#C6z!2Ez+Rmx&(zTX_im*mV;oZ~FJVcPU)`6MzWb&@)`GpegE-5xc8A5s1c! z)0GnhqBG)g)sc?Dh|krE-0K!bQeBJ4w3U$z7w_TQv=oYT@s6D&QRAQ0U%CBhPmRCfOKIb&B#dCmwq4~MoL}( zNC%R@NV)4_N}ZRH39ip5cZrNlboHmg`52k%qTNP4Nux|vxYv@?WabFz9!bHaFlI=1 zqZe2zV|M8-BVHO~PU)^9%+Hudx@ntIPuGs&;*;*@$#n+vN~Jr8LhQ;|xpdRErQS`W zhB86Ahf!*~Gp`a>sLKSj&mnS~biYfyOy+Hr?uCQ}8QUb?w2`T2v1Gk;e?!U6X5Jy` zewAvdht>v>!_qxA4Oma+37b2Ec)b`iZ0;2qz;YO~+uS!$)V&#V+T25^W^x(x*xa{M z8S)tO+1x&=j(o;aZSH;~*@v+VoBKWVXT2|D0h{}6^4E{CY@7Q9YJ3HZ<=EU!#OtqF zQ0RP{+e*0}z&zn`=cWJ~$e7`A|C!ptAja$-_b7_x62_b!_j6Q#gBkO9++R@(7{Zv( ztlH%xmNb(G_Ar&E@$VBScN z+fP=mWUSQVE~Z+!im`G;O<0Jr2_Ci0Pc4E6i(U$+x@EkJ!l{-RcPN~);h_;`baVts zHVK0?;fzq?hiii*79Sp?UriB401ZsS8$?4e1`=#|1(IeABG~S2M9{`11Y>V- zHtN|J9E5JdtCswom;-q~felN6vhfj8Z|SdWBw~QDux-1y5DBxeZKwA)L}%L`@9$7? z7Pjs4-b6BkNjKHICIEN{!5Q9P!oP+0H3Qzi5dBi3XL}n7zKq};?-F!t%jE>;d#@!s z!w4?$22l>n6$B6R4n_GaR}wtb`yUj;RRj<79!2$8LIj7r-O$J^!wD|(mZ3r{VS-0` zs{?=w2`=?6A)7@6mwN{w9hPE(CwQl!;w>cvPxL-OIT=ClRPP|<-NMiMD!j`nHh#=E z%j+ZiSCdSo_b#$?4Z*j0YtXbUr36=btIz>0qX}N%9YFTS5M1p&N%S&;@AdvC>w1FMcn6ToM1mjkK1lRQ1aI_?BKgS#Z}P52H?d41 zxZc}D^r-}I^JY<9+(7UyZyJ?l8o|50%c+bv68x;UiF7Ln-s81Wxuz4m&wDk&GYEbe zU5N@g^CoIX9?KnHP&+y`5TrYaFTAs;eyRvIymKf|a|yP4Ljl0^2u54%LJ67wJaiK$ zA_wxeB1|9Orl6xi1Nq=Spnvw zY-9=)Qw>A{D#4hmr5V)Z{a+%5_y8Tp3en>%{wze&m!jgqA^08%d@33X@M1{R1JAhk z65tlrd<{4Z=Hu-D97U1CV+KEBgWtvs4rhb(Xiym_WXW#{wlC57J&TzQJHr7ggf zr+-F0Ef86MN5ho&&VhsDaMXfeWc_`Zi)V8~xR=^N1bW$AqI;=clQ9bS=tj+iU*;G> zD3^!P1webw5%T`$A&RZQUUR6zYH0M;)=lW~JvH2=XjzXf1 zLRR?Z0k`OL5!ZR9j??2sjoFH^2_bU!LBfM*LBzH@>4EAy9n%}cym8o0@IXYrPgtWe zIiB~0{pufS7J+KfB|OenJQ<2AB-b&Eg6SB6@JA?ty>u!P{SC$;>(4`5RaGy3f$A0% zHvJS;O)s71rK^Ffs_D)AXMw{G`ZqiOH0qpsD{Z`>i4*H0=OBxeHjHO-jDGkx!rl|O ztnZHXRw_Fh!;a90Q=X*8G+S?p2^GbJW}8C(MO2~p=;z53{$Ll@?u1}Q5SHbA%=taS z6q$3$>4Rt#7`Rw0q?&RRqpBIPq`vre>RJn>_tjZjLRL*;fF|-h-`|HPI)O(kayX`C`+UK~@p#ZD7eM3 z;2t19>pQ5Nim9x>NM(IYWh=ELdcjVOgt9&n1ym_``XZI5V=BAphI_DsN=Z!R;6*A2 zV=4yXkn}*$^m2Dh<>W;wCu1seF#D3{SVc9M*JCQ@qAKZwXnt~zb9M?jOAlSZC7P5- zd6(t_1`Qfw91dBZi5gEmL*tggvl#TH4=B%ul?Iz2l+q_s=P-EEQwW^;l9jPLfo1Rv z$i})qWf%XXCQENR&{HIU^$*2d42-%+T|nJ)AiI!bQ}6A7jZ^LhvV%QQcb72s+nBq- zY=>T66aFwM-(kJDFMSA?=^WV|Eb2y+PxVkT?qE}9U#eog0_qSclc?frId0WKzN5I= zNNqH3k!w!bs6op5bYzBSZ8xxmQ8cVe~JJ*ET01s#FKEByAXqlnsbYUPnW7^qKA|sHL*nb*zTL%HN$zb(ihp8}(acUn9?V zvEU_`-6;Eav*dNaMao$UpuU6s(`293>P`E3mr>#OGD?A{qUL=;>3{PF)XbZx&%AFN zb|FzuP`ms?tn^BIJj7HqA2R1bj^=j(h@P>PL%Am$$@*%Dsd4sp@V52w)XR1X5tk%`wlU97W_ZQ z_`W74j>Y-g7%}-HCf<#+vLaHlshD}x9Yi*JEP_W>0eSX0p!^a=RAtFiVU894IGQ9D z+s!_U+@sLpy0t%`X6``1ax<4%dCGi&#=OTnQl6k@LT~4o-7TdT zdXRjTDJTQtyA9!`P75%VU|CK>>+9_8Y6>=urw}P`P|efZE?Df~ko6Cf;wj412WHLt z7g0*T{6R{OKrnqXmElXaIt^A;^E<G%^ggz!mC}XU+9;-|9KQ=ssZUcQ8^wXpWKU3|r8n^L z3IYyU|92ANc2yA>#rE1`DY>*=Z8mMwZ_&{rrOrMKM%)HN}6NnJ^NqwCCMVBZ=6p+H+fs$IXd>Kd6tgi^?CCiuWE*VpE8Vk-a76zu4 zEPr8J^v;Dy*-y>DPxlW|%`U$tmpqg6$46a`q-LP7S}3WRoj;yj{(^9x+4v408=x&u52qEbuIj}128v+1%UEfWwQPz40P(r+!!`|1w_@8xiRs@keWt#8RdCQ zJdJYq16L2)%GuJd2$&t>E4MH-EMF|7|K;~Kq8qnAAmP**S0X!J6T zUj8amcxP2tFVpDiWg5GBnMPMH(^&UH?(aC*r%gBrXQBZgOq2@unYga-Y!f%|5*m~o zkKq(2jXtsnoOs?_b*jBpQ2WWrL>&(q5kCPSe%d?HV|*)5V_9yYeN*FmNpWBggfp%Y zVpwS36hAp9#BQN|Q$u6KX`y{nLubTep?y<)PL9NU7TPy8ER3XDXy4SZGLm7TeN)4x zwLvUkp?y=s&YTH1O^tZw47EHG0ODk1n1%LDjV_FYEVOTm z7n3N0A`9I;4L2hrEwpcHco-?Q(7vgWz(~1;_Du~hBNHsNZ)zkmGSNc&riPD^sTSHd zHIg*SRE3N7O^syc;L!o?n;I#M8PY}jrba4bcIl#hQzMNrr*zT2so`hLBVDv_YNTuD zaq&qP?VB1I%qx{H+BY@2GFC2Kv~Oy3)2Q|*NEhv!8r_*!2`gx6MnH?hV}eN!Wo zc^jo`Az?wrHc1!ln;KaxSub6*Z)#*S?~ruSzNyhe^TWzv>7sp8qbKu(%|-jBMlZ$; zn~U~MjU2}8HW%%i8oe2F+FV1ZW^x(x*j%)4YUDBIv$<&B)W~Nn)#mC)l6@GLmO^qRp`8+P#H#LSbmg;fQzNv93V;LS7?VB2x zF&6N+Xy4ShoUv?=i}p>8VcLJ9?d5n}v~Ox$!Mu?k7wwxGS29-WanZi1aTR0bh?=kv zW7s$4UQaE8dwnm3Q{6J&Md4ISj5`!g*>KZvg?&>tK#!N0fPGUB?VB3IHR}2aImTn! zF)%GtAZ=`Cuh@7bWCtULg?`9k>}13aNg%rzaeC>89LD2}c)avO4&w<%e3k$PN8?G2 zMx#^<{gA`h&4}MZKjbi;VzCS_{gA_Wnga+3M;mN==!YD}GulXaN|e^&R;;<>JuoBU z>G6h)UjYy#-8HHqG{ji+4+ykU=% zjGu+HYVqoa92))X)jF-elD(;#Wci02@qJlV{g6W&g!_y2mK(tJ*{$~;#x==_h^_z$DQF|=EmvPgavIlwnCa>?r&dII8m)t2wUO)-hK zpKS%o9&JC{`V(v<(e|@#0KxVo+J3eTB-oim+t0Q^1bdQb``LC0!M-HgezpxJI5lZa z0PqlkGm>cg**28mK+<1`eksA(NsRKij4dT%SbS&$g)q zZ%d->XWI<~?@CIevP>g*cM@$s+ioQI*(BP2wp9?kCyBP7ZPN+fmqgpowiyJ!EHv7F zw#__>c7&-8J#qFK@~VZH948lrh2+{WWOtE#Z3(tzNym`gL~?PFkX$2%>?)EA3$gLa zJnV!fUk6F96+@;%5>M^8GB#$aD#`;Hj zOcco_u*!90NW7DAH9jW7wPeTy_!uuF*OMVVP#g(Gt}48HB$6i-juet>$dK_s@jVS$ zuFS?E^igm+mQnoIQOQN+LXKBV3o!7wJ`HIg0(jvXHKZ<54r1OYxl%Eofm4Wbwc>wB z7s+MUj}ek<)_z zVaXyTF&m-_6^eQKct@p(WL%o-jVuj0? z3l%cFWHffK71OMd`xHtw@^?<*&Dltgs*yRw^Z$iY*g|EwpHhbuF0Z8&jwjMjSRXZ# zJdPUG3S|y~jH52`_$m~YSfJl0h;Ga=MMv^4>=j6`b?HQb%6vFyx#87aGeOaOi#9ry(SFP_4K z%Ulgm{dx?Jnf%Mdm*~c+tt-#WB$1ctwx_S#xFq-rq51MSJU^3wtw=-mkrM0l>@L*S z`bthP5k!K4uNPzi@|Kq2v9{a@n(&SsCGe2~f;G{@MmmG!pAf0Mw&!|Wb~i;|h8`|^ zZUz26aK5{TWv_OGiJkacU^0i^t;xZ=HDg9*i(cO-#rBj3PMQq zP6hQzrqTo7UPVChQ~3i*4kd_hM8kKM6*e0-P%zLc9p+hDXrxfX)Q(>-c(xj z+H))r${T%>q1U^L`Fcm@Ad`7SF;8}6UScx;ru?;CsOK4_;PHB3xK;E`MfbyR{7Am<5Q69N*Gl?*4ZrrwDD=Mc{Km1#+8bk%br}e2 zO#Q2@qJZ}(&KRw(O=WY(|6PG+WMbBa(eXHvIJ@FLf=i(qu)()eFcgh{cf6zzMH1c;rH3? zF8Xd-^rLo8{2ZTHw@N!MurwFLFf7q`)AZsgbmPd9UB6ErAWQV!G(Gy=G#~>6YLn#g9k~k9UMlIGKzBgbSKxz$P@wOo z;Xf)T86fyB7QSP^3SH>CX?pa#X|5({>Cx||x%}ig`rWiH^xZT)`rWiH^xZT)`rWiH z^xZVHeXY8)OjJ9_iti?>EW;z?x(NeK$=vznkWv z@22VIchfxlUcC9;G!K0@O*g-r=HVCI&F`jpR!|j~-%a!IEA8fY(>(MGcHR7LnulL$ zH@}4RQ|`k+@LhBka)fy&`bqz`%-OUZxqgI-2$JNfq+b-yP6^Z_qp)g16eB7MNiSWB?s zqYro)50MSKk3QgKY$Vv>qYro)n+T3eq?LeCPi9aFMEV0jt`vNz;pv`WKDr1L_}Y0D z!dAW>@8D~92Vc*0@TEQ$rhKW7g(+XZWM4k|SeViAV`0XtpTbX4FdJj*lPwtdsRhXT z58yQU9>gJ^B=BR5(9Ta-}{W8^5t{);q9vPD{fVvrdn z4WjTH)DqL*=>e~AQIQ5g>NJPb8`Yx1d5gkH!D>4ro#lul$98R{_;bT3{ez^+Av`5HhH}wu1VwZVmhn?w7WyTGGJip;$0Wsejx2T?L@xfyVt9%HTVIkb zPWE3V6;GCT=gqypN@{#^tmt+R*@#E~!8Q%6WT0&-m3XbAO1u_T3C^crhHK=O{(g@` z*53@ZO!hRK)qHpalbNd|iMJSd;2nyukTvOz6=aVZXRw}PSQ#^j$rTL#GG=fk8$1n# z?rX?^l9XcuY1kN%tNC5yJMq9lbhDGcMlMV)8~HL;m`1ZO8_7f?JEQW~5c)T*66yar ztK`C{D*xY16|0h=0?V=8`5Q}r(@0K^LlLMKb-R^{K)*#rYhp7qV`jSR(KND|?z%D~ zf`cg7!HkOE3EHDVscBzMrExH;eT8y$XGJB6Qm-LbLs_pMp4Z?%=^;av$`J5p*^tFy z8meSNvmx3&Oa)PrFqGd1*e$Hr3~qpT;BM!aR9o2a5i-0#W;lZlhhm2Jv*Bu#H*ht@ z^a@LErt$~BCBl)IDwnyQw%}Faj4>ac??Q#5kvzCR6H+B&SupUMCct;wX&+j>QP0}n^jkm>O>bL^H`F$$VKpV z@_I!~Re7a1;+5(Ztooan>QyZHH&X3(2YIDu;#d~rz-ui~Q%x$)qS`{{n^fvJeoHSI zHLrSQoQ1pCI1!vmCI(o3OE&N~8HcPt9P>Va&6JbkIWjbW4WUYdq&JB5UZn=rtq&FB z=N!u*HWH6bN)^k`qp>IxKQ~QGAQPJyJ4q(8>WNTiJwfWjp`D$t2y;Nl{)pVySqbiu zPV`kQD1x66J#xM;ib;KTjp#R2(tgXOWR(tVgc_^rU#{51K-LVxbR9 z^rA0KOu}QxUKs%90?08XdeaN|%R%X&$yN793w@}8HFuhtFPWMo!J6-0tZ9HQzqAZ- zMm4`QHNQ1ANrE*!)HyCh%bI_+%r!Opfz8nt0^n$4n%7;d$YkU%H@!NXx3Dtb$l5AcKscfFk3t zi3o_ekB%a4sDOyes35ov{C~f5?|tvSF9|J(%gle$zVB}5oO|xM=bn4+efPZ==5_&c zQrr38>01ousRnb~2+aObFx`wR4CX%@%o|5w-aQItdd8Ox<~I!HPe)+>aTLs~jB(H< zY3Foo<4docfJnHVE0L4h&b*8ogV|; z&t){;aP>*z@ZMFL^J{Dp=oN1(s^POj>PS3ZJOZ8h+pl=dyYXmXgl{rC?rQvC$*~GS z`f2!)h3q#F2`yyhwQz0lhRkc>t_OtwS~w>B*TOO3zZQ-O|Fv*T_^*Xy!hbCsIq_c$ z$Ate{ID+}Fg(CySPWp$DXI=|OPWf6mzS8YTuZ0@~3||Y!*K&>aS~#w7QNHE-ESI~9 zSz@V2doA4k3bxBbw~qZt0_ab&=MZCp7L6CY&_=a9@vfO8L>3hkeiiKUEt4x_vgH<_i_ zr2Kcl`>&3x^0U9Dvn%;Vxgh(eHgvTQ{i+QeWb^e_K5e{~PFJ~5XAA8hyUvDQ;zMt> zp@Zz*HuOp#`XU=T$iBgXj${`5;Cv+wIV3A~{?|hA0iEYV@IgM8sivio%yWJEg*so+ z5Av%lc4`W#=Y{qWT-5iqqjGL>vcx z;Hcojt6E|3W(XVOT*b!>-Yy3WO$nX|NbVYR+$@&^n9oHX^L19TSXNlb!bTLSoB=Ac zgvw%}vaT3Zh0bD4XSVoZCkfsFO7SLCveGQYNUFy@4_)jNY8FBt6wo^edU{Ho|KWq4 zE}-`URMmNUsLnRQ`>qgLLFV_Q)cM~&p*=zr|AaE*3C6 z6Cy3VSl8wh-9wb?+}nMW4j<*Z5XyBv%Daj33F!}+77yL*qkJNS@(GPHV^Cqx6mhN9 zK+!xQ1QeU!_6a;;2)sg+C;U3!&*XOmB~x0KJI=K1I~rw{wCp>AGEc;DJ?mKzxf5+e z4B{99lIrpW5h~890Gst1NiT@30z?nfEh@bb>5Gw`y^ZPPWO@2grmrT|<0btp(oV6S ze?N`5a{#)P=E&hJwd0%%I$uJ&dGv&Tf1dRZH~;FX_buIV##CPI&T=v7oO3){oi$Jn zq2V;(ao9bqRy)ZTdB2Wu63F9j?r$R;tWN&P1b9&rY57Z;cu5kOd3D@x{+%Rp^RGM> zB`-^2LjKMZka$HB1^MrVyuIH`qENj%Pq6=%lFIzUB>k!+7Uy3@(yvKkiF(0_BdhGC`BxLn_1J`!`3DIWkwm>5zVkef zq}SxPveGmuiRK@MT)cEiwB|2hr5TdgEXVNhL0Q_-?fKt^w&B~dBzr5-eXz&dv8oZM-B7Iyp~M*i*gZ&}ZZE z-V4sG6Rh`MOen<;pMLKJ?x!Oz@21F{Fv@!`9tG~iBxK@#8EiYXxZFdNUPX28Ys`Rm zii~BL(kA~F!g6234<-}%WW=H8V76b9fSEEyAx zr?}ih9|YR8r?Kut?w^?h+NEfpJ0w7A3H=~}zRJNoB-WNdZ-;!`4+&7NQ2L2a=|euH zy##tpfaVF%T9}e4XOjM7!qs|kHDx6_Tc-z^o)M%kW4bsSi@-zf}DrcAjd zXULpGGG8LvX##dD$#e$kFZg7(NcjswW+J(HSjZ@Ge2Qd#L`gm@VDrmN?T<(r_u}E2 z^b_p|{?4V+&w>bZU$KZqFilPQyvpqbUcx7)Y|IrTR`3Wra+-&z-Zwg#Xpd1M(_~OT z;G<2K^bZ8>>tymILF3k&duY%{J4wK}E2?#0(#IkVjb+!KCqL0^*IMY2PLNW90!X4)I^Iv9%V^V+2}9>DT%|yO=yH?e1^s zqaBC-1fVIaM5+Q*Ki^b;ma2Y(Q*bkjFA>UR;1k|gCXkl0`bz}q93SZtAL(xL%t33M z+c=Rn66qE}`m~R9i;vVuq^HuJ^x3L-#2Vuv6-g7jw}smw>ZnB?S5yWOeI_Wqgh|NAyF9_-9q?N+*M3xf7 z0YMt{kq-DsJ1B^|teU3g0O>lCx+?=*I3M+q?lMR-enCO}XU1133H)J00K;Q95i0?W z5+Xi>sIpbxmfF*SH1$X5b@xe0w=peCvhN6`tB`hPoJRuF#MeYp-&gxSNYDH#1vy=> z0{f=QeUc&pe2A2eW$_F-X7Mc2vd8!Y@Md8}J`6vf)YP*?I>#x#fUS&-V+n86;j!@x zNo2}6nqK~?N$0p+BatcN*f8EyP>fF#$hpicIF7_l5@LV{vGoY1i6BoBG*~N<3%{2l zdu8LDl0O2~y?78g!dh|ZFr&nrV{Ze#3$s?5^98V@w*fD&P|J`{OUGfC3>|)?ZNTt5 z@3~3{ztFK)a^$h2*ZV@F+50;K;HjU4kTe%gz1i8sHY@ z9nZwS30Sd{ek<~FUSQUzBRIf|*JmT{ZbL5KP|uFL5t;NExR3H4#3%CR_e9+M=HWdm z3JaK7X)>Mh`4|K4PL`qXK;seMP5w3s?_9`?s55>FQ|BU~AL<;3PA&L@WD=wkKLtDd z+CK#8*B~lfn2Y7W{{1Vp_o!KAybnEk1B9Xp?CIcV+ga)Lm&#vXm-f|6lBP0J+{HEh<3`{^i&tIfBGM=4( zGvoGW*U~p|?UI+7ei~n_mj6UG%C{2M$-j9K;-@nn$v+8WEd5Nz)AFBUyo2!!9PnbI zYX@-0-wCaB??bl3m9KlK1=5(%3WDx^jAp~WW8n*GN#{p^pTwa(30u=E{p{cC?88FsyFe&V13i5+Jy%Q(2a5c<8lw>r^AsE z4!T{k02^A^M4)#F&^!TZA&@BAJ6y8uMvESxE+U1J525B6!u3DNGX8#~Q6JU4@EZi< zGhxPLbFDmGkgpYF*7p&%={9%sljdEIclq_*rt6z7R{l0IXYln4Yl!lw(B-7%9(vA4 zdDO6YC7bc6OKV>Va5SF6dPSxrg5Eqnr@Y(vxRrPLO@v4P+$nWF#R5g?Kv9L zk22mC^PWfJ9Zh#&1#DA#C|qU~(sHF8|& zW#NAtk#4i<+3h3U7OLkqzn+(g@`#1PPrs;o9toj5;-maKQF1W)%+URgkCKz7_3C+w zHYaTrV5Wgfr|?@uI!2I`2y(MbJ;!JyC4ytpj0nC=8jXTd2omu1eUwI1HaDD^Bs zJ&Hu5A`x>6m$3M&LSl)K_$EjwP5!EoNC2s*gx&L1A##OJKV; zi8NLE2Tb1)l&7O;#?O%Hs@LCDAnnY|Bf$7{x=ZiGkjfQCj)8Bf7#W{VnX&7p|1(j? z%e{Sf!whj0Cn`J=Dtw8>D`8*EfR2HP>Z_GP1m;jktP~PAOYPU<7h42R5DR~6ak+=S z?AN|S5FZ7Q62lHbeGcgv?<8iYtkcJ#396wg-ORKIMx}3HTKJ7iO`k#9DXb^IeBCBo z45@Y&+*`%(?S@~G{q0ieuSi5H{kWvZFUA5>q^r`-EUwn@?urkS;&cct&3R&)?<%JQ zoHvc0#sK8s7mmf`^EZDJzgf8Tf&*EAe-aUMXybKm8qM@JMyg=mb8C2K=QO!t;^e&m zk$9UWk(T#UCbmc-GnanyR*C245@VahC*)lSDR|o@QIPjv#5i3Ng--r{7M&6K3K+`C z^qz~H$?Ayvyg(pMqCb;Fnv?%^BEJw>2B;j~cKQ=!E8zOJQ=Wa>DbK#`lxyF1%Cm1f z<=MBL^6cAAdE)Hr+fI4*ZKpi@wo{(C{`$64o_*UX&%W)HXWw?plSP3eyQfR@?AuOx z2N$A^`nFTvH=t4Gwo{&c+bPe!?UZNVcFL2rgTC#QXWw?pvu``)$wETkcFMDFJ1Lp# z+fI41s?fKc^6cAAd7;}*`TDj~AG&7zF7&Fq-E~iZ4WIBb`pj*Y-J1#D58}?m9fbPU zMKC}7B7=Kq7oaDN!_R}rdJ-Dp{%*2~78D>qa@oFuVhP`g(kV^EF9WLMe2>tF_!#|E zSvk)WU+W|QOp`rvmA;B;*%MdkhnSWEliWS+f@YB;wQg-4XX5j-zyM z0`3^gwhkT}HrqPW{~Mj>etHse)Q(dTA81Vf4H$D=7}+%Ec)lt_t)oAW>S!E(uZ=_3 z@o3^vyp$$w4JHhHdTrw1F5A2}BJY0LK^n(;RLT!d>Je{gI_z0)Sb zZw;o^?LuB=F@R^KU69rbVP^f2`4^?V9|cauNG}l_UzXhuWRAtJCJ9mZ{l8dz`cnN_<*2 zzcrZlAxRWw@mqswha@pQi{BbdyG{~CS={tayIvAAvR07rO=*_ zlG-6DnV0qNOk5|_7AOgE38GaZ^Hr42OuI3CClpml=wm`&OX%a0&=R^sC~67aDG4p1 zyCk6{^a;Vy68fYhw1n=qCG;s_cDttt^lMBX!jJoQNgsnEXHwcG5Sq;P6ud~-SSERJ_ak@8 zp7uVIfhYV}x=ScO4-Qughk5DXi0X?H(x^mqDl2ve1k&9!LHHk()CQDJ+Xl+Bp3)1j z1MUxzEbPP2`6$Hqq)>wW_E(Tm#ILLu;hpTsB42cuc-O38E za|}AveOwxWXKaomZrq#__Z%La)wPxUhRwTNs1`IU>|1iygC%!sFH^#u$;Hivb344=U1|o3G0atP^Tl6{i zd_AYVAh}G9=e&ZF_aOc;5TC=@1ZmdeZkSjGn}+=e!CL}NTu;g>o#EYzrW`KIBI(Cr z8&jqJExZ9kiz5nLXD$_$e_$FDWkdwk!4k;*TKVPdHtP>0SPwJkIIw@<{vME-GZ7pi zSNMV&E+$Y*WV7GI@A^P3KG2N>dNuN106j9ElwLz~#y6|nxuAVEYM*!qYH?kUZF~*s zGU}7tIBwrhaTjL|VH-1xevbG7w*AF%cp@&d?7hs-%eeDLh%aIOgp3Cn{~_})7+3T> z;wn7Yhd()~>E7LZ8oYSrGX`b;CPb&-`K(Fv{nwhr50E+kW&9MchG0|;^Am^`|1n4pLiY3T zhY*Xui5~?ty$B6e(FX%^mB=hU+b@}Zs~`PI0Q4Y%o`h^v+lui4LqyqPX91NmiZqtF zP>`7jL@!sLg7mB_DAvs!-1?sCStwVwXLBaw-2hXzXLIJwkn;IRA4_o9)p0Mn8?s+} ztw@Y3vL>Ys0^_*j$Ftww{Z7Ou)6H8*pT>QJik?X$?j z7lzS$6+LLBd;RCphID>&wzz^X6>vYQXk5ySVrJaUVUq6MjEoG9*|k`w%be{v6}t)W zZ>Jz9U2H?e&)CxMsD|LJ8^skdLfoM^Kg5jZS!qsM1AyQn;9S(szt2@1-6B97d~bOxP-H6UL?oR*VF%xPYlKE*Xpf7k^Bp}mjNXW_N*SFJZ8PCWPf31!^h|d)1?Tt6> zP-QNFZ9LAcy%lPiwSoea_e?oiCCGPIv6lR-pEG|o;}f#7nSUyqI4R3zd=2B1vzi!h zAWT8lX2P!}w^Os~ncqms&CDVRw~6`1SxcGU%=~#y=7}gMb)zSvYZMbP3Pm}`ZYwLu zm(_#2k@4}ecyKrEL41O&9o*9xpCn5McQfM!vT|^@5PqsG99%vr>P(Y$gS(B4V!^{P zx}8iE$*RFUo$*;t<~o$;y79BfkbKL$n*~nRYt+&nwjeF*hipwRiDo-_XEJf~c37OL zkfeKvA0EmV%$pg#3kGDoAm4l!lJ15H&k*63e?#KuD8oB+kj5`bPsBS7(VCYCaW2yO z{Ql%Sm~naX7f$A8WV(l51eBt4CFyj$9k~UcC(u0iOMqG_oK#^*O^N=Jrtx&JHy6pN za2AL0D=#6Lmhmf7<`h)2SN6EM$oiIG4*I6i!Pd;2K$`uRB6kLbD2@9U!f*hMbBZ1y*5Flw^?RsBk%(rA6N(eo~chVa9(lV_0Rp0t6Y5JOww4HNs5JrkV8q zRgTZiriJv@r%gt4XVW-(>WwS2X~Xb+*quO`P4i{qv|Kt~Orw={3R{WC+}yeOlXJZM z8TlKhO>`$rgJmgma&nd<3kXwjfcTW0x92oW28PZDp>)@6nCv1iqr_>LTtQ=YA|ei6 z-{9ut03n@)S$I(bvC|tSF9Aq4l9@p=XP#i>>M8()o4j~2n~#piFT8wyz-K%nnT$*T z^>jmhqD4I&dS_8ba@lE1cm#XceU*}62H)stAME){9b2}&C#tMW3{L#20*tqWH8ZNlnNgK#kB2NX9#5HJ@S>WU2)YkxnxrElice52>Ux5OMy zQ#~RIXN_r?=3q!d3#K^pF)L)7A%vGL2mJd&g=I`-H!qdle4{brjmAu{K`0!&t%NEx zs*(r#`^McSXrez;XW2$S+l-YQ;{=5rCVP0~hlj^_D+yhhVAOu1ZTaao=|1C-f{KqK zJhAV}a%mS}ieIG8?W20h3L`j{}L z8eg*l6llLS7$AXSQE_0F_$p#^8S<{kBmApC7%8k7M@u^kmg8x{9jkV3M7pN~5754O) zZs`$JB*To7z)K0tb(S)**|rK(bH$I(H7cApme!(^)YzlG+Sb|VtjyQ8t52P^-I@Yh zx#{Rz$~_fH-77gcc{#Z`)c9!uOpsYP%}79u&-4HWo_?N~fEl)RnrXE8n4k*SVnVVG zSYg#YYMzDh4fIM45Hj`z8<2L~}1+9j4Qvg`y!3BG@-Dpmw-Qo31bXN;+vfjYi%Yies>;58fV z%dr(JSL=(&G*9=Q_AgTHsjaofVIka~TN&Sq1)9~hkcVENX{8xujV99vzFVbMxR!Uy4mQg)J;_anG|j9A+M$^{ zG}qhnHpp<^CZ4uVDf4y+)0)1iQnQ2pW71N%x5U9b+U|TSEC<&XGMO05q1TfW{RtkM zO1>;$Lj2)l=hM_qwz?S7E${(Thb!KkVh>1bF-c*XzSg~hoi1&MK*k!baMi5tW49Y+ z2`o$)gFM=<8hGTsm);JWWf`v7mf$l{PIwdLx+$=@F{6C;3XwyaW&N)QuK9l49 z&Pwf7ZWjdReWE2Ly=NdJHMQ?8RY2Ep_rMyUq2;I5rR<<-dSvOLZASWAB~RNdjx+ur z-piu)akFfrH)1!-5*K%~EMd}b^v98zo%J|+9m$4;#O;_s(331d2gVYk%4oU0BLfke zK}5MhvGt^EFXdB5;dE;h0>f++bJ&62jIf29>FdYHF=eJ{U}BOE@cupnz@Fk#Hyy*h zmT&hqx=Hn@+0iyjY-!c&Y&=>MJI2vuvqfECwx|O?DzyXHWoG(;#Es_b4j7^NG?WKOW$%B7ZKmp2e< z$}q>nNp{=ETe=8QQ1+H&CI&i1)tqF8z+@$W&_rXlxH!jX62ai0XgN5nJ`=|cdjQyD z0_nhGr7bG5#-P4&BE~Kl45`Kv+g(zt9)+*tu?CXy=M+|13?qQmj#Pu2EThhRgbOyq zY^}ml%n~G8iVwXit>(R*xpuSRzA9BEH7>+tjkRFJI$y8E;K9zrV%_+yqE`z8g``xu z)~CdE+snN{!pn7O7>qLnzSUyO>b_Z~`z%*i8Fb_90!v%?_kPyNyb_n|L>W9HQMtj7 z&UT)8*vWhem+Q1n`W&7E#D!=Xg4S;P&%umo4c8Je&X;Tp;IMLUS( zm68=@@FcD8iY}m9Yb)r zL}Lu@#zhD=(v}e;#2N`{J2s6>&=_)xD$9K`S+rQ;tiL1WBR0m8krfR% z_Q%JlX4LP?(fj3y9cNW(=?;kn!`|>>iygz>WSbBZ&Y<53NSx^>420DJ*V%4zO&BKc z>#-@eYV@3BP*YIuPh+ENO%@F5pp#*%N+z=2q^2B&WZ%NR8NEY}f(L*6{e$-|x^$nf zFG%$GiN9O_j-gIt4(NsW{NYKssK*RX!S%n$!r8+!3j=B8$})Q>9kH9nl-m(84S>zT z8#4Pgq9Jxfc5I|KRGUTFiso=un)n+2&Ix9`H&7n7X%=F*;E2r|6Z4H1n7V99SyW81 zl?8w3T>J&@x@5fk+B^Kw{svoP>abaOgPrAD$gym!ZwKBy_x?=aI-3p4tS<1qO|CT` zkHwGW5cqQ_VOxA58e+CoTxX-nHeqNQMPjrG`+qLyez#FJZ(;>&^@Qgnf~9l@$i?Oa zX$#H>HY|6)zeX#LI8}bPh1{bNSk^E)VvDdO4j3fE8!U!LW5cR?v|=bnFlH@BY!g27 zx(62<%rG0D(zA-!A$gu;`MSrC?M3i~JG6#DAuiP##%8Jl2GxFn;fLSFn}Cnl0h2)y zE``b1OjW>OmS13FvV>e32uqqI9L2?AhFfusb5<@b8**kIW@5W!V1>?%myw8QVhnR} z`Or+{ONNFvER-U10WQ|TZ~?yQW_fQ0%;IXSKK9kx(z`IMMZ|&`JvWRQu;CAT0t8md zVmVx3Fxfs6w`v?CdlbkpLl%j@T7h9A%%ea2-lFU=M!Dac{LEe&F0`0IHj`qv7@MF9 z7!>*ihHqkK;v%CAi2y1L3UoF@B3j}!*jCoxSi(WmH7{x0F!Hqr3&?l*3CleF^`MR@ z5(8kX1b28A6;%g&!v<(f!5V<0YlwkPt+TqQGN_XtPjM*6pdFD5n{EckWDW-2>6;?L z70;Tve$Txr8rfvzHLNdIjz;Ls+NLpO@fH@C=GtPl$d6v^+Zt1BVf@tw<^Rm7i+!x>-**AS}z&C;6e)y}@HHJULFT_14@`*Bd5?7cR0^BPA z8yFaXV6{hpuLwYlfdL3^41EH*pThT^;3xVNl&oBChalK-isp2FyQMvpdz&*MtRozJC3hZ+4nE}Bt%MJWvz zqPYkrsOSy^T`IZ-AnU38f7gHp8NQUq7x8s{XPY-eWCVJ1ExWvw?LxLL{) zD0{l-FcY7UGJimk!wZl_2{U{zE~y5GC$2&Kkff6|Er)MKoah;70n=tEk;WS2lLkX_ z4%KJSr?YL)nB-L-X1EDg9u$AgGA=sjxK(9`1GK=~U=33>DTa%2Wue6@Ys-pO&$Unj z|Aisa&tc5T#@7qL6oY(R3V^Yhs(=B%^%cfsPPqbL zQ0)USwo(-^sP_v@`%E~dAjT|s^O)o!rkG-|$!ChOPF28wU&RTx^Uc@!f0$YVe}(=$ zqHGO7c&PjC1$Ws_3^vCsA2_9iTZ8M1Y%6&L%41YG8de_cuckhClw({rC2o_hDYFh~ zjYiLsvnjT+MptXQk{nbbZl--MA(y-8BXWuw=S4@v0;1_e^OkpThO{eesVP5_*PivnbL9_uQG16SCqBfx-WRVcVGAV8$Ny*qX#U`}DEdH&ifjk;p$ez7G zbu<_2Z~26G5(u8bH2jUzailK7^jyzJhj?J@R7$_)M}T;qAK=kb)Rf#5sqzpGl$;Avc{F^t`a7r3J_ z4DQCI3K+}5tK3n*U;-{xz*vPUV6fOPV60UYFyLFz!vebob#)0qD}(Rj0-JpI_aI&r z{{vj6@r?7u^+-R4;=_ecCe3&`E((qzUz~4n8RrY|Y2p!F@LW*D_y=&Ihyh>8 zZ?G7@2rq|65d*%J-xM*R zpEZswb5Baakm7r#Z&Fn;xW})GvA$mj4-68x!aSS>+!njkK%&x7~F{~bX;^XB&r@x#XFwy$H#k@Amnqs&*M3A zK9^gH^w$tZkv4xb5s5gr;q}Z4uNaTio@ojZmPz@w_{4;!i*i0R^bA6NB*pykLn0C9 zkx2%w^D*cb^7sTT`B;cYVDTPn|4-HXwW$XFB0f6nmpaZ;#3Rl}@np8D_j@Q`t;_it zJ^tn5D*FPLfB1$0!U@dOe~SKB@mUpxzY^vC!@Gc4h(}N(&evy~@_LjL=S+mxYZ_1L z@OwI3pu-&u@!VfO0zS>zgOCqS{#sXX64HEfk`LeiT8D_rhfNqlNb<*W{uQXe4=Hp0 zRja@`PKO4*NT&;RxHcuEJotxqYs(+Lgdl$qw)~lV6Po-Zg@&$K{E8ev(d3(YjzpL3 zFZ>EV!iJEK^O$}!axnB#(*};=QjL$O$Tf;I<+D$RHy~WjLi`zd8hVC~tw)42^`?df z&V=Nfe@0%WUkrVdP7TWyntYR;QIj@wj6An$IKzi2A1gF;OlaWpH66p3NgMtR{Xq@4 zPlx<6Isg8s0{LBx--z=Q3`;eho`!t+N%&lxG%rP2CBjVzFGAQQ80zPn2qMni>kJ-G zrq?2m$H8AfSd3%Z{9yMQ9MvvHnt!I>Ogqj{*|>40{tTbTA=v}G59oY>$BiH}E^gQP z9M}9?r~-dnWTd2xoQ(Y0X8w^+{@tVk`8|l=h;z&7rd`ZD3GFCE{g(?BytvH=<;y>#=SGf(o@tk<-{`3+H*zp( z6B;@uG;pRKlQtpYc;etG;l^>6=+M+VTF6^!MqYNvn^#QpRuscx?6ukDB+WE$;f$7V z%8w?jBoO|L9-8rD+GY4AOg*lM^ReBw{EfaDIF3u+GBR>B`9^M}^Jk$`nY8Kfv+~@o zeTD$|GjccmMLOKHHT4-jP1=OC$J~5Nwacd5&^4jSH+-7(SoBlz%=lw}bF0VDG4-!S zKL3n8Vw`;zakjn7wBLNBlV#uIkN&$7VZ_;Yz`$`C+=M(XPgiRg*2kscIS9D|JW1!z zLTEmEW z`C^IrCW-mF2+R3Le~rExFZyb{zJiPX8htVa1-(c7Tr2%v#D9#7{utkMV&Le1(f8s- z--{Q0EK|-neJYb?ycE6K~aO!S}V zJMmKQqEPiS{wrMcpQd828I8vO&w2Epj>AR&iN4Sql?8FuS$OoH_TZxbbUiNmPd~u* zM(O?^e0cnr@j`!z&+XA)D#t~C=>lBzmwtuIz|lYAQ+f1{_>3L>qt)rKYn zx&{($?TKA&@%A0P+YvdTf1p2pf~0zN4P&xUAK%m45wB=z#sB6-)vFip+vl@23X%`h z){^XuN^{!k6TN%li3(KZI6DRglH~~T;kkiCyscwbL;p}RQQkurO6M$ADTo8#c}5&^ z8%pk4RNaNDk;FEXpKR-B??)CK$RMkGuy-IeZ+NIZK~myrrbp;HdOva9$_H+!Dv7x0 z3MV~6Khj(5dXG6v_w2c^X<6g4ii$lI70VG+R4n_r_o$m(exQkoij@a1^P0Lco2$Hi zUCX^Cw- zwt9~`uJqx?-P7}6 z&z+GB*O#G_?A;YljBfUV`Adeap4vEQwUAm)Mvc>1*Adcn3V3p`%eeZm@VKx-y^hyVDWpz}VUqPxizUums|G;WNp9$F{zn z(vBjaBY0Q}!)_k^u2JZuOgEx{ZNP)5AvWJ&+Ov$ZkG`t5B|18gWxOvz?s4$_9m^=l z&V71>n_c)ow_9>R{1<39x4pbic8-0Z!wcd3`V)VPsC`$H_;wr}NK z;?JP9+`RK1O?OKdZ>@U!@{-EAjpTX_f0ps5q^ECikztQJeBR6HZsp>wl@$$DrKKaG z+st_9E}-{k$K6`xEiT?#*T}=-UY7j>WYXylHu0vJb3|nCK*Xnwu?KM-d8f* zsdKK1WT`A}W_r(tvIP528SWG>Yja2&-aif|P2;Iv80Su%>ywhKe@B*=)n#gwyl;+@ z_g~}OY2bnelD&sN@4cCBVbj(dja`+zTamY|qI4^SWI9jszmO^DHZw0EtL&ms#qZB@ z3lD~JKAh#w-Fo_B@9g5L#a^PzE9}}5qWiThchOcack>)?&(f;0Io|H2UI9S#DnqJ% zU$#5V%PJ3%y*b-0C<#H`fgCdu1?~Q9i2d|X*0(?@MCOImj6aSx(0^o@Lhh<;F&EE86>Wo;$^;EBBIo#d$zBE2%1brJB7E9dn_I^Gd zZShAHcfY;!CfHfr2={*Jxurc@dwYzX5+%EB0*1wfrqPo1D6&!qqErMu+(VMNY>iKJu0{PT#M4aIwRr2sz|nf1GoS?`&QhS+E~PIjlQsG4)v z%^-&-e0+WSCh}KuipV%me$U=uCA|H~jI|kFUisWmt1m8ar-fzx!Ge_PZcfd(Gd1JB z)Qm3_jA-lABeVX5x~*wMq;%O7cWS6#Z=T{#>kXN+PfY=Xw%hz!Wb}m0s!O)$3i%+>cls>l51HV*zuUNu%2o$)=&rM9*kUf;o1 zI}ygM=C-HV-hpo&_~|_koa)m!OZ_pV}p5b%zj_jtthvR&;!@!p;HWCS`n{QagLZ-4m$V?1~_ z&--=6Ex9mr#kPvYB`d0ANT#8sN55JAI-NK4zi(}arP)~b34;AW*%?3jm7KqiZmAHu zfGWiMtKLUkx2*Tz=?9I2$eXR$5qG^wZgVp%R3zj2jKv!&*R2fyubJI6yK;8r3CGM| zZ-Bgyy=$rWLuai~0N$?kz5->*DqeA8Z`GA0C9_Yc<&GudKSF>dwkL z4;wSb+q>SsdhXP!IqI*fDrCC9>ABNxJkT35@Ni0|r8v3oNy7}&+}*t&R|po%LwJbm z*427vmwOY+Z{Bi3ZDV(D$q7}nN=`XT1#`V72~HUUs=GalK@*H?i0>TmV0l?oR7zENLt;g5}+3?^o$=O?T<`=B-P=l+<(GM9 zF7=MzTo%H|ifMXponcRy{*Q5Pkx!#8B=t)(-RWhaoPU)Z+jV#PWc?~CPaS7$n}W)ghkgP@>Aw~0Fj?FaycUZ z#mM^*d6AJz5P5|WENs0$F>)RvuQBo`r?|>H@GeyLN2l1k@LlCl5!gH|phJ?qHy1AY zys2B}R9OEi=g7Z`Ih7*C&&f=D?$|SN$Qb;e9n^O-EjuVTMvmSP26qofT0&8&R~>Bq z4>$FU@_${Azq`dl9Nq)4k2%Gq^RS$+tgIY9c*e3bhA%ka?dbAKmWBrNZ(O&4^K2;d zLQIOa%PUJOE5myWcSqdmscY9SN8G7l?C*~P^|B4c<09V0Fo>p7o*VJ5vofT)*r6*d ztu`={^#qJ=DC0ZK(0e#MUEHq5Mc-cwMckga|(;uiMK+1@=TG=YAI zT48TfJm$Fzo(s(l-^ccEh|()mKYJ;9L0SsLHK`eQrMc5nIsEo0c@L*!lBk*!YRM1q zSxnz+72SSi6sW5+Mq*;F1vOtTJhSAzkl~i?!K}Y!-j?N6pE>`sWu<3qspx4gsi<6m zE4+LB({XO$)@@bW%0jKZFf&DD*JPskwhS?whK2gDmBEux9`_kbF9_|iT#_{+>y}U! zk4kw@g|c{R3a1ooL>{2>ZVzRN%)T%(>&Kxip0M(+!2VteU-yp8dVXZqMcAuLLA-fn z){`T%u%VZVco+BK!W&TknFm|tmQ?nXo;4R+*CGA9I^tF=mi6~$`K{pZvL5bN@f3#H ze>)EwcOijal@Al09o}WWCtq)7-o?q>S^EFmls?++Cb4X|0ou{keMIACSu%aBL6q;i zj&)B-a(?cl43TrOU3b~+ie-(aZ+X(ryUI+5F^_CK2bV|KB?8bsWk! za92^fSslT86H{1lyU{wuWod(6rb^%6hh-`~vjba$|4eQVJTo4j^j?N%Hg)Zsb#tob z^qp0Ou)62t)nzNL+Pb&7>A^e0{?<<;$~gb*bP^>Vq8H&PQdc?h{VZYT>gmX}{#)l}J3 zTD`Kdf-`}A^Y8W?*UNL43Cah>fGf%p`E57D1KrbmS?OiU z)7sL|xUBT5C#1u+h@tL7H;*{Za`Z>axV_Dt)e-x)SdEug*yqP^`~iBvZ89E9_Wmv6 z&Z|6r?v{$u<+w-b<(yYpQBhiJc;|^_@0SsG{>`OVG<#pqEBEe5Y_16H2|VuNc9eGb zc%a$40;|9STPm=8EZw<$xb#5jK0}S?oV^#*-HMM5>KxVS5#Eu+-wQ{d0)@n?Az;F2Y{Mp9XId@9B}I zS0>pR=Vf?P9`|yu_a-I1NlU%Sm+omgtNOrR?>=|4H{p5$ck}1nP59U7Wk3Gm8+yE) z$Ny!xdqqWaMI+7xR}MEW+6vANSIlWVABRnLdXqO_fz9@klJ3Sz$fjZr4^C|BxqMCO zvR5iskeK+Hv!8$I&Uu;QetM^uXFQ(a9e?TGTL{~|topK@mv8OfQvIb1h1<0mYCEo2 zQgWc=-P^#0B$sCF*~7>e_;cNI{9C!Dq@--orsa*xDwhv0TiMgQ*vrXWdwF+vMNc=V z!sj8V2qb>`4dm-HA-;ZhUD>=B2m-#m`Hy!Glc(;AEnBwC>E1f*jaO`3nz8(X`wmp@ z+0tE6IXoA#%Phf7I-)_k%MWCU;2yI0gMcME?`sPfJ}k4qc9As}z)xFzD2?&&V=`3xa4?#=kj6$iTyH}+Ii zbXRttt@G|Tcb@rJgom9d?2Jj#^%?kdH1QtK$XxX0z7Lfu_LpW{h122cNXIT1yyx39=K?$SuI3Y_x2^@iRSj9oeiC9db@iRM9W}2 z-f07`bLyfS8|nq6wxvpk)fRMH^@+`E&UV07%n2%Ior<|=d!jeF-#N848nXyDfH0ay zjx?M^_jL@`B?n^rn&SQ4$sS!&+dkYGYfr|V*7~NHvud5RUj(zSxxKfqc3__`B7@)1 zA59E&C*ngx;J>CW1~u(ZR-M$AG>>*jS6H>LX-{oykG z6)!GdQeIVj!meb`@U9&QI|hfB!K+Ee_nok7G1Ba%6FS=a`i6>6=q^5CJz%@KhWk5` zy#xIx4B;p%LKJm%wkO;Dv{QG=_R|IuJMs8VSCW$2zJ4&?U)$8Wy|q2j9ZznrkMA1T zUK4AsYhK)@S7dGL`@s7?m0Y#B@@;LW*41ri&p5HwV7zuUbf%%MCFayL#L!9gs}W_- z$RIGSZEhx*lD5fPwJ+HKi76$H#k+fPJUQV2GUg2RpsAwstD8|rTU&igZ6M{gb?c)W zan_siU)`{JeX0UsNJ8G>(OAF!v~|u<@7eJr2BIP*YN3e8II>4z9b1tcig&BgqIg_~ zt^kM3)`Mph?Xan=)*aH`2PJ^+Ct*cuhlezCt@UeSPD`>ALJ&=saocucB}XDNRN6>u z_39c(LYl0&?Q9y@>kMLeKnuVXOQL=%wyI@SY}2axO2@>i{8+UgTja+U`>~UpT0A&a zH?Rx5*7d|YcGf_b_Ow%@gZXq!fp2rRYy;I!f9DUg=lDPZj0@!9q#G^e^wK# z*+N~*Mhp&83bjmZnFTNgA8fKMZqkayJK}f-tad-d9SDnBQZqzT%IDbpww@>^Wl=Ru z3R-(=JSifF>FDb1HVlqY_qt6Db!{zjqCOTMiYE=Yv_;w~hI;+RR*Q`mc9oSD+ZAwW z#(-}L)p)^(?bNn3MwQWqCB8e_-mw!k2L!@h!u*=kHa2631%t$?p=Prvwz>&LGSK|a zqs6D(b)PFIjorp99H=G9Rl-P&5$Y_Sz+kN~d{ zsV{-hEuE;U6ul30&ljY~(Tswit!mce7+~HyOrg#iHf;wc8mEnB&%rVA_`alrK@m^3 z^|beQa-8^2j1AUPmj_#LY@;nM@J;c~)`9MBbP*==cmmHh4)?<(we_PClnF<8R;n#2 zKzNWs#&ig@uN^ei^Hg-IaLB!_y|WWGqhnCOyZYL@@yc-NIP|G_UY!X65hrYLP&mP} zLjB5yJL}?VeDufn)}v9;WI~X72Z;n3cCr=3#bR+6JOPQLy0-Q~RV84!j_h!TW$x@x zB=a+jI|Y8naF>MS zS0cTGi#1;)*M}AwHpqk)8H=bga3t757MiK@0$n^dB{ zxuzNql_uityH4~mNw7+#Vy$&;HGO={Rpfm33XcI#;8i2c3S>$KvTEjV;v%ELVkDUbVTk;p8^W|EktC7B8ss zq_+ARUFSv&9nJFk)>GS7w^)$Tcz`?8a?bR{@g$>tbga3?Fs}*M)igEKvdt!6x49YqNn(FrP9wL3f75jLsQ^?uuKi z=7M-KYBHqbFycgHwXsH#nXV0UhR94bfU@*EU!YrKr?%CtZx#&QE9^XhZdzYcPnuO& zTL^$=GKoGIvNc3Y(PCMXYN)BzUYHgrs2LSO!%eqF8#J>P1)U@P+M;Hi>NX=atvE`n z>#(L#-OqVl_lFdz`LYg=W7qW!bnH}6^>Gem2a*ohHa(=-Uft5Hl~r1=C3Xe_- zTGJebcg|lysgLg%?rt7{Oz|wf$_eb5)|k3hOxe;1EpsrTn?o6BC;`x-i79`w%4unB zfM+M>GN#Da)a%Yc%T32=EE!rYb*mJhST{cvGe%E0JFt~tMzbZO(Qkw?2AaJ#%zT(g zon*sMf}XY$Ji*t-X!{OlqfAvWLk`zQPP8@J+REi8MpU$YXb2MIB5`%1eOFut5CQhV zFX(6;ppK(Kv6j=Y5+ni=x(xGFOR{~}VBM}xDXC2iw0Cx3=2wL1f)3JOmO|)Ib8}ro zD=?D7Lz2~8Q&)pY7Rx`iuFxIX+}^=#0imza3-==0j=9!mQ%YA4B(QqxT$M-+BsBBV zq}FH~)b&(pXA2sQiq^EkV`O0~-sdp1i;GzdKTSY!(_B}l9G%sPc>L_RX%&z)4jku! zev=Kk_>x$^w;#)9XH8vGV;hjMI_U4%&stYw4aZ8gH(t}%$J}UhE0+Z6iTZ)PK3gblYiJd8_L}Je!}Y0s13TLLHum?j zn@(%r8Q9b+1$wNLkBL#tIWTCX(NBhQ?(N!$+hh}xERKo zWs^84x(xv*VYcgf;GIch0kMXIP^}DA3$=!;rD6+K>4FSKt*spR7yGS2ga+01#oH6B z;ZYmty5U3uo!6?@oLVGOO3}v^U|oA6(Hl>+#QTR-J?i!tp_SOeoX4<{;P;YpXQUsa zJCht}EiI5P8xKM2aWte>gv=zOS-Xg&O*iO~;rAk1qqI!*GPQkEQ_VVUdo;8q4O692 zdQ>^QD^3kk`XOW1U+BKgk1g?ICkqLhCyZAJ(UPs-+~}GuO#|2)gPG+>0%T1k>kHLbz^_~9_;jS+&A|QVFe+BM2k#7SN9DM^%y(F zHLD>I+XrEbT2RPJ+$lx^)zf@X0QF7SYLXt|#$*)6soJtmO6{baz%m@`Fc+bDe!3OI z6Dv!l*d$P85eRjouYK6tjVBWAeKLZaK#{Agc(rK{DpG?{M4;F9!ZGX(SF7u>*V5i; zj8`+fYB5{_t|txELe4Cf9k8rVJr=*R3uvgIr(^rpg2}1Y$k1#;`H=$4O*gjoV4mw4=%bmG4OyW_0TT*5`D2#TE_5)Fw1+L2QBxYB zNniSFRe$IDE{;pKjhjWPr);Y%%90 zP6CE4$rCHv-rWH6k?iflyui+l?XxtrMQ@hN$h72%n%m5Hk{zP~Y+h(R)qdETElPI) zDta%jC3N3s8g>O*rJW8d+bFEis~JuX?7|LgM-(<8R)khr ztdwS?pM_-v4At;pvNh4$-Hqn1i|=Kl#KEem3I@K+t_`i+0>DV4pT{cE17O}XTT7z_ zHeVc#Q&%^)q!?(qu^__$c(`q%Z8N7BY~Nt2r(lc|s0LQkMrA`#7xX)2ZqkNlgk2GN z;4V?B=XV;G=yA+rW^+|q0W%UFOGrw$E8ia#%h0aYL%X@Xo5JUAYsjnu3%-oDcx;|n zr?C`~9BRjVV}B>!v4+NXo;om~CPFn^f`~G4!3?5@BgNFB`H_iI3qoN_t3*MqDIn~C zMhCFFi~c4Xibc^q+yP3k_jTuLs<^+?f%S<}3>JYg<7yyUzpACq*ajtA->s;s6jFLv z;XVT1q|`fv#SjM{TqOzMNrTFI?NG02$FxtsK=%CsfCXTn0phr+dqax#Bt+rcX1u;7 zAzMLGt1rG5?rTf?FlMN~92n>=z5L+pnyM4Z^eYPo$k=Hc%_>Iee2N~1tb&mqI7Mx|lkl zLPDw}aL9>A6SFSfJ-|gLSFQkqs30P3PnC2rL&Nl70ok&%chH)Jlz>8JSueGu3v7=C zgliHzdXvyO)+1WY#Q-(~WKU?ws1>==L}VrvN^10(milc828*^rz7YZlsu-=ske@$Y zQ&pmm`@DPC1kn<~}a%R3ar z6ZeBvKxKCI4s{F<3=he@BIeN{-vL`B8Ei!`RzOx+j}#@0#gmEsS`F30K=W_s89&iX zJ?p#RD7LE=Ihisx+Q3P#KofmS%vNDNPzwvMrl2a_8){(#OUWXs89O(<{h|RBhhB9l zQB;Y5sQ;^LR%tIG)GqyoA*{Xa*DB$imU!`%eyb4cfH71HB7a5@)3dtH5aptVH%y0c zV?Ve>68jU{DO?1d2Bg9jN;2k^u3Vy1cR>tsC?O;nt*k`RlrB$YO z+_a8&u#EU^i6E{-jQiX&_g2|$bD}-_MVp#hR-Gz0|Dl-DDjoXJKsIgS%Eq*uH>#j# z?HsbOg*Za9rlGBAy~D}PhVZb0gt9BgsIj+t!Wjzao*ec8S)}wn5S_}z zx;KV(MmxHgK)9DWu#01znu%>n?l!mK)CMOt1>kS&$p#~FYVqn;eOQ4>nCGUJS~CsF z-i}#zn_}#W>3l4i6-PcbO(e;o zWGVLpZDAA50Rw5bZkAT2H}LeSG6nJ|0B%&{HVnyOZ%_j0sb&Rz;WQ-M=-6s0_!Ah@ zvXQ#C9V29@uYIS(?FcPWxX%L#zW3JA-GJ$ANbT+tkt+;_^|hy}4OK~n+Uay*1&9gX zRBV}RV*2wE5=y(YWpIq-dE#Zq0LpkkBVZfnUgV4#iHvmLlUkw}@){dp&<#yIq!(Rs z#-y!ZPQ0|Cfo+2WL(X1ooDPq!!6*X|ESfXe+fP`?wXJ1UtGdTee+##E2ZxiqhpqB( zh7GSH9Mp#ZD&1;g z6G6RZS;R_n6m4o+$Db&FHfSdf#xJyLL{YO8)vPfD97a{;f#auOqP5kk67dF`qQ>$m zIAd6eA8WzpD6=uNg}*f+RPqOwt9>xp$J0X6@QYH~qq9?+$!yBbLRRj(l>w1jDRmd7 zsi|)DsftI=^}~a{;AE`95b}-?sY6DVq%kHHeJk%Y3@`?*ndQP3ws21gFU zY_bb2wiH!UV?%Wdg~a-e(=ErzEK-^Qut#9i7)XEYPSUXI-lP_&hL-*ax*cS62S|_jtEWxs2O^sFtq;J_{ z)(oL*A&&AK_3J#iHk9bF<|6f6wMe_68C6<`^^^vf&Y*HzW56gfhbl!T3e5;J!UFd7 zOS1j(I?7OzUGWi`VMzgPW_O_;;Zv69sM+dNB}x&=n%|5jRc&oORt%$bi|KTK z&TrXRSGTIAMPSi(T?L3sYays1c}=yV71ornwIn|&YQ*us8s|%}DTA9|vi_=z_w|tn zUBuo>Db;351L$7SDc+xN!bYp+vaUIbRyMC{jx|ROMXnB-Krng#t6x?C4F> zmsXkFbZ^12lRm7LmIN)ejiLgC{DNY3Xh?V>48t7@p$T1yc5zqR`@;I$Vpv;OQ`Z>K zM3vSQsVapRrX&7VfY>OhPBxM;F(?SXJ9Jw2opKoz$eQ-PuKMA@zWBbNR;#NNQ0jQ9 zi>_~Sdetdd1);S=#({J%z1-+&G2h`){uJk|_0iVGRa@|6NVK&shGR~gCU8hZPZOgI zf+Ia{TLDuOe&2eBqumyB08Vcvs$5yNK*f+!T?8%1$ul#)g)cb~i^IJq&jeVHsK5mCUJ14-5HAS?jJas&m8bm2Uso(JsjH=WF~q$c9|M^ zu$Y@oI1|lE6l^@%JJ_oZ1ov_U2VWNz`k+Jm`yIGK`Y>^ak2l;o*wM2SyL3+P;6PUw zo6-ewcj8Mj5L`bA=xm=<|A5bwY z3|5`w3=awt@kaGMa_k!&orv$_7NoG>(E*A*Njy9x>EV9e3?Ab~zq2ES7!=K;@N5HseYz$ zhdFxZ@6#D_N$giA99Ea)ttHhuq>zJN1p9pS3JJnF0HLU*7dr6Nm?0wSEV)#Sp1u4H zs?^=yvp31}rL2lAHMqPR+^Io^{$FY50;5+^#qs&}+dfdfwNQ$sJp4*ZEzoY-QlKK3 z?(&F0F%%FBQTu=bjkaJPPz>T4wlAQhK|)Lff?xzy`j%JdnBr)^-NZ@M;uF5ncU(3r#?a4n%_C)gP?!tmCJGxqA* zw|l>a49GUeHV$ZvYaG%zw81%>AILRA*Ht}xx2p52Igp66Kl^${4tCwpsLo%0RN-5+ z`?J4i!r)%3N3I#McKEts>$|UQUMH@;p1H`w*;(aw-m0Bh-q+I&Uwf!@S1BIH@66ZL z(k=Nom-Kf1b7tnbk#Fz8=IWUCSki*FSv1ZK-IWaZHtYXFosY87@maW?cdq!?kar8` z%oNT)|1h~&(Xn&TEq<8?@P*>D^Uw_|IG(5KF@D1nbU=Z}c3wJRz7D~UN=fe8@Y*si2A>5{L${LJ&LH~U{v8>k+WHO*}wyWxOkZ{trI5a_z7YcJu zYozOxfTi*)?MjxN!yaYz^^9s~UOSh)zh~^=@YTcCbgyl$i#4vpTfL5)5vyp|bf*?k zrHvK;iK3YuJKu>tOfl z=9(_;0;e9W+@baN>^C@Ub@!U)+OBnFy`YEJg#+O{{zQTIFL23U;*$U4Vs!I)KTFu> zy)A5cr;YXZvb=xd(iCmu;ui zZ+G-kp2t|x_vtI?j<${gec$%5o)0EX%Jg>OFUarvGArw{jhA|QJtU&)+bn*W&ppMQ zJ?+b}#AUwAcIfksex?2;eRsIG&}VnJ)Q7g~^K*WI%knAX?{mSw!%d4c9<&XZp zpSn!A?58|^RiwK(t)As|`W)&^Gr~mj|Tb=HG|NNUI+GgG4uc~byp$FtH z-etB`{ib-aAbzOg#ePT_`{C!tH0tM#(Xb$XQ9&c`UI%o&WUmmgW!)cn(|Z(}K+CK#jC6JvhsXRz^Nc)Be)A*fh^qD^>4Dl&+FfprH{KxG>?xEHvO>< zeRGT}{c~Ac8XalM9wq5{IrkQry7%hB|3>hYr2-JVs(Th^hKy>I*QO#T#_rRQ3F z-e|lCuZ83Hd^~e~8-@?-pVlUQJTJ%ET$%p8IsGGwzKC!POKy(oRe5eB)8bgWG+f?a z7ETfH3_ zzV>xaIJO{5y{zB0c&7~!PeIjtv~LTvkB9cr+=Jxqo7zIGGs18$>7Vp`9CUm`bPNT~ zmfzl&(4TOu1D+DY^EflNZD=^;884;*uNE%n2;jvo;4zVwo|Trag2Evwyqaf;>56~y z5aE~wB%ji=gI_`MnQGzag&a%-PM6=O_qwp<)A$q=X6WBAX8wq0dBeirqpCAK(>vxC zNCO*f+`B#v`kk0YE-zo^w7vPGL%?#{{;H>rISswvq62gs{UxyOR zdWrd>g_YhoE8n|N6VSan4u0ju^A<0?NS_>%UmHc2ExO#0zA2h_ffl4)tc};1uM_Dj zmll#H2}&&VUU2D>XuPLa_NjUotk5Qyg)6nS$awS#OB1;t*&QfO6(0v1h44ri8D@nr zcN!qM?tC4uD||XX7uOC0sZB(rALIM1{M_g84Tx_*Kex~*vKz?P$+{xX+D2Os~d^VRs)Z*2tg!)kmt$geWKj4<3U z#CKHk#$QYKHX-vvctd=%xtX)Gdeg0LbsH%&|Jw*ZF(zG22G=eZetn}W+^@)^6!vTV zBM(1Cn%jzeAsj!tD@=?>WJOrXOQ`sz9m+Lpu6DvH<$(|~_9?(`xq%xzEP3*8Gqv(6 ztQnj_k>6Et#I9jPOix(5X2=7j9Mp`xo2R$x94NRuZ2y25%v&d}Mts)A`z{6~{G1hb zXa(xUoW7;3k2}0LV`|RiP2OJXGoWzrgh)^2L;Fgu6|Oa} zu2w#LV@bh{K$o&8W6Fp0uX1$oy2PyCR=BnD*YfxllY&$E^8Ljy@t<`0xie$S&%?r- zMEB(dR2E14 zxmMU=UR|wx`TmoFQ+duNbJ4Xzv+~#cd-`mLza zXob&I{yIIr`W znUgk5dAwESu@#Q2{B?er=QDot%Uqtv%;kB^{GH(_KO@@Tj3MMRhiClAXYS5p=I%UZ zzRqLj&^!*BBQQ+*tEz6c6;2u=ede5upY)jn@|ZawkD1dkjQpuYimnyDJcNAaED}An zPv#apX70da<_mS+2>lp8CwjWy zj@P?-a;>0O>dM*u$+$QLru;Cb^_VfK$Bfksqwg=B{2vY>pD~!{S6!Vn(NG8Gjl6gZLlF<1ypM61&mK-zbfD z3=^Mmm&c5&JZ8M)G2&N+FW1UI$q#(9yqcarA$R4)*ciaP)UX*Yl#SOR)68f^yC9 zt_W`zbZ{i+=id(3UUncTJ8RF3B~wr%43{Eb%VSHcU&f9`r~DZ&8m4?lzFA}Fj2VqS zM)KY%^aCe)GkjX~e;0JH(UJeI!-t3;JYV5f9%pW^)0-qZnDJIaf0W;_WV;F zzs2N={Q1Ps+(CG$@CkZeF(7 z{2SpH^}ODuEBN=iZtO|zbsv?_v%<7j${YNO@HF)Y%;mtpMR>gW%Ly5OAp9NmZ*##^gKK_(%qKe-^CxrteF_>y_V&GJT8ii%#AL!na62HRGuNUQ%R0?T`GEpV7kG z6~8~nKScP6yk3R$juyU8x%y853Hg@_AK=>C_k_Qt_Hs$)zghT2#pjy^{C5ktq_64oknl>ikIk9>Tj9m| zn;`s83m=`oWrAN29tgGmFAJY4{&tT4hVU4bx3!P=gs+r-6EnR>L)P0}eUB5q&*krM z;U7Etbm1wAZ}QF%ZYY0Cv%CeucZ;8SNb2Jo!mT&coEiFFA-o~ab%C!IUa$A{b8`G^ zgd0kKY{oYWKbF_X!G8z*YA?*mg6|Vvtny-{41P-ZsXTuN{Y)U%~^T+x@pg_-&0B zXDS=$)6|s5qP#8;{7K=LRo|y%`WWFC6u)2bD6fNs$LW5w`fNGYCZGv6^eT7bf_IBs|k%e-?i4eudu4DmC!pIWX7hcaU7tuIu2{_FG9 z(mw1O=RK@-z_JTCbabZ;XElQs)2Flh>LA-(B2u}|UTbhAF0ZZj>$@!|t<~3RTicu0Dlc~Hi1RYzdUdcF4zM-Gc?s~6MLNsj$|=iNtXxQ0 zE?S~>@AAyUgzJf?pMC22C(oM~O)Bhc4KFVDuMC;n#^h?}FFUQ=9tk#q*A@mUD-AIZ zw#?mhjQNTy*Yk$LatmzQPSLsVLrbnPub$09L>m*xv8Cv=-DS=KbTp@t>|LfZh?*?7 zjRsjvTyY)Mi7V0ZRqNT)K8f0V@XmWY@&-GODb2P~#%0e!kZqJ{UUWnokz9~TgF zl3GXdrhSei)4BEV#I(mKs$cB|FckZ@bbG`NC}~Rr)NG-@vu(sIQyA&60aa%{Jb+|l z@14!}>3D(V(NJ+dW+3gZFcZa5$--_~Hd&W*7mYmB7HZ5$1`)NL?3`rPp|a77zKhv~ z6SeK!AR4tzy1=HkQk@u3S^kf=t?JDhy3EO_QTEC1zb>(K(~V6M2w9kq0Ejz~{s+_N B7YYCX diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.map b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.map index 506f60ec..f0fffdd3 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.map +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.map @@ -1,203 +1,365 @@ - -bin/demoprog_olimex_stm32e407.elf: file format elf32-littlearm -bin/demoprog_olimex_stm32e407.elf -architecture: arm, flags 0x00000112: -EXEC_P, HAS_SYMS, D_PAGED -start address 0x0800c000 - -Program Header: - LOAD off 0x00000000 vaddr 0x08008000 paddr 0x08008000 align 2**15 - filesz 0x00007158 memsz 0x00007158 flags r-x - LOAD off 0x00008000 vaddr 0x20000000 paddr 0x0800f158 align 2**15 - filesz 0x00000014 memsz 0x00000014 flags rw- - LOAD off 0x00008080 vaddr 0x20000080 paddr 0x0800f200 align 2**15 - filesz 0x00000000 memsz 0x00001c9c flags rw- -private flags = 5000202: [Version5 EABI] [soft-float ABI] [has entry point] - -Sections: -Idx Name Size VMA LMA File off Algn - 0 .text 00003158 0800c000 0800c000 00004000 2**2 - CONTENTS, ALLOC, LOAD, READONLY, CODE - 1 .data 00000014 20000000 0800f158 00008000 2**2 - CONTENTS, ALLOC, LOAD, DATA - 2 .bss 00001c9c 20000080 0800f200 00008080 2**7 - ALLOC - 3 .debug_info 000093e9 00000000 00000000 00008014 2**0 - CONTENTS, READONLY, DEBUGGING - 4 .debug_abbrev 00001dac 00000000 00000000 000113fd 2**0 - CONTENTS, READONLY, DEBUGGING - 5 .debug_loc 00003bcf 00000000 00000000 000131a9 2**0 - CONTENTS, READONLY, DEBUGGING - 6 .debug_aranges 000009c0 00000000 00000000 00016d78 2**0 - CONTENTS, READONLY, DEBUGGING - 7 .debug_ranges 000008b0 00000000 00000000 00017738 2**0 - CONTENTS, READONLY, DEBUGGING - 8 .debug_line 0000314e 00000000 00000000 00017fe8 2**0 - CONTENTS, READONLY, DEBUGGING - 9 .debug_str 00003853 00000000 00000000 0001b136 2**0 - CONTENTS, READONLY, DEBUGGING - 10 .comment 00000030 00000000 00000000 0001e989 2**0 - CONTENTS, READONLY - 11 .ARM.attributes 00000033 00000000 00000000 0001e9b9 2**0 - CONTENTS, READONLY - 12 .debug_frame 0000144c 00000000 00000000 0001e9ec 2**2 - CONTENTS, READONLY, DEBUGGING -SYMBOL TABLE: -0800c000 l d .text 00000000 .text -20000000 l d .data 00000000 .data -20000080 l d .bss 00000000 .bss -00000000 l d .debug_info 00000000 .debug_info -00000000 l d .debug_abbrev 00000000 .debug_abbrev -00000000 l d .debug_loc 00000000 .debug_loc -00000000 l d .debug_aranges 00000000 .debug_aranges -00000000 l d .debug_ranges 00000000 .debug_ranges -00000000 l d .debug_line 00000000 .debug_line -00000000 l d .debug_str 00000000 .debug_str -00000000 l d .comment 00000000 .comment -00000000 l d .ARM.attributes 00000000 .ARM.attributes -00000000 l d .debug_frame 00000000 .debug_frame -00000000 l df *ABS* 00000000 vectors.c -00000000 l df *ABS* 00000000 boot.c -0800c18c l F .text 00000038 UartReceiveByte -20000080 l O .bss 00000041 xcpCtoReqPacket.7491 -200000c4 l O .bss 00000001 xcpCtoRxLength.7492 -200000c5 l O .bss 00000001 xcpCtoRxInProgress.7493 -200000c8 l O .bss 00000004 xcpCtoRxStartTime.7494 -0800f12c l O .text 00000024 canTiming -00000000 l df *ABS* 00000000 cstart.c -0800c564 l F .text 00000000 zero_loop -00000000 l df *ABS* 00000000 led.c -200000cc l O .bss 00000004 timer_counter_last.7472 -200000d0 l O .bss 00000001 led_toggle_state.7471 -00000000 l df *ABS* 00000000 net.c -200000d4 l O .bss 00000004 ARPTimerTimeOut -200000d8 l O .bss 00000004 periodicTimerTimeOut -00000000 l df *ABS* 00000000 main.c -00000000 l df *ABS* 00000000 timer.c -200000dc l O .bss 00000004 millisecond_counter -00000000 l df *ABS* 00000000 stm32_eth.c -00000000 l df *ABS* 00000000 netdev.c -00000000 l df *ABS* 00000000 stm32f4xx_can.c -00000000 l df *ABS* 00000000 stm32f4xx_gpio.c -00000000 l df *ABS* 00000000 stm32f4xx_rcc.c -20000000 l O .data 00000010 APBAHBPrescTable -00000000 l df *ABS* 00000000 stm32f4xx_syscfg.c -00000000 l df *ABS* 00000000 stm32f4xx_usart.c -00000000 l df *ABS* 00000000 system_stm32f4xx.c -00000000 l df *ABS* 00000000 uip.c -0800d99c l F .text 0000004c chksum -0800d9e8 l F .text 00000046 upper_layer_chksum -0800dab0 l F .text 00000036 uip_add_rcv_nxt -200000e0 l O .bss 00000002 tmp16 -200000e2 l O .bss 00000002 ipid -200000e4 l O .bss 00000004 iss -200000e8 l O .bss 00000002 lastport -200000f4 l O .bss 00000001 c -200000f5 l O .bss 00000001 opt -00000000 l df *ABS* 00000000 uip_arp.c -0800eb5c l F .text 00000116 uip_arp_update -200000f6 l O .bss 00000001 i -200000f7 l O .bss 00000001 tmpage -200000f8 l O .bss 00000060 arp_table -0800f150 l O .text 00000006 broadcast_ethaddr -20000158 l O .bss 00000001 c -20000159 l O .bss 00000001 arptime -2000015c l O .bss 00000004 ipaddr -00000000 l df *ABS* 00000000 memcpy-stub.c -00000000 l df *ABS* 00000000 memset.c -00000000 l df *ABS* 00000000 -00000800 l *ABS* 00000000 __STACKSIZE__ -0800ce3c g F .text 00000012 ETH_FlushTransmitFIFO -0800c520 g F .text 00000060 reset_handler -0800d5b0 g F .text 00000028 GPIO_PinAFConfig -0800c960 g F .text 0000009e ETH_StructInit -0800d320 g F .text 00000126 CAN_FilterInit -0800cad8 g F .text 000002f0 ETH_Init -20000e90 g O .bss 00000002 uip_len -200000ec g O .bss 00000006 uip_ethaddr -0800da30 g F .text 0000007e uip_add32 -0800d720 g F .text 00000024 RCC_AHB1PeriphResetCmd -0800d87c g F .text 0000000c USART_GetFlagStatus -0800f158 g .text 00000000 _etext -0800d130 g F .text 00000056 netdev_read -0800db8c g F .text 00000fc4 uip_process -0800c930 g F .text 00000010 TimerISRHandler -0800c944 g F .text 0000001c ETH_DeInit -0800efe4 g F .text 000000a6 memcpy -20000e94 g O .bss 00000004 uip_sappdata -0800cee0 g F .text 0000022a netdev_init -20000e98 g O .bss 00000004 uip_acc32 -0800dae8 g F .text 0000002c uip_ipchksum -20000010 g O .data 00000004 SystemCoreClock -0800d874 g F .text 00000008 USART_ReceiveData -0800d6fc g F .text 00000024 RCC_APB2PeriphClockCmd -0800d518 g F .text 00000090 GPIO_Init -0800db58 g F .text 00000032 uip_listen -0800d858 g F .text 0000001c USART_Cmd -0800d188 g F .text 00000066 netdev_send -0800d6d8 g F .text 00000024 RCC_APB1PeriphClockCmd -20000e9c g O .bss 00000001 uip_flags -20000180 g O .bss 00000010 EnetDmaRx -0800d1f0 g F .text 00000042 CAN_DeInit -0800cdc8 g F .text 00000026 ETH_MACTransmissionCmd -0800c3ac g F .text 00000022 BootActivate -2000151c g .bss 00000000 _ebss -0800c940 g F .text 00000002 UnusedISR -0800c58c g F .text 00000056 LedInit -0800d5a8 g F .text 00000004 GPIO_SetBits -0800c72c g F .text 0000015c NetTask -0800ce18 g F .text 00000012 ETH_SoftwareReset -0800ca70 g F .text 00000068 ETH_WritePHYRegister -0800d5d8 g F .text 000000da RCC_GetClocksFreq -0800d10c g F .text 00000022 netdev_init_mac -0800d774 g F .text 000000e4 USART_Init -0800d468 g F .text 00000096 CAN_Receive -0800d234 g F .text 000000ea CAN_Init -20000080 g .bss 00000000 _bss -0800d448 g F .text 00000020 CAN_StructInit -0800db14 g F .text 00000010 uip_tcpchksum -0800ce78 g F .text 00000026 ETH_DMAReceptionCmd -20000ea0 g O .bss 00000004 uip_appdata -0800d5ac g F .text 00000004 GPIO_ResetBits -20000ea4 g O .bss 00000004 uip_conn -0800ce2c g F .text 00000010 ETH_GetSoftwareResetStatus -0800ca00 g F .text 0000006e ETH_ReadPHYRegister -20000ea8 g O .bss 0000001e uip_conns -0800ee0c g F .text 000001d6 uip_arp_out -0800f08c g F .text 0000009e memset -0800c888 g F .text 00000054 main -0800d500 g F .text 00000018 CAN_MessagePending -0800c1c4 g F .text 000001e8 BootComInit -0800d888 g F .text 00000112 SystemInit -0800d768 g F .text 0000000a SYSCFG_ETH_MediaInterfaceConfig -0800eccc g F .text 00000140 uip_arp_arpin -0800ec74 g F .text 00000058 uip_arp_timer -20000ec8 g O .bss 00000002 uip_listenports -20000ecc g O .bss 00000004 uip_draddr -0800d744 g F .text 00000024 RCC_APB1PeriphResetCmd -20000000 g .data 00000000 _data -0800c5e4 g F .text 0000007e LedToggle -0800cea0 g F .text 0000003e ETH_Start -20000190 g O .bss 00000640 RxBuff -20001d1c g .bss 00000000 _estack -20000014 g .data 00000000 _edata -0800eb50 g F .text 0000000a htons -0800c000 g O .text 0000018c _vectab -0800cdf0 g F .text 00000026 ETH_MACReceptionCmd -200007d0 g O .bss 00000640 TxBuff -0800c3d0 g F .text 00000150 BootComCheckActivationRequest -0800ce50 g F .text 00000026 ETH_DMATransmissionCmd -0800db24 g F .text 00000032 uip_init -0800d6b4 g F .text 00000024 RCC_AHB1PeriphClockCmd -2000151c g .bss 00000000 _stack -20000ed0 g O .bss 00000004 uip_netmask -20000ed4 g O .bss 00000004 uip_hostaddr -0800c924 g F .text 0000000c TimerGet -20000e80 g O .bss 00000010 EnetDmaTx -0800c6f4 g F .text 00000038 NetApp -0800c664 g F .text 00000090 NetInit -0800c8dc g F .text 00000046 TimerInit -20000ed8 g O .bss 00000642 uip_buf -2000151a g O .bss 00000002 uip_slen - - + +bin/demoprog_olimex_stm32e407.elf: file format elf32-littlearm +bin/demoprog_olimex_stm32e407.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x0800c000 + +Program Header: +0x70000001 off 0x0000e8fc vaddr 0x0800e8fc paddr 0x0800e8fc align 2**2 + filesz 0x00000008 memsz 0x00000008 flags r-- + LOAD off 0x00000000 vaddr 0x08000000 paddr 0x08000000 align 2**16 + filesz 0x0000e904 memsz 0x0000e904 flags r-x + LOAD off 0x00010000 vaddr 0x20000000 paddr 0x0800e904 align 2**16 + filesz 0x0000007c memsz 0x0000007c flags rw- + LOAD off 0x00010080 vaddr 0x20000080 paddr 0x0800ea00 align 2**16 + filesz 0x00000000 memsz 0x0000149c flags rw- +private flags = 5000400: [Version5 EABI] [hard-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000028fc 0800c000 0800c000 0000c000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .ARM.exidx 00000008 0800e8fc 0800e8fc 0000e8fc 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 2 .data 0000007c 20000000 0800e904 00010000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 3 .bss 0000149c 20000080 0800ea00 00010080 2**7 + ALLOC + 4 .stack_dummy 00000800 20001520 20001520 00010080 2**3 + CONTENTS, READONLY + 5 .ARM.attributes 00000030 00000000 00000000 00010880 2**0 + CONTENTS, READONLY + 6 .comment 0000006e 00000000 00000000 000108b0 2**0 + CONTENTS, READONLY + 7 .debug_line 000066f5 00000000 00000000 0001091e 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_info 00009926 00000000 00000000 00017013 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_abbrev 00001ccf 00000000 00000000 00020939 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_aranges 00000a18 00000000 00000000 00022608 2**3 + CONTENTS, READONLY, DEBUGGING + 11 .debug_loc 00003b6e 00000000 00000000 00023020 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_ranges 000008f8 00000000 00000000 00026b8e 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_macro 00012dc3 00000000 00000000 00027486 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_str 00068990 00000000 00000000 0003a249 2**0 + CONTENTS, READONLY, DEBUGGING + 15 .debug_frame 000015fc 00000000 00000000 000a2bdc 2**2 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +0800c000 l d .text 00000000 .text +0800e8fc l d .ARM.exidx 00000000 .ARM.exidx +20000000 l d .data 00000000 .data +20000080 l d .bss 00000000 .bss +20001520 l d .stack_dummy 00000000 .stack_dummy +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l df *ABS* 00000000 obj/startup_stm32f4xx.o +00000800 l *ABS* 00000000 Stack_Size +00000000 l *ABS* 00000000 Heap_Size +0800c266 l .text 00000000 .flash_to_ram_loop +0800c2a0 l .text 00000000 .fill_zero_bss +0800c29c l .text 00000000 .loop_zero_bss +00000000 l df *ABS* 00000000 crtstuff.c +0800e8f8 l O .text 00000000 __EH_FRAME_BEGIN__ +0800c18c l F .text 00000000 __do_global_dtors_aux +20000080 l .bss 00000000 completed.8605 +20000078 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +0800c1b0 l F .text 00000000 frame_dummy +20000084 l .bss 00000000 object.8610 +20000074 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o +00000000 l df *ABS* 00000000 net.c +2000009c l O .bss 00000004 ARPTimerTimeOut +200000a0 l O .bss 00000004 periodicTimerTimeOut +00000000 l df *ABS* 00000000 boot.c +0800c4e0 l F .text 00000058 CanGetSpeedConfig +0800c538 l F .text 00000098 BootComUartInit +0800c5d0 l F .text 000000e8 BootComCanInit +0800c6b8 l F .text 00000024 UartReceiveByte +0800c708 l F .text 00000094 BootComUartCheckActivationRequest +0800c79c l F .text 00000050 BootComCanCheckActivationRequest +200000a4 l O .bss 00000004 xcpCtoRxStartTime.7621 +200000a8 l O .bss 00000041 xcpCtoReqPacket.7618 +200000e9 l O .bss 00000001 xcpCtoRxInProgress.7620 +200000ea l O .bss 00000001 xcpCtoRxLength.7619 +0800e8c8 l O .text 00000024 canTiming +00000000 l df *ABS* 00000000 main.c +0800c7f8 l F .text 00000010 Init +00000000 l df *ABS* 00000000 led.c +200000ec l O .bss 00000004 timer_counter_last.7599 +200000f0 l O .bss 00000001 led_toggle_state.7598 +00000000 l df *ABS* 00000000 timer.c +200000f4 l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 stm32_eth.c +00000000 l df *ABS* 00000000 netdev.c +0800ce00 l F .text 00000038 netdev_RxDscrInit +0800ce38 l F .text 00000024 netdev_TxDscrInit +00000000 l df *ABS* 00000000 stm32f4xx_gpio.c +00000000 l df *ABS* 00000000 stm32f4xx_can.c +00000000 l df *ABS* 00000000 stm32f4xx_rcc.c +20000000 l O .data 00000010 APBAHBPrescTable +00000000 l df *ABS* 00000000 stm32f4xx_syscfg.c +00000000 l df *ABS* 00000000 stm32f4xx_usart.c +00000000 l df *ABS* 00000000 system_stm32f4xx.c +0800d6f0 l F .text 000000c4 SetSysClock +00000000 l df *ABS* 00000000 _exit.c +00000000 l df *ABS* 00000000 uip.c +0800d804 l F .text 0000003c chksum +0800d89c l F .text 00000030 uip_add_rcv_nxt +0800d988 l F .text 0000003c upper_layer_chksum +200000f8 l O .bss 00000002 tmp16 +200000fa l O .bss 00000002 ipid +200000fc l O .bss 00000004 iss +20000100 l O .bss 00000002 lastport +20000102 l O .bss 00000001 c +00000000 l df *ABS* 00000000 uip_arp.c +0800e46c l F .text 00000104 uip_arp_update +2000010a l O .bss 00000001 i +2000010b l O .bss 00000001 tmpage +2000010c l O .bss 00000060 arp_table +0800e8ec l O .text 00000006 broadcast_ethaddr +2000016c l O .bss 00000001 c +2000016d l O .bss 00000001 arptime +20000170 l O .bss 00000004 ipaddr +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 init.c +00000000 l df *ABS* 00000000 memcpy-stub.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7e-m/fpu/crtn.o +00000000 l df *ABS* 00000000 impure.c +20000014 l O .data 00000060 impure_data +00000000 l df *ABS* 00000000 crtstuff.c +0800e8f8 l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +20000078 l .data 00000000 __init_array_end +20000074 l .data 00000000 __preinit_array_end +20000074 l .data 00000000 __init_array_start +20000074 l .data 00000000 __preinit_array_start +0800c310 w F .text 00000002 RTC_Alarm_IRQHandler +0800c35e w F .text 00000002 HASH_RNG_IRQHandler +0800c2ce w F .text 00000002 EXTI2_IRQHandler +0800c31a w F .text 00000002 TIM8_CC_IRQHandler +20001520 g .stack_dummy 00000000 __HeapBase +0800cd7c g F .text 00000014 ETH_FlushTransmitFIFO +0800c2b6 w F .text 00000002 DebugMon_Handler +0800d14c g F .text 0000002e GPIO_PinAFConfig +00000000 g *ABS* 00000000 __HEAP_SIZE +20000000 g .data 00000000 __data_start__ +0800c2f4 w F .text 00000002 TIM1_CC_IRQHandler +0800c934 g F .text 0000009e ETH_StructInit +0800c346 w F .text 00000002 DMA2_Stream5_IRQHandler +0800c2ac w F .text 00000002 HardFault_Handler +0800d2c4 g F .text 000000f4 CAN_FilterInit +0800c2de w F .text 00000002 DMA1_Stream5_IRQHandler +0800ca90 g F .text 00000284 ETH_Init +0800c90c g F .text 00000010 SysTick_Handler +20000e90 g O .bss 00000002 uip_len +0800c2c0 w F .text 00000002 PVD_IRQHandler +20000104 g O .bss 00000006 uip_ethaddr +0800c320 w F .text 00000002 SDIO_IRQHandler +0800c2c2 w F .text 00000002 TAMP_STAMP_IRQHandler +0800d840 g F .text 0000005c uip_add32 +0800c2b8 w F .text 00000002 PendSV_Handler +0800c2aa w F .text 00000002 NMI_Handler +0800e904 g .ARM.exidx 00000000 __exidx_end +0800c340 w F .text 00000002 CAN2_RX1_IRQHandler +0800c2d0 w F .text 00000002 EXTI3_IRQHandler +0800e904 g .ARM.exidx 00000000 __etext +0800c318 w F .text 00000002 TIM8_TRG_COM_TIM14_IRQHandler +0800c2f0 w F .text 00000002 TIM1_UP_TIM10_IRQHandler +0800d5a8 g F .text 0000001c RCC_AHB1PeriphResetCmd +0800d6e0 g F .text 0000000e USART_GetFlagStatus +0800c316 w F .text 00000002 TIM8_UP_TIM13_IRQHandler +0800c350 w F .text 00000002 I2C3_ER_IRQHandler +0800d024 g F .text 00000048 netdev_read +0800d9d0 g F .text 00000a9c uip_process +0800c91c g F .text 00000018 ETH_DeInit +0800e888 g F .text 00000016 memcpy +0800c2ca w F .text 00000002 EXTI0_IRQHandler +0800c300 w F .text 00000002 I2C2_EV_IRQHandler +20000e94 g O .bss 00000004 uip_sappdata +0800c2d8 w F .text 00000002 DMA1_Stream2_IRQHandler +0800c2e6 w F .text 00000002 CAN1_RX0_IRQHandler +0800ce5c g F .text 000001a8 netdev_init +20000e98 g O .bss 00000004 uip_acc32 +0800c360 w F .text 00000002 FPU_IRQHandler +0800d968 g F .text 00000020 uip_ipchksum +20000010 g O .data 00000004 SystemCoreClock +0800c356 w F .text 00000002 OTG_HS_WKUP_IRQHandler +0800d6d8 g F .text 00000008 USART_ReceiveData +0800c2b2 w F .text 00000002 UsageFault_Handler +0800d58c g F .text 0000001c RCC_APB2PeriphClockCmd +0800c342 w F .text 00000002 CAN2_SCE_IRQHandler +0800c332 w F .text 00000002 DMA2_Stream2_IRQHandler +0800d0c0 g F .text 00000084 GPIO_Init +20001520 g .stack_dummy 00000000 __HeapLimit +20000080 g .bss 00000000 __bss_start__ +0800d928 g F .text 00000034 uip_listen +0800c304 w F .text 00000002 SPI1_IRQHandler +0800d6bc g F .text 0000001c USART_Cmd +0800d06c g F .text 00000054 netdev_send +0800c32a w F .text 00000002 TIM6_DAC_IRQHandler +0800c2ee w F .text 00000002 TIM1_BRK_TIM9_IRQHandler +0800c35a w F .text 00000002 DCMI_IRQHandler +0800c33e w F .text 00000002 CAN2_RX0_IRQHandler +0800d570 g F .text 0000001c RCC_APB1PeriphClockCmd +0800e8fc g .text 00000000 __exidx_start +0800c334 w F .text 00000002 DMA2_Stream3_IRQHandler +20000e9c g O .bss 00000001 uip_flags +0800e8f4 g O .text 00000004 _global_impure_ptr +0800e83c g F .text 0000004c __libc_init_array +20000180 g O .bss 00000010 EnetDmaRx +0800c1e8 g F .text 00000000 _mainCRTStartup +0800d17c g F .text 00000038 CAN_DeInit +0800c34c w F .text 00000002 USART6_IRQHandler +0800e8b0 g F .text 00000000 _init +0800cd14 g F .text 00000020 ETH_MACTransmissionCmd +0800c6e8 g F .text 00000020 BootActivate +0800c30c w F .text 00000002 USART3_IRQHandler +0800c35c w F .text 00000002 CRYP_IRQHandler +00000000 w *UND* 00000000 __libc_fini_array +0800c25c g F .text 00000038 Reset_Handler +0800c2e8 w F .text 00000002 CAN1_RX1_IRQHandler +0800c328 w F .text 00000002 UART5_IRQHandler +0800c32e w F .text 00000002 DMA2_Stream0_IRQHandler +0800c824 g F .text 00000044 LedInit +0800d144 g F .text 00000004 GPIO_SetBits +0800c2fa w F .text 00000002 TIM4_IRQHandler +0800c408 g F .text 000000d8 NetTask +0800cd54 g F .text 00000010 ETH_SoftwareReset +00000000 w *UND* 00000000 __sf_fake_stderr +0800ca30 g F .text 00000060 ETH_WritePHYRegister +00000000 w *UND* 00000000 __deregister_frame_info +20001520 g .stack_dummy 00000000 end +0800c2fc w F .text 00000002 I2C1_EV_IRQHandler +0800c2e0 w F .text 00000002 DMA1_Stream6_IRQHandler +2000007c g .data 00000000 __data_end__ +0800d49c g F .text 000000b8 RCC_GetClocksFreq +0800c2d6 w F .text 00000002 DMA1_Stream1_IRQHandler +0800d004 g F .text 00000020 netdev_init_mac +0800c326 w F .text 00000002 UART4_IRQHandler +0800d5ec g F .text 000000d0 USART_Init +2000151c g .bss 00000000 __bss_end__ +00000800 g *ABS* 00000000 __STACK_SIZE +0800c2f8 w F .text 00000002 TIM3_IRQHandler +0800c2c8 w F .text 00000002 RCC_IRQHandler +0800d3d8 g F .text 000000a6 CAN_Receive +0800c314 w F .text 00000002 TIM8_BRK_TIM12_IRQHandler +00000000 w *UND* 00000000 __call_exitprocs +0800d1b4 g F .text 0000010e CAN_Init +0800c2bc w F .text 00000002 Default_Handler +0800c1e8 g F .text 00000000 _start +0800d3b8 g F .text 00000020 CAN_StructInit +0800d9c4 g F .text 0000000a uip_tcpchksum +0800cdb8 g F .text 00000028 ETH_DMAReceptionCmd +0800c30e w F .text 00000002 EXTI15_10_IRQHandler +0800c2e2 w F .text 00000002 ADC_IRQHandler +0800c31c w F .text 00000002 DMA1_Stream7_IRQHandler +00000000 w *UND* 00000000 software_init_hook +20000ea0 g O .bss 00000004 uip_appdata +0800d148 g F .text 00000004 GPIO_ResetBits +20000ea4 g O .bss 00000004 uip_conn +0800c32c w F .text 00000002 TIM7_IRQHandler +0800cd64 g F .text 00000018 ETH_GetSoftwareResetStatus +0800c33c w F .text 00000002 CAN2_TX_IRQHandler +0800c322 w F .text 00000002 TIM5_IRQHandler +0800c34a w F .text 00000002 DMA2_Stream7_IRQHandler +0800c34e w F .text 00000002 I2C3_EV_IRQHandler +0800c9d4 g F .text 0000005c ETH_ReadPHYRegister +0800c2ec w F .text 00000002 EXTI9_5_IRQHandler +20000ea8 g O .bss 0000001e uip_conns +0800c2c4 w F .text 00000002 RTC_WKUP_IRQHandler +0800c33a w F .text 00000002 ETH_WKUP_IRQHandler +0800e6b4 g F .text 00000160 uip_arp_out +0800c306 w F .text 00000002 SPI2_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdin +0800c354 w F .text 00000002 OTG_HS_EP1_IN_IRQHandler +0800e89e g F .text 00000010 memset +0800c2ae w F .text 00000002 MemManage_Handler +0800c000 g .text 0000018c __isr_vector +0800c808 g F .text 0000001c main +0800c2d4 w F .text 00000002 DMA1_Stream0_IRQHandler +0800c2e4 w F .text 00000002 CAN1_TX_IRQHandler +0800c2b4 w F .text 00000002 SVC_Handler +00000000 w *UND* 00000000 hardware_init_hook +20001520 g .stack_dummy 00000000 __end__ +0800d480 g F .text 0000001a CAN_MessagePending +0800c2d2 w F .text 00000002 EXTI4_IRQHandler +0800c8b8 g F .text 0000000c TimerSet +0800c6dc g F .text 0000000c BootComInit +0800d7b4 g F .text 0000004c SystemInit +0800e8bc g F .text 00000000 _fini +0800d5e0 g F .text 0000000c SYSCFG_ETH_MediaInterfaceConfig +0800e5d4 g F .text 000000e0 uip_arp_arpin +00000000 w *UND* 00000000 atexit +0800c31e w F .text 00000002 FSMC_IRQHandler +20020000 g .bss 00000000 __StackTop +0800c338 w F .text 00000002 ETH_IRQHandler +0800c352 w F .text 00000002 OTG_HS_EP1_OUT_IRQHandler +0800e570 g F .text 00000064 uip_arp_timer +20000ec8 g O .bss 00000002 uip_listenports +0800c2be w F .text 00000002 WWDG_IRQHandler +20000ecc g O .bss 00000004 uip_draddr +0800d5c4 g F .text 0000001c RCC_APB1PeriphResetCmd +0800c868 g F .text 00000050 LedToggle +0800cde0 g F .text 00000020 ETH_Start +20000190 g O .bss 00000640 RxBuff +0800c2f6 w F .text 00000002 TIM2_IRQHandler +0800c312 w F .text 00000002 OTG_FS_WKUP_IRQHandler +0800c2f2 w F .text 00000002 TIM1_TRG_COM_TIM11_IRQHandler +0800c358 w F .text 00000002 OTG_HS_IRQHandler +20020000 g *ABS* 00000000 __stack +0800c2cc w F .text 00000002 EXTI1_IRQHandler +0800d95c g F .text 0000000a htons +2001f800 g *ABS* 00000800 __StackLimit +0800c30a w F .text 00000002 USART2_IRQHandler +0800e814 g F .text 00000028 exit +0800cd34 g F .text 00000020 ETH_MACReceptionCmd +200007d0 g O .bss 00000640 TxBuff +0800c302 w F .text 00000002 I2C2_ER_IRQHandler +00000000 w *UND* 00000000 __sf_fake_stdout +0800c330 w F .text 00000002 DMA2_Stream1_IRQHandler +0800c7ec g F .text 0000000c BootComCheckActivationRequest +0800cd90 g F .text 00000028 ETH_DMATransmissionCmd +0800c2ea w F .text 00000002 CAN1_SCE_IRQHandler +0800d8cc g F .text 0000005c uip_init +0800c2c6 w F .text 00000002 FLASH_IRQHandler +0800d800 w F .text 00000002 _exit +0800c336 w F .text 00000002 DMA2_Stream4_IRQHandler +0800d554 g F .text 0000001c RCC_AHB1PeriphClockCmd +0800c2b0 w F .text 00000002 BusFault_Handler +0800c308 w F .text 00000002 USART1_IRQHandler +0800c344 w F .text 00000002 OTG_FS_IRQHandler +0800c324 w F .text 00000002 SPI3_IRQHandler +0800c2dc w F .text 00000002 DMA1_Stream4_IRQHandler +20000ed0 g O .bss 00000004 uip_netmask +0800c2fe w F .text 00000002 I2C1_ER_IRQHandler +20000ed4 g O .bss 00000004 uip_hostaddr +0800c900 g F .text 0000000c TimerGet +20000e80 g O .bss 00000010 EnetDmaTx +0800c3d8 g F .text 00000030 NetApp +00000000 w *UND* 00000000 _Jv_RegisterClasses +0800c36c g F .text 0000006c NetInit +0800c8c4 g F .text 0000003c TimerInit +00000000 w *UND* 00000000 __register_frame_info +0800c348 w F .text 00000002 DMA2_Stream6_IRQHandler +20000ed8 g O .bss 00000642 uip_buf +0800c2da w F .text 00000002 DMA1_Stream3_IRQHandler +2000151a g O .bss 00000002 uip_slen + + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.srec b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.srec index 2515e9aa..c8c31104 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.srec +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/bin/demoprog_olimex_stm32e407.srec @@ -1,794 +1,667 @@ S025000062696E2F64656D6F70726F675F6F6C696D65785F73746D3332653430372E7372656335 -S3150800C0001C1D002021C5000841C9000841C90008B7 -S3150800C01041C9000841C9000841C9000841C90008CA -S3150800C02041C9000841C9000841C9000841C90008BA -S3150800C03041C9000841C9000841C9000831C90008BA -S3150800C04041C9000841C9000841C9000841C900089A -S3150800C05041C9000841C9000841C9000841C900088A -S3150800C06041C9000841C9000841C9000841C900087A -S3150800C07041C9000841C9000841C9000841C900086A -S3150800C08041C9000841C9000841C9000841C900085A -S3150800C09041C9000841C9000841C9000841C900084A -S3150800C0A041C9000841C9000841C9000841C900083A -S3150800C0B041C9000841C9000841C9000841C900082A -S3150800C0C041C9000841C9000841C9000841C900081A -S3150800C0D041C9000841C9000841C9000841C900080A -S3150800C0E041C9000841C9000841C9000841C90008FA -S3150800C0F041C9000841C9000841C9000841C90008EA -S3150800C10041C9000841C9000841C9000841C90008D9 -S3150800C11041C9000841C9000841C9000841C90008C9 -S3150800C12041C9000841C9000841C9000841C90008B9 -S3150800C13041C9000841C9000841C9000841C90008A9 -S3150800C14041C9000841C9000841C9000841C9000899 -S3150800C15041C9000841C9000841C9000841C9000889 -S3150800C16041C9000841C9000841C9000841C9000879 -S3150800C17041C9000841C9000841C9000841C9000869 -S3150800C18041C9000841C90008EE11AA5510B5044670 -S3150800C1904FF4A050C4F2010020214DF67D03C0F6ED -S3150800C1A00003984701280BD14FF4A050C4F20100B0 -S3150800C1B04DF67503C0F6000398472070012010BDA0 -S3150800C1C0002010BD2DE9F04F8DB0202001214DF241 -S3150800C1D0FD63C0F600039847042001214DF2B56BB4 -S3150800C1E0C0F6000BD8474FF4006AC4F2020A50465C -S3150800C1F0062108224DF2B157C0F60007B847504647 -S3150800C20007210822B84700258DF81A504FF0010873 -S3150800C2108DF81B8002268DF81860402305938DF84B -S3150800C2201960504605A94DF21959C0F60009C847C4 -S3150800C2308DF8186080230593504605A9C8474FF422 -S3150800C24061430893ADF82450ADF82650ADF8285050 -S3150800C250ADF82C500C23ADF82A304FF4A05AC4F28E -S3150800C260010A504608A94DF27573C0F600039847AF -S3150800C270504641464DF65903C0F6000398473046E6 -S3150800C2804146D8474FF4806AC4F2020A504605214F -S3150800C2900922B847504606210922B8476023039366 -S3150800C2A08DF810608DF811608DF812508DF8138096 -S3150800C2B0504603A9C8474FF0C06041464DF2D963BE -S3150800C2C0C0F6000398474FF4D040C4F200004DF280 -S3150800C2D0F113C0F60003984705A84DF24943C0F686 -S3150800C2E0000398474FF22C11C0F600014FF4FA707C -S3150800C2F04AF210426E5C4B195F78F31903FB000390 -S3150800C30092FBF3FE03FB1E2EBEF1000F06D192FB35 -S3150800C310F3F4631E9BB2B3F5806F03D30235242D65 -S3150800C320E8D101E00097019600258DF81A508DF89E -S3150800C3301B508DF81C508DF81D508DF81E508DF829 -S3150800C3401F508DF816508DF81750019A531E8DF808 -S3150800C3501830009A531E8DF819300CA921F81C4D77 -S3150800C3604FF4D040C4F200004DF23523C0F6000366 -S3150800C37098470E238DF82A308DF82B5001238DF817 -S3150800C3802C30ADF82050ADF82250ADF82450ADF859 -S3150800C3902650ADF828508DF82D3008A84DF22133D7 -S3150800C3A0C0F6000398470DB0BDE8F08FBFF34F8F76 -S3150800C3B04FF46D42CEF20002D16801F4E061042325 -S3150800C3C0C0F2FA530B43D360BFF34F8FFEE700BFAB -S3150800C3D000B587B040F2C503C2F200031B7843BB21 -S3150800C3E040F28000C2F200004CF28D13C0F6000342 -S3150800C3F09847012865D140F28003C2F200031B78F2 -S3150800C400002B5ED04CF62513C0F60003984740F281 -S3150800C410C803C2F20003186040F2C503C2F2000363 -S3150800C42001221A7040F2C403C2F2000300221A70F5 -S3150800C43047E040F2C403C2F200031878374B1844A9 -S3150800C4404CF28D13C0F600039847012826D140F216 -S3150800C450C403C2F200031A780132D2B21A7040F24B -S3150800C4608003C2F200031B7893422AD140F2C50327 -S3150800C470C2F2000300221A7040F28003C2F20003DF -S3150800C4805B78FF2B1DD140F28003C2F200039B7834 -S3150800C490BBB94CF2AD33C0F6000398474CF62513EA -S3150800C4A0C0F60003984740F2C803C2F200031B68AF -S3150800C4B06433984281BF40F2C503C2F200030022EA -S3150800C4C01A704FF4D040C4F2000000214DF2015317 -S3150800C4D0C0F600039847F0B14FF4D040C4F200000C -S3150800C4E0002101AA4DF26943C0F6000398479DF85A -S3150800C4F00C3083B940F26762019B93420BD19DF8D9 -S3150800C5000F30FF2B07D19DF8103023B94CF2AD330D -S3150800C510C0F60003984707B05DF804FB81000020C9 -S3150800C52010B517498D4640F20002C2F2000240F2E9 -S3150800C5301403C2F200039A4210D2131D0F4CE41AD8 -S3150800C54024F003040434002310464FF25811C0F6B1 -S3150800C55000015A581A500433A342FAD109480A4925 -S3150800C5604FF000028842B8BF40F8042BFADB4CF6BD -S3150800C5708903C0F60003984710BD00BF17000020C6 -S3150800C5801C1D0020800000201C15002030B583B03B -S3150800C590042001214DF2B563C0F6000398474FF415 -S3150800C5A00055009501238DF8043000228DF80620E9 -S3150800C5B08DF8073002238DF805304FF40064C4F275 -S3150800C5C00204204669464DF21953C0F600039847FF -S3150800C5D0204629464DF2A953C0F60003984703B0F2 -S3150800C5E030BD00BF10B54CF62513C0F600039847BA -S3150800C5F0044640F2CC03C2F200031B68C31AB3F523 -S3150800C600FA7F2DD340F2D003C2F200031B788BB910 -S3150800C61040F2D003C2F2000301221A704FF4006000 -S3150800C620C4F202004FF400514DF2AD53C0F60003B8 -S3150800C630984710E040F2D003C2F2000300221A70B5 -S3150800C6404FF40060C4F202004FF400514DF2A953B2 -S3150800C650C0F60003984740F2CC03C2F200031C6000 -S3150800C66010BD00BF10B54CF6E163C0F6000398474D -S3150800C6704CF62514C0F60004A04740F2D803C2F2CF -S3150800C680000300F5FA701860A04740F2D403C2F21E -S3150800C690000300F51C50103018604DF62533C0F61F -S3150800C6A00003984740F6D463C2F200034FF6A96226 -S3150800C6B01A8043F61371598040F6D063C2F200031C -S3150800C6C04FF6FF7119800021598040F6CC63C2F2FB -S3150800C6D000031A8040F213125A804EF603004DF6F4 -S3150800C6E05933C0F6000398474DF20D13C0F6000300 -S3150800C6F0984710BD08B540F69C63C2F200031B7844 -S3150800C70013F0400F11D113F0020F0ED040F6A063BC -S3150800C710C2F200031B681A79FF2A06D15B7923B98E -S3150800C7204CF2AD33C0F60003984708BD10B54DF27C -S3150800C7303113C0F600039847002853D040F690629C -S3150800C740C2F20002108040F6D863C2F200039C8948 -S3150800C7504FF400604EF65133C0F600039847844202 -S3150800C7601CD101204DF68D33C0F60003984740F6DC -S3150800C7709063C2F200031B88A3B34EF60D63C0F69E -S3150800C780000398474DF28913C0F60003984740F610 -S3150800C7909063C2F2000300221A8023E040F6D863B1 -S3150800C7A0C2F200039C8940F606004EF65133C0F6E5 -S3150800C7B000039847844215D14EF6CD43C0F60003D0 -S3150800C7C0984740F69063C2F200031B8853B14DF2B6 -S3150800C7D08913C0F60003984740F69063C2F2000337 -S3150800C7E000221A804CF62513C0F60003984740F23B -S3150800C7F0D803C2F200031B6898422BD340F2D80232 -S3150800C800C2F2000203F5FA73136040F6A463C2F29B -S3150800C810000340F6A862C2F200021A6002204DF632 -S3150800C8208D33C0F60003984740F69063C2F20003C2 -S3150800C8301B887BB14EF60D63C0F6000398474DF290 -S3150800C8408913C0F60003984740F69063C2F20003C6 -S3150800C85000221A804CF62513C0F60003984740F2CA -S3150800C860D403C2F200031B6898420CD340F2D402E8 -S3150800C870C2F2000203F51C53103313604EF67543DB -S3150800C880C0F60003984710BD08B54DF68903C0F6F3 -S3150800C890000398474CF28D53C0F6000398474CF6B0 -S3150800C8A0DD03C0F6000398474CF26563C0F6000343 -S3150800C8B098474CF2C513C0F6000398474CF2E55664 -S3150800C8C0C0F600064CF22D75C0F600054CF2D134C0 -S3150800C8D0C0F60004B047A847A047FBE740F210039C -S3150800C8E0C2F200031A6844F6D353C1F26203A3FBEB -S3150800C8F002129209013A4EF21003CEF200035A6070 -S3150800C9004FF46D42CEF20002F02182F82310002285 -S3150800C9109A600721196040F2DC03C2F200031A602C -S3150800C920704700BF40F2DC03C2F200031868704784 -S3150800C93040F2DC03C2F200031A6801321A6070473B -S3150800C940FEE700BF10B54FF0007001214DF22174CB -S3150800C950C0F60004A0474FF000700021A04710BDA4 -S3150800C9600023036043608360C3600361436183619E -S3150800C970C361036243628362C3620363436383637F -S3150800C980C36340220264202242648364C36403654D -S3150800C99043658365C3650366802242668366C3660C -S3150800C9A0036743678367C3674FF08062C0F88020D8 -S3150800C9B04FF00072C0F884204FF08071C0F88810DC -S3150800C9C04FF40011C0F88C10C0F89030C0F89430BD -S3150800C9D0C0F89830C0F89C30C0F8A030C0F8A42041 -S3150800C9E0C0F8A8304FF40032C0F8AC204FF480727B -S3150800C9F0C0F8B020C0F8B430C0F8B830704700BFEF -S3150800CA0082B0002301934FF40043C4F202031A696B -S3150800CA1002F01C02890101F4F8610A43C00281B2DE -S3150800CA200A4342F001021A614FF40042C4F20202BC -S3150800CA304FF6FE71C0F20401019B0133019313699D -S3150800CA4013F0010F02D0019B8B42F5D9019A4FF6DC -S3150800CA50FF73C0F204039A421FBF4FF40043C4F2A7 -S3150800CA600203586980B208BF002002B0704700BFB1 -S3150800CA7010B483B0002301934FF40043C4F20203B9 -S3150800CA801C6904F01C0444F00304890101F4F861EC -S3150800CA900C43C00281B20C435A611C614FF4004238 -S3150800CAA0C4F202024FF6FE71C0F20401019B013383 -S3150800CAB00193136913F0010F02D0019B8B42F5D93C -S3150800CAC001984FF6FF73C0F20403C01A18BF01207D -S3150800CAD003B05DF8044B70472DE9F04186B0044673 -S3150800CAE00D460023059300934FF40043C4F2020356 -S3150800CAF01E6926F01C0601A84DF2D953C0F600039C -S3150800CB00984702994FF45343CFF6CE630B444EF23F -S3150800CB10BF12C0F2E402934298BF46F008061AD93B -S3150800CB204FF24013CFF6E9530B4447F63F02C0F2E3 -S3150800CB307D12934298BF46F00C060CD94FF4F24387 -S3150800CB40CFF66C430B444FF4B442C0F262229342D0 -S3150800CB5088BF46F004064FF40043C4F202031E6180 -S3150800CB60284600214FF400424CF67123C0F6000314 -S3150800CB709847002800F020814FF080630593059BB5 -S3150800CB802BB1059B013B0593059B002BF9D1236827 -S3150800CB90002B6CD04FF001084CF60126C0F60006B3 -S3150800CBA04FF6FE77C0F20407009B01330093284630 -S3150800CBB04146B04700F0040083B213B9009BBB425C -S3150800CBC0F2D9009A4FF6FF73C0F204039A4208BFDF -S3150800CBD0002000F0F6800021009128464FF480528C -S3150800CBE04CF67123C0F600039847002800F0E6804B -S3150800CBF04FF001084CF60126C0F600064FF6FE7700 -S3150800CC00C0F20407009B0133009328464146B0470B -S3150800CC1000F0200080B210B9009BBB42F2D9009AFE -S3150800CC204FF6FF73C0F204039A4208BF002000F0D3 -S3150800CC30C88000230093284610214CF60123C0F62D -S3150800CC400003984700F004039BB21BB14FF400633E -S3150800CC50236201E00023236200F002039BB213B1B2 -S3150800CC60002363611EE04FF4804363611AE0636941 -S3150800CC70226AD20842EA53022846002192B24CF6AA -S3150800CC807123C0F600039847002800F099806FF0DA -S3150800CC907F430593059B2BB1059B013B0593059B9C -S3150800CCA0002BF9D14FF40043C4F20203196848F285 -S3150800CCB00F12CFF620720A40A06861680843E1683F -S3150800CCC008432169084361690843A1690843E16982 -S3150800CCD00843216A0843616A0843A16A0843E16A6E -S3150800CCE00843216B0843616B01430A431A60E16BF1 -S3150800CCF0A26B1143226C1143626C1143A26C11435F -S3150800CD00E26C1143226D1143626D0A435A60A26DAB -S3150800CD109A60E26DDA609869A16E626E1143E26EFE -S3150800CD201143226F1143626F11434FF6417202405D -S3150800CD300A43216E42EA01419961E16FA26F0A43F3 -S3150800CD40DA6103F58053996943F62372CFF6DE025A -S3150800CD500A40D4F88400D4F880100843D4F8881020 -S3150800CD600843D4F88C100843D4F890100843D4F834 -S3150800CD7094100843D4F898100843D4F89C10084334 -S3150800CD80D4F8A01001430A439A61D4F8A410D4F841 -S3150800CD90A820114341F40001D4F8AC201143D4F87B -S3150800CDA0B0201143D4F8B8200A43D4F8B41042EAA4 -S3150800CDB081021A60012004E0002002E0002000E061 -S3150800CDC0002006B0BDE8F08140B14FF40043C4F23C -S3150800CDD002031A6842F008021A6070474FF40043CB -S3150800CDE0C4F202031A6822F008021A60704700BFEC -S3150800CDF040B14FF40043C4F202031A6842F0040239 -S3150800CE001A6070474FF40043C4F202031A6822F00E -S3150800CE1004021A60704700BF4FF41043C4F20203BD -S3150800CE201A6842F001021A60704700BF4FF41043B7 -S3150800CE30C4F20203186800F0010070474FF410436B -S3150800CE40C4F202039A6942F480129A61704700BFDD -S3150800CE5040B14FF41043C4F202039A6942F40052F7 -S3150800CE609A6170474FF41043C4F202039A6922F498 -S3150800CE7000529A61704700BF40B14FF41043C4F2A4 -S3150800CE8002039A6942F002029A6170474FF410430E -S3150800CE90C4F202039A6922F002029A61704700BF3F -S3150800CEA008B501204CF6C953C0F6000398474CF65E -S3150800CEB03D63C0F60003984701204CF6F153C0F6CF -S3150800CEC00003984701204CF65163C0F600039847C3 -S3150800CED001204CF67963C0F60003984708BD00BFE9 -S3150800CEE02DE9F04FB3B04FF0F05001214DF2B56483 -S3150800CEF0C0F60004A04747200121A0474FF4804010 -S3150800CF0001214DF2FD63C0F60003984701204DF25A -S3150800CF106973C0F6000398478E23309300278DF86F -S3150800CF20C67002268DF8C4608DF8C7708DF8C56086 -S3150800CF30B846C4F20208404630A94DF21959C0F65F -S3150800CF400009C847404601210B224DF2B154C0F6EC -S3150800CF500004A047404631460B22A047404603211D -S3150800CF600B22A047404607210B22A0474FF4006337 -S3150800CF7030938DF8C6708DF8C4608DF8C7708DF83B -S3150800CF80C5604FF4C058C4F20208404630A9C847E5 -S3150800CF9040460B210A46A047322330938DF8C670C7 -S3150800CFA08DF8C4608DF8C7708DF8C5604FF4006AB7 -S3150800CFB0C4F2020A504630A9C847504601210B223E -S3150800CFC0A047504604210B22A047504605210B22B4 -S3150800CFD0A0474FF4C04330938DF8C6708DF8C460EF -S3150800CFE08DF8C7708DF8C560404630A9C8474046D9 -S3150800CFF00D210B22A04740460E210B22A0474CF6D6 -S3150800D0004513C0F6000398474CF61963C0F60003AB -S3150800D01098474CF62D64C0F60004A0470128FCD0BA -S3150800D02001A84CF66113C0F6000398470024019442 -S3150800D03008944FF400730B930C944FF000430F932E -S3150800D040202312931494159416944FF400630993AD -S3150800D0504FF4804306934FF0020B4CF60127C0F6B7 -S3150800D06000074FF0030A4CF2F109C0F2070941F232 -S3150800D0701968C0F22208A6B230465946B84760F386 -S3150800D0801F4530465146B84760F30F05454518BF5A -S3150800D0904D4502D00134202CEDD101A8A1B24CF6A1 -S3150800D0A0D923C0F60003984740F68063C2F200030E -S3150800D0B040F2D072C2F200029A60DB6000221A6067 -S3150800D0C05A604FF41041C4F202010B6140F280131A -S3150800D0D0C2F2000340F29010C2F2000098601A6093 -S3150800D0E0DB605A6040225A719A884FF4C86060F330 -S3150800D0F00C029A808022DA70CB604CF6A163C0F6E7 -S3150800D1000003984733B0BDE8F08F00BF40F2EC0348 -S3150800D110C2F2000308221A7000225A7027229A7057 -S3150800D1206922DA705B221A7145225A71704700BF6C -S3150800D13038B540F28013C2F20003DB78DB0920D150 -S3150800D14040F28014C2F200046588C5F30D0540F666 -S3150800D150D860C2F2000040F29011C2F200012A46DD -S3150800D1604EF6E573C0F600039847E37843F080036C -S3150800D170E3704FF41043C4F2020301229A60284672 -S3150800D18038BD002038BD00BF38B540F68063C2F20E -S3150800D1900003DB78DB0900D0FEE740F69063C2F2B5 -S3150800D1A000031D8840F2D074C2F20004204640F6FF -S3150800D1B0D861C2F200012A464EF6E573C0F60003AE -S3150800D1C0984740F68063C2F200039C6000221A600A -S3150800D1D0102199705A60C5F30B059D80B022DA704C -S3150800D1E04FF41043C4F2020301225A6038BD00BF4F -S3150800D1F010B54FF4C843C4F2000398420CD14FF05F -S3150800D200007001214DF24574C0F60004A0474FF0A6 -S3150800D21000700021A04710BD4FF0806001214DF23B -S3150800D2204574C0F60004A0474FF080600021A0476F -S3150800D23010BD00BF036823F002030360036843F0D0 -S3150800D24001030360436813F0010F07D14FF6FF731C -S3150800D250426812F0010F01D1013BF9D1436813F07E -S3150800D260010F5AD08B79012B03680CBF43F080035A -S3150800D27023F080030360CB79012B03680CBF43F0CE -S3150800D280400323F0400303600B7A012B03680CBFAD -S3150800D29043F0200323F0200303604B7A012B036835 -S3150800D2A00CBF43F0100323F0100303608B7A012BA5 -S3150800D2B003680CBF43F0080323F008030360CB7A26 -S3150800D2C0012B03680CBF43F0040323F00403036037 -S3150800D2D0CA788B789B0743EA02630A7943EA0243D2 -S3150800D2E04A7943EA02520B88013B1343C361036838 -S3150800D2F023F001030360436813F0010F07D04FF6CC -S3150800D300FF73426812F0010F01D0013BF9D1406862 -S3150800D31080F0010000F0010070470020704700BF50 -S3150800D32070B4837A012199404FF4C843C4F20003CC -S3150800D330D3F8002242F00102C3F80022D3F81C42B7 -S3150800D340CA431440C3F81C42037BCBB94FF4C84305 -S3150800D350C4F20003D3F80C421440C3F80C42847A92 -S3150800D360C688458845EA0645483443F83450857AE0 -S3150800D3708688048844EA0644483503EBC5035C609E -S3150800D380037B012B19D14FF4C843C4F20003D3F829 -S3150800D3900C420C43C3F80C42847A0688458845EA51 -S3150800D3A00645483443F83450857A8688C48844EA62 -S3150800D3B00644483503EBC5035C60C37A4BB94FF4A2 -S3150800D3C0C843C4F20003D3F804421440C3F8044225 -S3150800D3D008E04FF4C843C4F20003D3F804420C43F0 -S3150800D3E0C3F80442038943B94FF4C843C4F200039F -S3150800D3F0D3F814422240C3F814220389012B01BF33 -S3150800D4004FF4C843C4F20003D3F814220A4308BFF2 -S3150800D410C3F81422437B012B01BF4FF4C843C4F25F -S3150800D4200003D3F81C22114308BFC3F81C124FF49B -S3150800D430C843C4F20003D3F8002222F00102C3F85D -S3150800D440002270BC704700BF00238371C37103724A -S3150800D45043728372C3728370C370032303710223FA -S3150800D460437101230380704730B400EB0113D3F8EE -S3150800D470B04104F00404E4B2147224B9D3F8B041FC -S3150800D480640D146003E0D3F8B041E4085460D3F89F -S3150800D490B04104F002045472D3F8B44104F00F0406 -S3150800D4A09472D3F8B4311B0AD37400EB011303F555 -S3150800D4B0DC74D3F8B851D572D3F8B8512D0A157360 -S3150800D4C0D3F8B8512D0C5573D3F8B8311B0E937396 -S3150800D4D06368D37363681B0A137463681B0C5374FD -S3150800D4E063681B0E937421B9C36843F02003C360B5 -S3150800D4F003E0036943F02003036130BC704700BFB3 -S3150800D50019B9C06800F003007047012906BF006911 -S3150800D51000F0030000207047F0B40023012503261D -S3150800D52005FA03F20C681440944238D107685A0089 -S3150800D53006FA02F4E44327400760076891F804C036 -S3150800D5400CFA02FC4CEA070707600F79013FFFB2A5 -S3150800D550012F16D887683C40846087684C799440C8 -S3150800D5603C43846047689CB205FA04FC27EA0C072A -S3150800D5704760476891F806C00CFA04F4A4B23C4325 -S3150800D5804460C7689CB2640006FA04F427EA0404F7 -S3150800D590C460C468CF7907FA02F22243C260013335 -S3150800D5A0102BBDD1F0BC704701837047418370478B -S3150800D5B010B401F007039B00C90800EB8100046A58 -S3150800D5C00F21994024EA01010162016A9A40114338 -S3150800D5D001625DF8044B704710B44FF46053C4F20F -S3150800D5E002039B6803F00C03042B09D0082B0DD00B -S3150800D5F0002B36D14FF41053C0F2F403036035E024 -S3150800D6004FF4D853C0F2B70303602FE04FF46053CA -S3150800D610C4F202035C685A6802F03F0214F4800FF1 -S3150800D6204FF46053C4F202035B68C3F3881319BF4F -S3150800D6304FF4D854C0F2B7044FF41054C0F2F404AF -S3150800D640B4FBF2F101FB03F14FF46053C4F2020399 -S3150800D6505A68C2F3014201325200B1FBF2F3036089 -S3150800D66004E04FF41053C0F2F40303604FF4605221 -S3150800D670C4F202029168C1F3031140F20003C2F238 -S3150800D6800003595CC9B2046824FA01F14160946840 -S3150800D690C4F382241C5DE4B221FA04F4846092681F -S3150800D6A0C2F342329B5CDBB2D940C1605DF8044BE1 -S3150800D6B0704700BF39B14FF46053C4F202031A6BC6 -S3150800D6C01043186370474FF46053C4F202031A6B91 -S3150800D6D022EA00001863704739B14FF46053C4F268 -S3150800D6E002031A6C1043186470474FF46053C4F26F -S3150800D6F002031A6C22EA00001864704739B14FF425 -S3150800D7006053C4F202035A6C1043586470474FF4CE -S3150800D7106053C4F202035A6C22EA00005864704748 -S3150800D72039B14FF46053C4F202031A691043186101 -S3150800D73070474FF46053C4F202031A6922EA0000E4 -S3150800D7401861704739B14FF46053C4F202031A6A7C -S3150800D7501043186270474FF46053C4F202031A6A02 -S3150800D76022EA000018627047DC23C4F227231860F7 -S3150800D770704700BF30B585B004460D46038A23F4CA -S3150800D78040531B041B0CCA8813430382838923F462 -S3150800D790B05323F00C031B041B0C0989AA880A43FF -S3150800D7A069890A4392B213438381838A23F44073B7 -S3150800D7B01B041B0CAA891343838268464DF2D9536E -S3150800D7C0C0F6000398474FF4A052C4F201024FF482 -S3150800D7D08053C4F201039C4218BF94420CBF039ABB -S3150800D7E0029AA3891BB2002B02EB820202EB820289 -S3150800D7F0BDBF2B685B00B2FBF3F22968A4BF8900A2 -S3150800D800B2FBF1F248F21F53C5F2EB13A3FB021366 -S3150800D8105B091D01642003FB1023A08900B20028C0 -S3150800D8200BDADB00323348F21F51C5F2EB11A1FBCC -S3150800D8300321C1F3421129430AE01B01323348F29E -S3150800D8401F51C5F2EB11A1FB0321C1F34311294373 -S3150800D85089B2218105B030BD29B183899BB243F4D1 -S3150800D860005383817047838923F400531B041B0CE0 -S3150800D870838170478088C0F308007047038819427F -S3150800D8800CBF00200120704782B04FF46053C4F2E9 -S3150800D89002031A6842F001021A6000229A601968A7 -S3150800D8A021F0847121F48031196043F21001C2F22B -S3150800D8B000415960196821F480211960DA600092E4 -S3150800D8C001921A6842F480321A604FF46052C4F228 -S3150800D8D00202136803F400330193009B013300939B -S3150800D8E0019B1BB9009BB3F5A06FF2D14FF46053AF -S3150800D8F0C4F202031B6813F4003F14BF012300237C -S3150800D9000193019B012B46D14FF46053C4F20203E5 -S3150800D9101A6C42F080521A644FF4E042C4F20002D4 -S3150800D920116841F4404111609A689A609A6842F415 -S3150800D93000429A609A6842F4A0529A6045F20C42F4 -S3150800D940C0F240725A601A6842F080721A604FF448 -S3150800D9506052C4F20202136813F0007FFBD04FF442 -S3150800D9607053C4F2020340F205621A604FF4605322 -S3150800D970C4F202039A6822F003029A609A6842F097 -S3150800D98002029A604FF46052C4F20202936803F0EE -S3150800D9900C03082BFAD102B0704700BF30B4013A25 -S3150800D9A08D18A94214D20B461C785A7802EB042229 -S3150800D9B092B2104480B2824284BF013080B20233F0 -S3150800D9C09D42F1D8CB432B4423F00103023319447B -S3150800D9D0A94207D10B781B02184480B2834284BF40 -S3150800D9E0013080B230BC704770B540F6D864C2F2D8 -S3150800D9F00004667C143E237C06EB0326B6B230444C -S3150800DA0080B204F11A0108224DF69D15C0F60005EC -S3150800DA10A84704F122013246A847034620B1000A66 -S3150800DA2040EA032080B270BD4FF6FF7070BD00BF9C -S3150800DA3070B4C4780C44E4B240F69863C2F20003AA -S3150800DA40DC700D0A82782A44D2B29A7046785E70E3 -S3150800DA500078187095420DD90136F6B240F69863EB -S3150800DA60C2F200035E702EB940F69863C2F2000354 -S3150800DA7001301870C9B28C4217DA0132D2B240F6B8 -S3150800DA809863C2F200039A707AB940F69863C2F2B4 -S3150800DA9000035A780132D2B25A7032B940F6986306 -S3150800DAA0C2F200031A7801321A7070BC704700BFC0 -S3150800DAB010B5014640F6A464C2F200042068083096 -S3150800DAC04DF63123C0F600039847226840F698635E -S3150800DAD0C2F20003197811725978517299789172C5 -S3150800DAE0DB78D37210BD00BF08B5002008491422A0 -S3150800DAF04DF69D13C0F600039847034620B1000A69 -S3150800DB0040EA032080B208BD4FF6FF7008BD00BF8B -S3150800DB10E60E002008B506204DF6E913C0F6000308 -S3150800DB20984708BD40F6C863C2F2000300221A806F -S3150800DB3040F6A863C2F200035A7640F2F403C2F232 -S3150800DB40000301221A7040F2E803C2F200034FF400 -S3150800DB5080621A80704700BF40F2F403C2F20003E5 -S3150800DB6000221A7040F6C863C2F200031B882BB95C -S3150800DB7040F6C863C2F200031880704740F2F40307 -S3150800DB80C2F2000301221A70704700BF38B540F68A -S3150800DB90A463C2F200031C6840F6A063C2F2000345 -S3150800DBA0AD4A1A6040F69463C2F200031A6003286D -S3150800DBB016D1637E03F00F03032B40F0AE87238A4A -S3150800DBC0002B40F0AA8740F69C63C2F200030822A5 -S3150800DBD01A704CF2F563C0F60003984700F0CCBD06 -S3150800DBE0022840F0A78040F2E403C2F20003DA7884 -S3150800DBF00132D2B2DA70C2B940F2E403C2F20003CB -S3150800DC009A780132D2B29A707AB940F2E403C2F233 -S3150800DC1000035A780132D2B25A7032B940F2E4039C -S3150800DC20C2F200031A7801321A7040F69063C2F203 -S3150800DC30000300221A8041F21A53C2F200031A8026 -S3150800DC40637E03F0FD02052A09D1A37E0133DBB208 -S3150800DC50A376782B04BF0023637600F05EBF002B03 -S3150800DC6000F05B87228A002A52D0A27E511EA17636 -S3150800DC70002A40F05287E27E082A05D0591EC9B20A -S3150800DC80012917D8052A15D10023637640F69C6327 -S3150800DC90C2F2000380221A704CF2F563C0F6000344 -S3150800DCA0984740F6D863C2F20003142283F82F205F -S3150800DCB000F0AABE042A9DBF03219140C9B23021B3 -S3150800DCC0A1760132E27603F00F03013B072B00F23F -S3150800DCD02487DFE813F06D0208001000D804220735 -S3150800DCE0D8042207D80440F6D863C2F200030022FB -S3150800DCF083F82F2063E240F69C63C2F200030422F5 -S3150800DD001A704CF2F563C0F60003984700F085BD1B -S3150800DD1003F00F03032B40F0008740F69C63C2F222 -S3150800DD20000308221A704CF2F563C0F60003984700 -S3150800DD3000F022BD40F6D863C2F200039B7B452B58 -S3150800DD4040F0EB8640F6D863C2F20003197C5A7C91 -S3150800DD5002EB012040F69063C2F200031B8898424A -S3150800DD6000F3DB8684B240F69063C2F200031C809F -S3150800DD7040F6D863C2F200031B7D13F03F0F40F054 -S3150800DD80CC8640F6D863C2F200035B7D002B40F0D8 -S3150800DD90C48640F6D463C2F200031D882DB940F646 -S3150800DDA0D463C2F200035B88A3B140F6D863C2F21B -S3150800DDB00003DB8BAB4240F0B08640F6D862C2F275 -S3150800DDC0000240F6D463C2F20003128C5B889A42C2 -S3150800DDD040F0A3864DF6E923C0F6000398474FF6B0 -S3150800DDE0FF73984240F0998640F6D863C2F2000362 -S3150800DDF0DB7D062B32D0012B40F08F8640F6D863A8 -S3150800DE00C2F2000393F82230082B40F0868640F6CB -S3150800DE10D863C2F20003002283F822209A8C4FF6B8 -S3150800DE20F6739A4240F6D863C2F200038CBF0932F1 -S3150800DE3008329A8440F6D863C2F200035A8BDA8312 -S3150800DE409A8B1A845D8340F6D462C2F20002528825 -S3150800DE509A8300F05BBE00BF0E0F00204DF6153307 -S3150800DE60C0F6000398474FF6FF73984240F0558670 -S3150800DE7040F6A863C2F20003597E81B340F6D86221 -S3150800DE80C2F2000240F6A863C2F20003928C9B8895 -S3150800DE909A4224D140F6D862C2F2000240F6A8633C -S3150800DEA0C2F20003528CDB889A4218D140F6D86237 -S3150800DEB0C2F2000240F6A863C2F20003528B1B8826 -S3150800DEC09A420CD140F6D862C2F2000240F6A86324 -S3150800DED0C2F20003928B5B889A4200F0918140F669 -S3150800DEE0D863C2F2000393F82F3003F03F03022BE6 -S3150800DEF01CD140F6D863C2F200039A8C40F2E003C4 -S3150800DF00C2F200031A8040F2F403C2F200030021B1 -S3150800DF10197040F6C863C2F200031B8893427BD08F -S3150800DF2040F2F403C2F2000301221A7040F6D863E5 -S3150800DF30C2F2000393F82F3013F0040F40F0ED857A -S3150800DF4040F6D863C2F20003142283F82F2040F665 -S3150800DF509062C2F2000228211180502283F82E20F6 -S3150800DF6093F8295093F82D2083F8292093F8284010 -S3150800DF7093F82C2083F8282083F82C4093F8270060 -S3150800DF8093F82B2083F8272083F82B0093F8262074 -S3150800DF9040F2F401C2F200010A7093F82A1083F8DD -S3150800DFA0261083F82A20691CC9B283F82D10C1B936 -S3150800DFB0611CC9B240F6D863C2F2000383F82C107C -S3150800DFC079B9411CC9B240F6D863C2F2000383F896 -S3150800DFD02B1031B940F6D863C2F20003013283F838 -S3150800DFE02A2040F6D863C2F20003598C40F2E002B8 -S3150800DFF0C2F2000211809A8C5A8499845A8BDA8369 -S3150800E0009A8B1A8440F6D462C2F2000211885983A8 -S3150800E01052889A8300F047BD40F6A863C2F200030F -S3150800E0205B7E23B1072B40F08C8500F082BD40F65D -S3150800E030A461C2F2000140F6A863C2F200030B60B5 -S3150800E040032199761976002199750420D875D97611 -S3150800E0509A8040F6D864C2F20004628CDA80628B39 -S3150800E0601A80A28B5A800120587640F2E402C2F246 -S3150800E0700002117819735178597391789973D27887 -S3150800E080DA73188294F82920DA7294F828209A729A -S3150800E09094F827205A7294F826201A724DF6B1235E -S3150800E0A0C0F60003984794F82E3003F0F002502A81 -S3150800E0B07ADD1B095C1FA40040F2F503C2F20003D7 -S3150800E0C01A78002340F6D861C2F200015AE0CA184D -S3150800E0D092F8362072B940F2F401C2F200010B70D0 -S3150800E0E040F2F503C2F200031A7040F6A864C2F2C1 -S3150800E0F000045DE0012A02D10133DBB242E0022AC4 -S3150800E1002BD10D1895F83750042D26D140F2F4027C -S3150800E110C2F20002137040F2F503C2F200030222B3 -S3150800E1201A7040F6D863C2F20003034493F8382005 -S3150800E13093F8393043EA022240F2E003C2F20003C0 -S3150800E1401A8040F20A639A4238BF134640F6A8641A -S3150800E150C2F200046382A3822AE0084490F83700DA -S3150800E16070B940F2F401C2F200010B7040F2F503F7 -S3150800E170C2F200031A7040F6A864C2F2000417E05F -S3150800E1800344DBB21846A342A1DB40F2F401C2F213 -S3150800E19000010B7040F2F503C2F200031A7040F654 -S3150800E1A0A864C2F2000403E040F6A864C2F20004C0 -S3150800E1B040F6D863C2F20003102283F82F2040F6F7 -S3150800E1C0D863C2F2000393F82F2042F0020283F8C4 -S3150800E1D02F20022283F83620042283F837200622CD -S3150800E1E083F838200A2283F8392040F69062C2F272 -S3150800E1F000022C211180602283F82E2000F011BC29 -S3150800E20040F6A463C2F2000340F6A862C2F2000216 -S3150800E2101A6040F69C63C2F2000300221A7040F6A8 -S3150800E220D863C2F2000393F82F3013F0040F11D00D -S3150800E23040F6A863C2F200035A7640F69C63C2F21F -S3150800E240000320221A704CF2F563C0F600039847C3 -S3150800E25000F063BC40F6D862C2F2000292F82E00C3 -S3150800E2600009800040F2F402C2F200021070143C69 -S3150800E270201A80B240F69062C2F20002108001F0C5 -S3150800E2800F01022903D103F03F02122A1DD010B94B -S3150800E29013F0030F19D040F6D861C2F2000140F618 -S3150800E2A0A862C2F20002C98C1289914240F0A18389 -S3150800E2B040F6D861C2F2000140F6A862C2F2000236 -S3150800E2C0098D5289914240F0948313F0100F7AD049 -S3150800E2D040F6A863C2F20003198A002973D003F135 -S3150800E2E00C004DF63123C0F60003984740F6D86374 -S3150800E2F0C2F2000393F82A4040F69863C2F200037C -S3150800E3001B78A3425FD140F6D863C2F2000393F8A4 -S3150800E3102B0040F69863C2F200035B78834252D121 -S3150800E32040F6D863C2F2000393F82C1040F69863BF -S3150800E330C2F200039B788B4245D140F6D863C2F2FD -S3150800E340000393F82D2040F69863C2F20003DB78A9 -S3150800E350934238D140F6A863C2F200031C7358737F -S3150800E3609973DA73DB7E03BB40F6A863C2F2000337 -S3150800E370987D197E9A7E891AA1EBD001C9B2CAB2D4 -S3150800E3800844C0B2987512F0800F1CBF4A42D2B238 -S3150800E39040F6A863C2F20003D97DA1EB91010A44B5 -S3150800E3A0D2B2DA7502EBD0021A7640F69C63C2F254 -S3150800E3B0000301221A7040F6A863C2F200031A7E0F -S3150800E3C09A7600221A8240F6A863C2F200035A7EA1 -S3150800E3D002F00F03013B072B00F29F83DFE813F0DF -S3150800E3E00800400013016F02C202F7020903540233 -S3150800E3F040F69C63C2F200031B7813F0010F00F08D -S3150800E4008C8340F6A863C2F2000303225A7640F6CC -S3150800E4109C62C2F200024021117000221A8240F664 -S3150800E4209063C2F20003188850B140F69C63C2F2AA -S3150800E430000342221A704DF6B123C0F6000398472E -S3150800E44041F21A53C2F2000300221A804CF2F56315 -S3150800E450C0F60003984740F6A864C2F200048BE1B0 -S3150800E46040F69C63C2F200031B7813F0010F00F01C -S3150800E470B78040F6D863C2F2000393F82F3003F052 -S3150800E4803F03122B40F0AC8040F6D863C2F200037B -S3150800E49093F82E3003F0F002502A6DDD1B095C1F3D -S3150800E4A0A40040F2F503C2F200031A78002340F6EE -S3150800E4B0D861C2F2000152E0CA1892F8362052B961 -S3150800E4C040F2F401C2F200010B7040F2F503C2F209 -S3150800E4D000031A7050E0012A02D10133DBB23EE094 -S3150800E4E0022A2BD10D1895F83750042D26D140F263 -S3150800E4F0F402C2F20002137040F2F503C2F20003FE -S3150800E50002221A7040F6D863C2F20003034493F855 -S3150800E510382093F8393043EA022240F2E003C2F287 -S3150800E52000031A8040F20A639A4228BF1A4640F648 -S3150800E530A863C2F200035A829A821DE0084490F842 -S3150800E540370050B940F2F401C2F200010B7040F2F4 -S3150800E550F503C2F200031A700EE00344DBB2184654 -S3150800E560A342A9DB40F2F401C2F200010B7040F2AB -S3150800E570F503C2F200031A7040F6A864C2F200045A -S3150800E5800323637640F6D863C2F2000393F8262085 -S3150800E590227293F82720627293F82820A27293F8C1 -S3150800E5A02930E37201204DF6B123C0F600039847DF -S3150800E5B040F69C63C2F2000342221A7000222282AD -S3150800E5C040F69063C2F200031A8041F21A53C2F26F -S3150800E5D000031A804CF2F563C0F600039847CBE0B7 -S3150800E5E040F69C63C2F2000320221A704CF2F563CF -S3150800E5F0C0F60003984740F6A463C2F200031B68FE -S3150800E60000225A7692E440F6D863C2F2000393F8E1 -S3150800E6102F3013F0010F3ED012F0100F3BD140F609 -S3150800E620A863C2F200031B8A002B40F0768240F6EC -S3150800E6309064C2F200042088013080B24DF6B123FE -S3150800E640C0F60003984740F69C63C2F200031A78A6 -S3150800E65042F010011970238833B140F69C63C2F268 -S3150800E660000342F012021A704CF2F563C0F600037A -S3150800E670984740F6A864C2F20004012323820823BF -S3150800E68063760023E37640F6D863C2F200031122CC -S3150800E69083F82F20B8E113F0200F18D040F6D8637E -S3150800E6A0C2F2000393F83400000293F8351040F6DE -S3150800E6B0A063C2F2000340EA01051C682C441C60F2 -S3150800E6C040F69063C2F200031888411B198040F691 -S3150800E6D09063C2F20003188878B112F0100F0CD1BB -S3150800E6E040F69C63C2F200031A7842F002021A70DE -S3150800E6F04DF6B123C0F60003984740F6D863C2F238 -S3150800E700000393F8302093F8313003EB02239BB2D1 -S3150800E71040F2E002C2F20002138040F6A862C2F29A -S3150800E7200002928A934200D823B940F2E003C2F26B -S3150800E73000031A8040F6A863C2F2000340F2E00222 -S3150800E740C2F2000212885A8240F69C63C2F20003A3 -S3150800E7501B7813F0030F00F0E08141F21A53C2F25E -S3150800E760000300221A804CF2F563C0F600039847AE -S3150800E77040F6A864C2F2000440F69C63C2F20003A5 -S3150800E7801B7813F0200F0ED041F21A53C2F2000381 -S3150800E79000221A80627640F6D863C2F20003142279 -S3150800E7A083F82F2030E113F0100F12D041F21A53DC -S3150800E7B0C2F2000300221A8001232382042363760F -S3150800E7C0E27640F6D863C2F20003112283F82F20BE -S3150800E7D01AE141F21A52C2F200021288DAB113F0B3 -S3150800E7E0010F1CBF0023238201D1218A71B9618AD6 -S3150800E7F08A4282BF41F21A53C2F20003198041F2DB -S3150800E8001A53C2F200031B88238204E041F21A530A -S3150800E810C2F2000319800023E37640F6A063C2F231 -S3150800E820000340F69462C2F2000212681A6041F2CE -S3150800E8301A53C2F200031B887BB1238A6BB140F6D8 -S3150800E8409062C2F200022833138040F6D863C2F2FF -S3150800E8500003182283F82F20DCE040F69C63C2F2FE -S3150800E86000031B7813F0020F00F0578140F69063FF -S3150800E870C2F2000328221A8040F6D863C2F20003C7 -S3150800E880102283F82F20C5E040F69C63C2F20003ED -S3150800E8901B7813F0010F00F0408140F6A863C2F21E -S3150800E8A0000300225A7640F69C63C2F20003102247 -S3150800E8B01A704CF2F563C0F6000398472DE140F64E -S3150800E8C09063C2F20003188820B14DF6B123C0F652 -S3150800E8D00003984740F6D863C2F2000393F82F3036 -S3150800E8E013F0010F25D040F69C63C2F200031B7893 -S3150800E8F013F0010F40F6A863C2F200031FBF0722F8 -S3150800E9005A7600229A7612BF1A8206225A76012071 -S3150800E9104DF6B123C0F60003984740F69C63C2F251 -S3150800E920000310221A704CF2F563C0F600039847EC -S3150800E9305FE040F69C63C2F200031B7813F0010FF8 -S3150800E94008D040F6A863C2F2000305225A760022D0 -S3150800E9501A82E2E040F69063C2F200031B88002B9D -S3150800E96047D1DAE040F69063C2F20003188820B176 -S3150800E9704DF6B123C0F60003984740F6D863C2F2B5 -S3150800E980000393F82F3013F0010F19D040F6A8634F -S3150800E990C2F2000307225A7600229A7601204DF623 -S3150800E9A0B123C0F60003984740F69C63C2F2000301 -S3150800E9B010221A704CF2F563C0F60003984718E067 -S3150800E9C040F69063C2F200031B8893B9A5E040F6AF -S3150800E9D09C63C2F200031B7813F0010F00F09D80C0 -S3150800E9E040F6A863C2F2000307225A7600229A76F6 -S3150800E9F093E040F6D863C2F20003102283F82F2072 -S3150800EA0040F6A864C2F2000440F69063C2F200031E -S3150800EA1028221A8040F6D863C2F20003502283F8EF -S3150800EA202E20227A40F6D863C2F2000383F82A2001 -S3150800EA30627A83F82B20A27A83F82C20E27A83F86C -S3150800EA402D20227B83F82620627B83F82720A27B51 -S3150800EA5083F82820E27B83F829200622DA75A28823 -S3150800EA605A84E2889A8440F6D462C2F20002118877 -S3150800EA70598352889A832288DA8362881A84637E45 -S3150800EA8013F0100F40F6D863C2F200031DBF002230 -S3150800EA9083F8312083F83020062202BF83F830201D -S3150800EAA00A2283F8312040F6D864C2F200044023D3 -S3150800EAB0A37540F69063C2F200031B881A0A2274F3 -S3150800EAC06374002584F8355084F8345065864DF60D -S3150800EAD01533C0F600039847C04360864523A373E1 -S3150800EAE0E5736575257540F2E203C2F200031A88DC -S3150800EAF0013292B21A80130AA374E27425834DF682 -S3150800EB00E923C0F600039847C043208340F69C6378 -S3150800EB10C2F2000300221A7038BD40F69063C2F2B2 -S3150800EB20000300221A8040F69C63C2F200031A70A2 -S3150800EB3038BD40F2F403C2F2000301211970FFF751 -S3150800EB4076BA40F2F403C2F2000301221A70E4E72F -S3150800EB50030A43EA002080B2704700BF2DE9F0039C -S3150800EB6040F2F603C2F200034FF0000C83F800C02F -S3150800EB7040F2F805C2F200052B4601221F461C8802 -S3150800EB80CCB15E88BEB1B0F80080A04513D14488E8 -S3150800EB90B44210D140F2F603C2F2000383F800C073 -S3150800EBA0086878608B883B8140F25913C2F20003EB -S3150800EBB01B78BB725AE0541CE4B20C33092C02D001 -S3150800EBC094462246DAE72B4600221E461C884CB994 -S3150800EBD05C883CB940F2F603C2F200031A70082AB0 -S3150800EBE036D104E00132D2B20C33082AEDD1002323 -S3150800EBF040F25912C2F200021678B0461F469946EC -S3150800EC005FFA83FCAA7AC2EB0804BC42C2BFC2EB15 -S3150800EC100602E146D7B201330C35082BF0D140F293 -S3150800EC20F703C2F200031F7040F25813C2F2000342 -S3150800EC3083F8009040F2F603C2F2000383F80090CE -S3150800EC4040F2F803C2F2000309EB490903EB89060F -S3150800EC500268334643F8042B0A6872608A889A80E9 -S3150800EC6040F25913C2F200031B78B372BDE8F003F1 -S3150800EC70704700BFF0B440F25913C2F200031E7881 -S3150800EC800136F6B21E7040F2F803C2F20003012202 -S3150800EC90002740F2F604C2F2000458881988014396 -S3150800ECA089B259B1997A711A772907DD194601F897 -S3150800ECB0017B5F70013101F8017B0F702270013210 -S3150800ECC0D2B20C33092AE8D1F0BC704738B540F601 -S3150800ECD09063C2F200031B88292B06D840F690637E -S3150800ECE0C2F2000300221A8038BD40F69063C2F2D1 -S3150800ECF0000300221A8040F6D863C2F200039B8AFA -S3150800ED00B3F5807F03D0B3F5007F5CD038BD40F6FD -S3150800ED10D862C2F2000240F6D463C2F20003D28C73 -S3150800ED201B889A426FD140F6D862C2F2000240F6BA -S3150800ED30D463C2F20003128D5B889A4263D1324CC7 -S3150800ED40A51F204629464EF65D33C0F600039847B0 -S3150800ED50A4F11C03002204F8082C022204F8072C4C -S3150800ED6054F8062C626034F8022C228140F2EC0238 -S3150800ED70C2F20002106844F8060C928824F8022CA5 -S3150800ED8044F8160C24F8122C626844F81C2C2289C4 -S3150800ED9024F8182C9A8B62816288A28140F6D46284 -S3150800EDA0C2F200021188998352886280082204F808 -S3150800EDB0102C062204F80F2C40F69063C2F20003CA -S3150800EDC02A221A8038BD40F6D862C2F2000240F6FE -S3150800EDD0D463C2F20003D28C1B889A4213D140F640 -S3150800EDE0D862C2F2000240F6D463C2F20003128D62 -S3150800EDF05B889A4207D10449084606394EF65D33C0 -S3150800EE00C0F60003984738BDF40E002038B540F622 -S3150800EE10D863C2F20003D98B8AB24FF6FF739A42BF -S3150800EE2015D140F6D863C2F20003188C4FF6FF736B -S3150800EE3098420CD140F6D863C2F200034FF2501242 -S3150800EE40C0F600021068186092889A80B0E040F612 -S3150800EE50D463C2F200031B885A4040F6D063C2F25C -S3150800EE6000031B881A4212D140F6D863C2F2000387 -S3150800EE701A8C90B240F6D463C2F200035B885840FD -S3150800EE8040F6D063C2F200035B8818420CD040F209 -S3150800EE905C13C2F2000340F6CC62C2F2000211888B -S3150800EEA0198052885A8005E040F25C13C2F20003CA -S3150800EEB019805A8040F25C13C2F2000318885D88F4 -S3150800EEC040F2F803C2F2000300221C461988814268 -S3150800EED00AD15988A94207D140F2F603C2F20003C3 -S3150800EEE01A70082A5BD109E00132D2B20C33082A1B -S3150800EEF0EBD140F2F603C2F200031A7040F6D8646A -S3150800EF00C2F200042046FF2106224FF28D03C0F606 -S3150800EF1000039847002384F8203084F8213084F8C9 -S3150800EF20223084F8233084F8243084F8253040F2DF -S3150800EF30EC02C2F200021168C4F8061092886281D7 -S3150800EF40C4F81610628340F25C12C2F200021188FD -S3150800EF50E1845288228540F6D462C2F20002118802 -S3150800EF60A1835288E283237501226275A373E27333 -S3150800EF700822227463740623A3740423E374227399 -S3150800EF800623637340F6A063C2F2000336341C609E -S3150800EF9040F69063C2F200032A221A8038BD40F672 -S3150800EFA0D863C2F2000354F8040F1860A2889A8046 -S3150800EFB040F6D863C2F2000340F2EC02C2F2000245 -S3150800EFC01168C3F8061092885A8108221A7300221B -S3150800EFD05A7340F69063C2F200031A880E321A80FA -S3150800EFE038BD00BF0F2AF0B445D940EA01039B0794 -S3150800EFF045D1A2F110070C4603463F0900EB071658 -S3150800F000103625681033103443F8105C54F80C5C3D -S3150800F01043F80C5C54F8085C43F8085C54F8045C44 -S3150800F02043F8045CB342ECD17B1C02F00F0CBCF134 -S3150800F030030F4FEA03131944034422D90E461D460B -S3150800F040644656F8047B043C032C45F8047BF8D840 -S3150800F050ACF1040402F0030224F00304043423444C -S3150800F060214432B10A4411F8014B914203F8014B8D -S3150800F070F9D1F0BC70470346002AF3D1F9E70346F5 -S3150800F080F0E76246002AEDD1F3E700BF8307F0B444 -S3150800F09048D0541E002A43D0CDB2034602E0002CC5 -S3150800F0A03ED0144603F8015B13F0030F04F1FF3258 -S3150800F0B0F5D1032C2DD9CDB20F2C45EA052545EA05 -S3150800F0C0054518D9A4F1100703F110061A463F0999 -S3150800F0D006EB07161560103242F80C5C42F8085C1D -S3150800F0E042F8045CB242F5D104F00F040137032C50 -S3150800F0F003EB07130DD91E462246043A46F8045B6D -S3150800F100032AFAD8221F04F0030422F00302043269 -S3150800F11013442CB1C9B21C4403F8011BA342FBD10A -S3150800F120F0BC704714460346C3E700BF0502060253 -S3150800F130060307030803090309040A040B040C045D -S3150800F1400C050D050E050F050F061006100710080D -S30D0800F150FFFFFFFFFFFF0000AF -S3150800F1580000000001020304010203040607080967 -S3090800F168007A030A0E +S3150800C000000002205DC20008ABC20008ADC20008ED +S3150800C010AFC20008B1C20008B3C2000800000000A1 +S3150800C020000000000000000000000000B5C2000883 +S3150800C030B7C2000800000000B9C200080DC9000810 +S3150800C040BFC20008C1C20008C3C20008C5C20008B2 +S3150800C050C7C20008C9C20008CBC20008CDC2000882 +S3150800C060CFC20008D1C20008D3C20008D5C2000852 +S3150800C070D7C20008D9C20008DBC20008DDC2000822 +S3150800C080DFC20008E1C20008E3C20008E5C20008F2 +S3150800C090E7C20008E9C20008EBC20008EDC20008C2 +S3150800C0A0EFC20008F1C20008F3C20008F5C2000892 +S3150800C0B0F7C20008F9C20008FBC20008FDC2000862 +S3150800C0C0FFC2000801C3000803C3000805C300082F +S3150800C0D007C3000809C300080BC300080DC30008FE +S3150800C0E00FC3000811C3000813C3000815C30008CE +S3150800C0F017C3000819C300081BC300081DC300089E +S3150800C1001FC3000821C3000823C3000825C300086D +S3150800C11027C3000829C300082BC300082DC300083D +S3150800C1202FC3000831C3000833C3000835C300080D +S3150800C13037C3000839C300083BC300083DC30008DD +S3150800C1403FC3000841C3000843C3000845C30008AD +S3150800C15047C3000849C300084BC300084DC300087D +S3150800C1604FC3000851C3000853C3000855C300084D +S3150800C17057C3000859C300085BC300085DC300081D +S3150800C1805FC3000861C30008EE11AA5510B5054C37 +S3150800C190237833B9044B13B10448AFF30080012365 +S3150800C1A0237010BD8000002000000000F8E8000899 +S3150800C1B0084B10B51BB108490848AFF3008008487A +S3150800C1C0036803B910BD074B002BFBD0BDE8104030 +S3150800C1D0184700BF0000000084000020F8E80008A7 +S3150800C1E07C00002000000000154B002B08BF134BF5 +S3150800C1F09D46A3F5803A00218B460F461348144AFC +S3150800C200121A02F04CFB0F4B002B00D098470E4B2E +S3150800C210002B00D098470020002104000D000D488F +S3150800C220002802D00C48AFF3008002F007FB20007C +S3150800C230290000F0E9FA02F0EDFA00BF0000080054 +S3150800C240000002200000000000000000800000201E +S3150800C2501C150020000000000000000007498D465C +S3150800C2600749084A084B9A42BEBF51F8040B42F8E0 +S3150800C270040BF8E7054880470548004700000220F8 +S3150800C28004E90008000000207C000020B5D700085B +S3150800C290E9C100083349344A002301E041F8043B68 +S3150800C2A09142FBD300F0B0FAFEE7FEE7FEE7FEE7B1 +S3150800C2B0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE748 +S3150800C2C0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE738 +S3150800C2D0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE728 +S3150800C2E0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE718 +S3150800C2F0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE708 +S3150800C300FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7F7 +S3150800C310FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7E7 +S3150800C320FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7D7 +S3150800C330FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7C7 +S3150800C340FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7B7 +S3150800C350FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7A7 +S3150800C360FEE70000800000201C15002008B500F03C +S3150800C37075FD00F0C5FA00F5FA70124B186000F06A +S3150800C380BFFA00F51C5010300F4B186001F09EFAEA +S3150800C3900E4B4FF6A9621A8043F6137159800C4B5F +S3150800C3A04FF6FF711980002159800A4B1A8040F216 +S3150800C3B013125A804EF6030001F0B6FA00F022FE78 +S3150800C3C008BD00BFA00000209C000020D40E00205D +S3150800C3D0D00E0020CC0E002008B5094B1B7813F0B0 +S3150800C3E0400F0BD113F0020F08D0064B1B681A79C1 +S3150800C3F0FF2A03D15B790BB900F076F908BD00BFB7 +S3150800C4009C0E0020A00E002010B500F00BFE48B3CD +S3150800C4102D4A10802D4B9C894FF4006001F09EFA3E +S3150800C42084420DD1012001F0D3FA274B1B88CBB1EA +S3150800C43002F040F900F01AFE0022234B1A8011E0A0 +S3150800C440224B9C8940F6060001F088FA844209D1FD +S3150800C45002F0C0F81C4B1B8823B100F007FE00222F +S3150800C460194B1A8000F04CFA194B1B6898421CD3DA +S3150800C47003F5FA73164A1360002414E0C4EB041398 +S3150800C4805A00144B1344144A1360022001F0A0FA10 +S3150800C4900D4B1B8833B102F00DF900F0E7FD0022C1 +S3150800C4A0094B1A800134002CE8D000F029FA0B4B0E +S3150800C4B01B68984206D303F51C531033074A1360CA +S3150800C4C002F056F810BD00BF900E0020D80E0020CE +S3150800C4D0A0000020A80E0020A40E00209C0000202A +S3150800C4E0F0B5002421E0134D15F8147005EB44054A +S3150800C4F095F801E007EB0E0505FB00054AF2104C1E +S3150800C5009CFBF5F605FB16C66EB99CFBF5F5ADB2B8 +S3150800C5100D80013DADB2B5F5806F04D2177083F872 +S3150800C52000E00120F0BD0134E4B2112CDBD9002073 +S3150800C530F0BD00BFC8E80008F0B587B0012120208B +S3150800C54001F024F80121042001F004F81E4D082208 +S3150800C5500621284600F0FAFD08220721284600F0A1 +S3150800C560F5FD00248DF8164001278DF81770022670 +S3150800C5708DF81460402304938DF8156004A92846A5 +S3150800C58000F09EFD8DF814608023049304A92846C4 +S3150800C59000F096FD4FF461430093ADF80440ADF802 +S3150800C5A00640ADF80840ADF80C400C23ADF80A304B +S3150800C5B0064C6946204601F019F83946204601F02E +S3150800C5C07DF807B0F0BD00BF000802400014014026 +S3150800C5D070B58AB00121022000F0BCFF354E092251 +S3150800C5E00521304600F0B2FD09220621304600F04A +S3150800C5F0ADFD6023089302238DF824308DF825308D +S3150800C60000248DF8264001258DF8275008A93046C4 +S3150800C61000F056FD29464FF0C06000F0A9FFA6F5C8 +S3150800C620CE36304600F0AAFD05A800F0C5FE6B46DA +S3150800C6300DEB05020DF102014FF4FA70FFF750FFFA +S3150800C6408DF81A408DF81B408DF81C408DF81D405A +S3150800C6508DF81E408DF81F408DF816408DF817404E +S3150800C6609DF80130013B8DF818309DF80030013BEC +S3150800C6708DF81930BDF80230ADF8143005A93046EA +S3150800C68000F098FD0E238DF80E308DF80F408DF8CA +S3150800C6901050ADF80440ADF80640ADF80840ADF8C6 +S3150800C6A00A40ADF80C408DF8115001A800F00AFEBA +S3150800C6B00AB070BD0004024010B5044620210648A1 +S3150800C6C001F00EF8012805D1034801F005F820709D +S3150800C6D0012010BD002010BD0014014008B5FFF769 +S3150800C6E02BFFFFF775FF08BDBFF34F8F0449CA68D4 +S3150800C6F002F4E062034B1343CB60BFF34F8FFEE7B0 +S3150800C70000ED00E00400FA0508B5204B1B789BB93C +S3150800C7101F48FFF7D1FF012836D11D4B1B78002B88 +S3150800C72032D000F0EDF81B4B18600122174B1A7037 +S3150800C7300022194B1A7008BD174B1B780133144891 +S3150800C7401844FFF7B9FF012814D1134A13780133A7 +S3150800C750DBB213700E4A1278934215D100220B4BA6 +S3150800C7601A700B4B5B78FF2B0ED1094B9B785BB984 +S3150800C770FFF7BAFF00F0C4F8064B1B68643398420B +S3150800C78002D90022014B1A7008BD00BFE90000203B +S3150800C790A8000020A4000020EA00002000B587B009 +S3150800C7A00021114800F06CFED8B101AA00210E48FC +S3150800C7B000F012FE9DF80C3033B940F26763019A17 +S3150800C7C09A4203D0002302E0002300E0012343B18C +S3150800C7D09DF80F30FF2B04D19DF810300BB9FFF7E9 +S3150800C7E083FF07B05DF804FB0068004008B5FFF753 +S3150800C7F08BFFFFF7D3FF08BD08B500F0DBFF00F09D +S3150800C80011F800F05FF808BD08B5FFF7F5FFFFF768 +S3150800C810ADFDFFF763FF00F027F8FFF7F5FDFFF71B +S3150800C820E5FFF8E730B583B00121042000F092FE59 +S3150800C8304FF40055009501238DF8043000228DF839 +S3150800C84006208DF8073002238DF80530054C694619 +S3150800C850204600F035FC2946204600F073FC03B05C +S3150800C86030BD00BF0008024010B500F049F80F4B74 +S3150800C8701B68C31AB3F5FA7F16D304460C4B1B780C +S3150800C88043B901220A4B1A704FF40051094800F0C7 +S3150800C8905BFC07E00022064B1A704FF4005105486E +S3150800C8A000F050FC014B1C6010BD00BFEC000020DE +S3150800C8B0F000002000080240014B1860704700BFD6 +S3150800C8C0F400002008B50A4B1B680A4AA2FB03239A +S3150800C8D09B09013B084A5360F021084B83F8231053 +S3150800C8E00020906007231360FFF7E6FF08BD00BF2E +S3150800C8F010000020D34D621010E000E000ED00E0CB +S3150800C900014B1868704700BFF4000020024A1368FC +S3150800C91001331360704700BFF400002008B50121F9 +S3150800C9204FF0007000F040FE00214FF0007000F05C +S3150800C9303BFE08BD0023036043608360C360036158 +S3150800C94043618361C361036243628362C3620363B3 +S3150800C95043638363C3634022026420224264836480 +S3150800C960C364036543658365C365036680224266BF +S3150800C9708366C366036743678367C3674FF080624E +S3150800C980C0F880204FF00072C0F884204FF0807104 +S3150800C990C0F888104FF40011C0F88C10C0F8903019 +S3150800C9A0C0F89430C0F89830C0F89C30C0F8A03071 +S3150800C9B0C0F8A420C0F8A8304FF40032C0F8AC2064 +S3150800C9C04FF48072C0F8B020C0F8B430C0F8B83060 +S3150800C9D0704700BF82B000230193124A136903F01F +S3150800C9E01C03C00280B20343890101F4F8610B43BA +S3150800C9F043F001031361019B01330193094B1B6942 +S3150800CA0013F0010F03D0019A074B9A42F3D9019A02 +S3150800CA10064B9A4203D0034B586980B200E00020C7 +S3150800CA2002B0704700800240FEFF0400FFFF0400CA +S3150800CA3010B483B000230193124C236903F01C033E +S3150800CA40C00280B20343890101F4F8610B4343F045 +S3150800CA50030362612361019B01330193094B1B693F +S3150800CA6013F0010F03D0019A074B9A42F3D9019AA2 +S3150800CA70064B9A4201D1002000E0012003B05DF880 +S3150800CA80044B704700800240FEFF0400FFFF0400CD +S3150800CA9070B586B004460D46002305930093924B65 +S3150800CAA01E6926F01C0601A800F0F8FC029A8F4BB6 +S3150800CAB013448F498B4202D846F008060EE08D4B88 +S3150800CAC013448D498B4202D846F00C0606E08B4B80 +S3150800CAD013448B4A934201D946F00406824B1E61E1 +S3150800CAE04FF4004200212846FFF7A2FF0646002819 +S3150800CAF000F0F7804FF08063059302E0059B013B49 +S3150800CB000593059E002EF9D12368002B4CD0009B77 +S3150800CB100133009301212846FFF75CFF00F004006B +S3150800CB2080B218B9009A774B9A42F0D9009A764B98 +S3150800CB309A4200F0D680002100914FF48052284690 +S3150800CB40FFF776FF002800F0CB80009B01330093A7 +S3150800CB5001212846FFF73EFF00F0200080B218B9F1 +S3150800CB60009A684B9A42F0D9009A674B9A4200F0AD +S3150800CB70B8800023009310212846FFF72BFF00F00A +S3150800CB8004039BB21BB14FF40063236201E0002348 +S3150800CB90236200F002039BB213B1002363611CE019 +S3150800CBA04FF48043636118E0226AC2F3CF026369D7 +S3150800CBB0C3F34F031A4300212846FFF739FF0646F9 +S3150800CBC0002800F08E806FF07F43059302E0059BF6 +S3150800CBD0013B0593059B002BF9D1434B1A684B493A +S3150800CBE011406068A2681043E26810432269104346 +S3150800CBF062691043A2691043E2691043226A10432E +S3150800CC00626A1043A26A1043E26A1043226B104319 +S3150800CC10626B02430A431A60A16BE26B1143226CF2 +S3150800CC201143626C1143A26C1143E26C1143226DED +S3150800CC301143626D0A435A60A26D9A60E26DDA602A +S3150800CC409A694FF641711140206E626E42EA0040C1 +S3150800CC50A26E1043E26E1043226F1043626F0243C6 +S3150800CC600A439A61A16FE26F0A43DA6141F2180535 +S3150800CC705A5927491140D4F88000D4F88420104323 +S3150800CC80D4F888201043D4F88C201043D4F8902088 +S3150800CC901043D4F894201043D4F898201043D4F8BD +S3150800CCA09C201043D4F8A02002430A435A51D4F8D2 +S3150800CCB0A410D4F8A8201143D4F8AC201143D4F812 +S3150800CCC0B0200A43D4F8B41042EA8101D4F8B82057 +S3150800CCD00A4342F4000203F580531A60012600E075 +S3150800CCE00646304606B070BD0080024000D3CEFE30 +S3150800CCF0BFE1E40040F1E9FD3F787D0100796CFC75 +S3150800CD00005A6202FEFF0400FFFF04000F8120FFA5 +S3150800CD10233FDEF828B1064A136843F00803136078 +S3150800CD207047034A136823F008031360704700BF6F +S3150800CD300080024028B1064A136843F004031360D2 +S3150800CD407047034A136823F004031360704700BF53 +S3150800CD5000800240024A136843F0010313607047DB +S3150800CD6000900240044B1B6813F0010F01D001200C +S3150800CD7070470020704700BF00900240034941F207 +S3150800CD8018028B5843F480138B507047008002407A +S3150800CD9038B1084941F218028B5843F400538B50B6 +S3150800CDA07047044941F218028B5823F400538B50FC +S3150800CDB0704700BF0080024038B1084941F21802A6 +S3150800CDC08B5843F002038B507047044941F218020E +S3150800CDD08B5823F002038B50704700BF0080024037 +S3150800CDE008B50120FFF796FFFFF7C8FF0120FFF7F8 +S3150800CDF0A1FF0120FFF7CCFF0120FFF7DDFF08BDEB +S3150800CE000A4B0B4A9A6000221A60DB605A6040227D +S3150800CE105A719A884FF4C86161F30C029A8080228D +S3150800CE20DA7041F20C0203498B50704780010020EA +S3150800CE309001002000800240054B064A9A60DB609C +S3150800CE4000221A605A6041F2100203498B5070475B +S3150800CE50800E0020D0070020008002402DE9F04116 +S3150800CE60B2B001214FF0F05000F074FB01214720C9 +S3150800CE7000F070FB01214FF4804000F087FB012091 +S3150800CE8000F0AEFB8E23309300258DF8C6500224A1 +S3150800CE908DF8C4408DF8C7508DF8C540554F30A958 +S3150800CEA0384600F00DF90B220121384600F04EF9FC +S3150800CEB00B222146384600F049F90B220321384651 +S3150800CEC000F044F90B220721384600F03FF94FF4E9 +S3150800CED0006330938DF8C6508DF8C4408DF8C7505E +S3150800CEE08DF8C54007F5C05730A9384600F0E8F870 +S3150800CEF00B221146384600F029F9322330938DF873 +S3150800CF00C6508DF8C4408DF8C7508DF8C540DFF877 +S3150800CF10F08030A9404600F0D3F80B2201214046A4 +S3150800CF2000F014F90B220421404600F00FF90B22F9 +S3150800CF300521404600F00AF94FF4C04330938DF8B6 +S3150800CF40C6508DF8C4408DF8C7508DF8C54030A935 +S3150800CF50384600F0B5F80B220D21384600F0F6F8F1 +S3150800CF600B220E21384600F0F1F8FFF7D7FCFFF741 +S3150800CF70F1FEFFF7F7FE0128FBD001A8FFF7DAFC60 +S3150800CF800025019508954FF400730B930C954FF007 +S3150800CF9000430F93202312931495159516954FF475 +S3150800CFA0006309934FF48043069313E0ACB2022161 +S3150800CFB02046FFF70FFD60F31F4603212046FFF7C3 +S3150800CFC009FD60F30F060C4B9E4205D00B4B9E42A3 +S3150800CFD002D001351F2DE9D9A9B201A8FFF758FDDE +S3150800CFE0FFF72AFFFFF70CFFFFF7FAFE32B0BDE89E +S3150800CFF0F08100BF0000024019162200F1C00700A8 +S3150800D00000080240064B08221A7000225A7027228E +S3150800D0109A706922DA705B221A7145225A71704732 +S3150800D0200401002038B50D4BDB7813F0800F13D1BF +S3150800D0300A4D6888C0F30D0422460949094801F0DB +S3150800D04023FCEB7843F08003EB70012141F20803DF +S3150800D050054AD150204638BD002038BD8001002041 +S3150800D06090010020D80E00200080024038B50F4BF2 +S3150800D070DB7813F0800FFAD10D4B1C880D4D224634 +S3150800D0800D49284601F000FC084B9D6000221A60F5 +S3150800D090102199705A60C4F30B049C80B022DA7090 +S3150800D0A0012141F20403054AD15038BD800E002003 +S3150800D0B0900E0020D0070020D80E002000800240E5 +S3150800D0C070B400233AE001229A400C68A24334D196 +S3150800D0D004685D000322AA40D2431440046006682F +S3150800D0E00C79AC40344304600C79013CE4B2012C61 +S3150800D0F015D884682240826084684A79AA40224307 +S3150800D100826046689AB20124944026EA0404446080 +S3150800D11046688C7904FA02F292B232434260C468D5 +S3150800D1209AB256000322B24024EA0202C260C668D6 +S3150800D130CC7904FA05F23243C26001330F2BC2D907 +S3150800D14070BC7047018370474183704730B401F063 +S3150800D15007039B009A40C908083150F821400F255B +S3150800D16005FA03F324EA030340F8213050F8213086 +S3150800D1701A4340F8212030BC704700BF08B50C4B55 +S3150800D18098420AD101214FF0007000F01BFA0021E5 +S3150800D1904FF0007000F016FA08BD01214FF08060CC +S3150800D1A000F010FA00214FF0806000F00BFA08BD7D +S3150800D1B000640040036823F002030360036843F039 +S3150800D1C001030360002300E00133426812F0010FF7 +S3150800D1D003D14FF6FF729342F6D1436813F0010F5D +S3150800D1E06BD08B79012B04D1036843F0800303606D +S3150800D1F003E0036823F080030360CB79012B04D195 +S3150800D200036843F04003036003E0036823F0400328 +S3150800D21003600B7A012B04D1036843F020030360F3 +S3150800D22003E0036823F0200303604B7A012B04D143 +S3150800D230036843F01003036003E0036823F0100358 +S3150800D24003608B7A012B04D1036843F0080303605B +S3150800D25003E0036823F008030360CB7A012B04D1AB +S3150800D260036843F00403036003E0036823F0040340 +S3150800D27003608A78CB781B0643EA82730A7943EA05 +S3150800D28002434A7943EA02530A88013A1343C361BF +S3150800D290036823F001030360002300E001334268BA +S3150800D2A012F0010F03D04FF6FF729342F6D143688E +S3150800D2B013F0010F03D000207047002070470120AB +S3150800D2C0704700BF70B4837A012202FA03F3394A21 +S3150800D2D0D2F8001241F00101C2F80012D2F81C126D +S3150800D2E0DC432140C2F81C12027BB2B9314AD2F89B +S3150800D2F00C122140C2F80C12817AC688458845EA84 +S3150800D3000645483142F83150857A8688018841EACF +S3150800D3100641483502EBC5025160027B012A16D147 +S3150800D320244AD2F80C121943C2F80C12817A0688DC +S3150800D330458845EA0645483142F83150857A868857 +S3150800D340C18841EA0641483502EBC5025160C27AF6 +S3150800D35032B91849D1F804222240C1F8042205E05E +S3150800D3601449D1F804221A43C1F8042202892AB9B9 +S3150800D3701049D1F814221440C1F814420289012A2E +S3150800D38005D10C49D1F814221A43C1F81422427B5C +S3150800D390012A05D10749D1F81C221343C1F81C32CA +S3150800D3A0044AD2F8003223F00103C2F8003270BCF6 +S3150800D3B0704700BF0064004000238371C371037285 +S3150800D3C043728372C3728370C3700323037102238B +S3150800D3D0437101230380704710B401F11B031B013D +S3150800D3E0C35803F00403DBB2137233B901F11B030C +S3150800D3F01B01C3585B0D136005E001F11B031B01FC +S3150800D400C358DB08536001F11B031B01C418C3583A +S3150800D41003F002035372636803F00F0393726368A1 +S3150800D4201B0AD37400EB0113D3F8B841D472D3F8AE +S3150800D430B841240A1473D3F8B841240C5473D3F8AA +S3150800D440B841240E9473D3F8BC41D473D3F8BC41C5 +S3150800D450240A1474D3F8BC41240C5474D3F8BC3190 +S3150800D4601B0E937421B9C36843F02003C36003E01D +S3150800D470036943F0200303615DF8044B704700BF5E +S3150800D48019B9C06800F003007047012903D1006983 +S3150800D49000F0030070470020704700BF10B4294B06 +S3150800D4A09B6803F00C03042B05D0082B06D053BB4E +S3150800D4B0254B036029E0254B036026E0214B5A687B +S3150800D4C05B6803F03F0312F4800F09D01F4AB2FBD2 +S3150800D4D0F3F21C4B5B68C3F3881303FB02F208E004 +S3150800D4E0194AB2FBF3F3174A5168C1F3881101FBD5 +S3150800D4F003F2144B5B68C3F3014301335B00B2FBD1 +S3150800D500F3F3036001E0104B03600E4CA368C3F30A +S3150800D51003130F49CB5CDAB20368D3404360A268B1 +S3150800D520C2F382228A5CD2B223FA02F28260A2682D +S3150800D530C2F342328A5CD2B2D340C3605DF8044B70 +S3150800D540704700BF003802400024F400001BB700F3 +S3150800D5500000002021B1054B1A6B10431863704771 +S3150800D560024A136B23EA0000106370470038024032 +S3150800D57021B1054B1A6C104318647047024A136CA4 +S3150800D58023EA0000106470470038024021B1054BB9 +S3150800D5905A6C104358647047024A536C23EA0000D9 +S3150800D5A0506470470038024021B1054B1A69104390 +S3150800D5B018617047024A136923EA00001061704730 +S3150800D5C00038024021B1054B1A6A104318627047A9 +S3150800D5D0024A136A23EA00001062704700380240C4 +S3150800D5E0014B1860704700BFDC00274230B585B094 +S3150800D5F004460D46038A9BB223F44053CA88134354 +S3150800D600038283899BB223F4B05323F00C01A888C4 +S3150800D6102A896B89024313430B43A381A38A9BB2CE +S3150800D62023F44073AA891343A3826846FFF736FF9B +S3150800D630204B9C4203D003F580639C4201D1039A98 +S3150800D64000E0029AA38913F4004F08D002EB820285 +S3150800D65002EB820229684900B2FBF1F107E002EB0E +S3150800D660820202EB820229688900B2FBF1F1124BB1 +S3150800D670A3FB01235B091B011A09642000FB121294 +S3150800D680A18911F4004F08D0D20032320A49A1FB11 +S3150800D6900212C2F342121A4307E012013232064955 +S3150800D6A0A1FB0212C2F343121A4392B2228105B0B9 +S3150800D6B030BD00BF001001401F85EB5129B1838999 +S3150800D6C09BB243F400538381704783899BB223F44A +S3150800D6D000539BB2838170478088C0F30800704767 +S3150800D6E00388194201D0012070470020704700BF07 +S3150800D6F082B00023019300932A4A136843F48033C7 +S3150800D7001360284B1B6803F400330093019B013315 +S3150800D7100193009B1BB9019BB3F5A06FF1D1214B77 +S3150800D7201B6813F4003F02D00123009301E0002395 +S3150800D7300093009B012B32D11A4B1A6C42F080528F +S3150800D7401A6419490A6842F440420A609A689A605B +S3150800D7509A6842F400429A609A6842F4A0529A6023 +S3150800D760124A5A601A6842F080721A600D4B1B689A +S3150800D77013F0007FFAD040F205620D4B1A60A3F54C +S3150800D78080639A6822F003029A609A6842F002025D +S3150800D7909A60044B9B6803F00C03082BF9D102B07E +S3150800D7A0704700BF00380240007000400C54400724 +S3150800D7B0003C024008B50F4AD2F8883043F470039B +S3150800D7C0C2F888300C4B1A6842F001021A60002130 +S3150800D7D099601A6822F0847222F480321A60074A25 +S3150800D7E05A601A6822F480221A60D960FFF780FF0F +S3150800D7F008BD00BF00ED00E00038024010300024EC +S3150800D800FEE700BF10B4013A0A440BE00C784B78E7 +S3150800D81003EB04239BB2184480B2834201D901303A +S3150800D82080B202319142F1D307D10B781B0218441A +S3150800D83080B2834201D9013080B25DF8044B70474B +S3150800D84070B4C5780D44EDB2134CE57083780E0AB2 +S3150800D8503344DBB2A37042786270007820709CB2C1 +S3150800D860A64206D90132D2B20B4C62700AB901300F +S3150800D8702070C9B28D420CDA0133DBB2064A9370C6 +S3150800D8803BB953780133DBB2537013B913780133BC +S3150800D890137070BC704700BF980E002010B5094C75 +S3150800D8A02368014603F10800FFF7CAFF2368064A02 +S3150800D8B0117819725178597291789972D278DA7208 +S3150800D8C010BD00BFA40E0020980E00200022124BA7 +S3150800D8D01A7006E00021114A22F8131001330E4A85 +S3150800D8E013700D4B1B78002BF4D000220A4B1A70CC +S3150800D8F009E0C3EB03114A0009490A44002151769D +S3150800D9000133054A1370044B1B78002BF1D04FF4F2 +S3150800D9108062044B1A80704702010020C80E00205E +S3150800D920A80E00200001002000220A4B1A700BE006 +S3150800D9301946094A32F813201AB9074B23F8110079 +S3150800D94070470133034A1370024B1B78002BEFD044 +S3150800D950704700BF02010020C80E0020030A43EAF0 +S3150800D960002080B2704700BF08B514220549002080 +S3150800D970FFF748FF10B1FFF7F1FF08BD4FF6FF703C +S3150800D98008BD00BFE60E002038B50D4D2C7C6B7C1B +S3150800D99003EB0424143CA4B22044082205F11A011E +S3150800D9A080B2FFF72FFF224605F12201FFF72AFF73 +S3150800D9B010B1FFF7D3FF38BD4FF6FF7038BD00BF73 +S3150800D9C0D80E002008B50620FFF7DEFF08BD00BF09 +S3150800D9D070B5934B1C68934B934A1360934A136034 +S3150800D9E003280FD1637E03F00F03032B40F02F8526 +S3150800D9F0238A002B40F02B8508228D4B1A70FEF7E0 +S3150800DA00EBFCEAE3022879D18A4AD3780133DBB200 +S3150800DA10D37063B993780133DBB293703BB953780B +S3150800DA200133DBB2537013B9137801331370002333 +S3150800DA30814A1380814A1380637E072B01D0052B08 +S3150800DA400AD1A37E0133DBB2A376782B40F0FF849C +S3150800DA500023637600F0FBBC002B00F0F884228AD2 +S3150800DA60002A40D0A27E511EA176002A40F0EF84FB +S3150800DA70E27E082A05D0591EC9B201290ED8052A00 +S3150800DA800CD1002363768022694B1A70FEF7A4FC3A +S3150800DA9014226B4B83F82F2000F05BBC042A03D8B2 +S3150800DAA003219140C9B200E03021A1760132E27625 +S3150800DAB003F00F03013B072B00F2C984DFE813F0DC +S3150800DAC0BE0108000D003803C7043803C70438032D +S3150800DAD000225B4B83F82F20B4E10422544B1A70C2 +S3150800DAE0FEF77AFCAEE303F00F03032B40F0AF8496 +S3150800DAF008224F4B1A70FEF76FFC6EE3504B9B7B68 +S3150800DB00452B40F0A4844E4A157C537C03EB052133 +S3150800DB10494A1288914200F39A848DB2464B1D8079 +S3150800DB20474B1B7D13F03F0F40F09184444B5B7DC0 +S3150800DB30002B40F08C84434B1C880CB95B885BB186 +S3150800DB403F4BDB8B9C4240F082843D4B1A8C3D4B0D +S3150800DB505B889A4240F07B84FFF706FF4FF6FF7317 +S3150800DB60984240F07484364BDB7D062B23D0012B7C +S3150800DB7040F06D84324B93F82230082B40F06784CE +S3150800DB802F4B002283F822209B8C4FF6F672934285 +S3150800DB9003D909332A4A938402E00833284A93842E +S3150800DBA0274B5A8BDA839A8B1A845C83254A5288C8 +S3150800DBB09A8300F048BCFFF705FF4FF6FF739842BB +S3150800DBC040F04584204C17E0617EA1B11C4B9A8C2D +S3150800DBD0A3889A420FD11A4B5A8CE3889A420AD1E3 +S3150800DBE0174B5A8B23889A4205D1154B9A8B638813 +S3150800DBF09A4200F041811E34134B9C42E4D9104BE3 +S3150800DC0093F82F3003F03F03022B2FD10C4B9B8C3C +S3150800DC1099B20E4A138000220D4B1A7022E000BFFB +S3150800DC20A40E00200E0F0020A00E0020940E002047 +S3150800DC309C0E0020FC000020900E00201A150020E3 +S3150800DC40D80E0020D40E0020A80E0020F8000020D0 +S3150800DC5002010020924A32F8132091425BD0013328 +S3150800DC60904A13708F4B1B78002BF3D08E4B93F88A +S3150800DC702F3013F0040F40F0EA838B4B142283F8FD +S3150800DC802F202821894A1180502283F82E2093F8C4 +S3150800DC90290093F82D2083F8292093F8281093F863 +S3150800DCA02C2083F8282083F82C1093F8272093F843 +S3150800DCB02B4083F8274083F82B2093F82640794D8C +S3150800DCC02C7093F82A5083F8265083F82A4001309E +S3150800DCD0C0B283F82D0068B94B1CDBB2724981F8D3 +S3150800DCE02C303BB9531CDBB281F82B3013B9013405 +S3150800DCF081F82A406C4B5A8C6D490A80998C598454 +S3150800DD009A845A8BDA839A8B1A846A4A11885983B9 +S3150800DD1052889A836FE30024624B1C701CE01946F4 +S3150800DD201E22654802FB0302527E1AB91E2404FB12 +S3150800DD30010415E0072A0CD13CB11E225E4902FBFC +S3150800DD400312917EA27E914203D95B4A1E2404FBEC +S3150800DD5003240133534A1370524B1B78002BDED031 +S3150800DD60002C00F07483554B1C600323A37623769E +S3150800DD700023A3750422E275E3764B4DAB8CA38092 +S3150800DD806B8CE3806B8B2380AB8B63800120607682 +S3150800DD904B4B1A7822735A7862739A78A273DB7897 +S3150800DDA0E373208295F82930E37295F82830A37238 +S3150800DDB095F82730637295F826302372FFF76EFDC3 +S3150800DDC095F82E0000F0F003502B37DD0022354B76 +S3150800DDD01A702BE003F13602334DAA5C72B3012A9E +S3150800DDE003D101332F4A137020E0022A16D103F11A +S3150800DDF037022D4DAA5C042A10D101F13803EA5CDA +S3150800DE0039316B5C43EA0222294B1A8040F20A63D5 +S3150800DE10934228BF13466382A3820FE003F13702B9 +S3150800DE2021498A5C52B113441E4A13701D4B1B7854 +S3150800DE3019460209053AB3EB820FCBDB10221A4BBF +S3150800DE4083F82F20184B93F82F2042F0020283F80C +S3150800DE502F20022283F83620042283F83720062250 +S3150800DE6083F838200A2283F839202C210F4A11809A +S3150800DE70602283F82E2073E2104B1C600022114B9F +S3150800DE801A70094B93F82F2012F0040F1CD00023A8 +S3150800DE90637620220B4B1A70FEF79EFAD7E200BF74 +S3150800DEA0C80E002002010020D80E0020900E002087 +S3150800DEB0F8000020D40E0020A80E0020A40E002092 +S3150800DEC0FC0000209C0E00209C4B93F82E301B096A +S3150800DED09B009B480370EB1A143B9BB2994803803E +S3150800DEE001F00F01022903D102F03F0112290FD0D8 +S3150800DEF013B912F0030F0BD0904BD98C2389994292 +S3150800DF0040F023828D4B198D6389994240F01D821A +S3150800DF1012F0100F47D0218A002944D004F10C00D2 +S3150800DF20FFF78EFC854B93F82A30874A1078834290 +S3150800DF3039D1824B93F82B3051788B4233D17F4BB2 +S3150800DF4093F82C30927893422DD17C4B93F82D5030 +S3150800DF507D4BDB789D4226D120736173A273E373F0 +S3150800DF60E37ECBB9237EA27E9B1ADBB2A17DA3EB0F +S3150800DF70D103DBB25AB21944C9B2A175002A01DA33 +S3150800DF805B425AB2E37DA2EB930253FA82F2D3B212 +S3150800DF90E37503EBD103237601226C4B1A70237EBB +S3150800DFA0A37600232382617E01F00F03013B072B32 +S3150800DFB000F24D82DFE813F0080023009600730193 +S3150800DFC0A201BE01C9016501604B1B7813F0010F60 +S3150800DFD000F03D820323637640225C4B1A700023CF +S3150800DFE02382584B188820B14222584B1A70FFF7E3 +S3150800DFF055FC0022564B1A80FEF7EEF9EDE0534B1E +S3150800E0001B7813F0010F63D04C4B93F82F3003F0B5 +S3150800E0103F03122B5CD1494B93F82E0000F0F00316 +S3150800E020502B37DD0022464B1A702BE003F13602DF +S3150800E030424DAA5C72B3012A03D10133404A1370D8 +S3150800E04020E0022A16D103F137023C4DAA5C042AC5 +S3150800E05010D101F13803EA5C39316B5C43EA0222DC +S3150800E0603C4B1A8040F20A63934228BF13466382E8 +S3150800E070A3820FE003F1370230498A5C52B1134498 +S3150800E0802F4A13702E4B1B7819460209053AB3EB33 +S3150800E090820FCBDB03236376284B93F82620227264 +S3150800E0A093F82720627293F82820A27293F82930F1 +S3150800E0B0E3720120FFF7F2FB4222244B1A70002379 +S3150800E0C02382204A1380224A1380FEF785F984E0CA +S3150800E0D020221E4B1A70FEF77FF91F4B1B68002281 +S3150800E0E05A76C3E5154B93F82F3013F0010F35D048 +S3150800E0F011F0100F32D1238A002B40F0A881114D60 +S3150800E1002888013080B2FFF7C9FB104A137843F01C +S3150800E110100313702A881AB143F002030B4A1370CE +S3150800E120FEF75AF901232382082363760023E37650 +S3150800E1301122024B83F82F200BE100BFD80E0020D6 +S3150800E14002010020900E0020980E00209C0E002050 +S3150800E1501A150020F8000020A40E002013F0200F46 +S3150800E16010D0994890F834301A0290F8353043EABE +S3150800E1700200964D2E68304428609548134302885D +S3150800E180D31A0380924B188848B111F0100F06D1A4 +S3150800E190904A137843F002031370FFF77FFB8A4A0D +S3150800E1A092F8301092F8313003EB01239BB28A4A79 +S3150800E1B01380A28A934200D80BB9874B1A80864BE4 +S3150800E1C01B886382834B1B7813F0030F00F03F8193 +S3150800E1D00022824B1A80FEF7FFF87E4B1B7813F05D +S3150800E1E0200F08D000237D4A138063761422764BCD +S3150800E1F083F82F20ADE013F0100F0CD00023774AD8 +S3150800E20013800122228204226276E37611226E4B63 +S3150800E21083F82F209DE0714A12888AB113F0010F06 +S3150800E22001D000232382238A43B9638A9A4201D9FB +S3150800E2306A4A1380694B1B88238201E0674A138068 +S3150800E2400023E376664B1A68604B1A60634B1B889B +S3150800E2504BB1238A3BB128335D4A138018225A4BA7 +S3150800E26083F82F2078E05B4B1B7813F0020F00F041 +S3150800E270EE802822564B1A801022534B83F82F2003 +S3150800E2806AE0544B1B7813F0010F00F0E08000237E +S3150800E290637610224F4B1A70FEF79EF8D7E04C4B68 +S3150800E2A0188808B1FFF7FAFA474B93F82F3013F09E +S3150800E2B0010F15D0474B1B7813F0010F05D0072324 +S3150800E2C063760023A376238201E006236376012082 +S3150800E2D0FFF7E4FA10223F4B1A70FEF77DF834E098 +S3150800E2E03C4B1B7813F0010F04D0052363760023FB +S3150800E2F02382ACE0364B1B883BBBA8E0344B18881E +S3150800E30008B1FFF7CBFA304B93F82F3013F0010F13 +S3150800E3100CD0072363760023A3760120FFF7BEFA05 +S3150800E32010222C4B1A70FEF757F80EE0284B1B8864 +S3150800E3305BB98CE0274B1B7813F0010F00F0878040 +S3150800E340072363760023A37681E010221E4B83F809 +S3150800E3502F2028221E4B1A8050221B4B83F82E2072 +S3150800E360227A194B83F82A20627A83F82B20A27A1C +S3150800E37083F82C20E27A83F82D20227B83F8262046 +S3150800E380627B83F82720A27B83F82820E27B83F828 +S3150800E39029200622DA75A2885A84E2889A84114AC4 +S3150800E3A01188598352889A832288DA8362881A8464 +S3150800E3B0637E13F0100F17D0034B002283F8312029 +S3150800E3C083F8302017E000BFD80E0020A00E0020EA +S3150800E3D0900E00209C0E0020F80000201A15002040 +S3150800E3E0940E0020D40E00201C4B062283F8302001 +S3150800E3F00A2283F83120194C4023A375184B1B8831 +S3150800E4001A0A22746374002584F8355084F8345047 +S3150800E41084F8325084F83350FFF7D4FAC043608644 +S3150800E4204523A373E573657525750E4A138801336D +S3150800E4309BB213801A0AA274E37425766576FFF7F1 +S3150800E44093FAC04320830022074B1A7070BD00233D +S3150800E450034A1380044A137070BD00BFD80E00200B +S3150800E460900E0020FA0000209C0E0020F0B4002236 +S3150800E4703A4B1A701EE03A4D5A001A4494002E1967 +S3150800E4802C5BA4B103EB4305AA00354D2A445288F8 +S3150800E4906AB10588AC420AD14488A24207D10C6801 +S3150800E4A07460898831812F4A1278B27254E0013338 +S3150800E4B02A4A1370294B1B78072BDCD90022274BD5 +S3150800E4C01A700FE0264D5A001A4494002E192A5B3A +S3150800E4D02AB903EB4304A2002A44528832B1013315 +S3150800E4E01E4A13701D4B1B78072BEBD9082B28D116 +S3150800E4F000231D4A13701D4A1370184A137013E03F +S3150800E500184A147803EB4305AA00154D2A44927A53 +S3150800E510A61A154D2D78AE4203DD134A1670134A16 +S3150800E520137001330D4A13700C4B1B78072BE7D970 +S3150800E5300E4B1B78094A137003EB43039A00084EE7 +S3150800E54016440268334643F8042B0A6872608A88C0 +S3150800E5509A80044B1B78B372F0BC70470A010020FE +S3150800E5600C0100206D0100200B0100206C01002029 +S3150800E57010B4154B1C780134E4B21C700022134BFE +S3150800E5801A7019E012485A001A4491004218415A62 +S3150800E59052880A430DD003EB43018A000244927A5B +S3150800E5A0A21A772A05DD03EB43018A0000200849F1 +S3150800E5B088500133054A1370044B1B78072BE1D9A1 +S3150800E5C05DF8044B704700BF6D0100200A0100206A +S3150800E5D00C01002010B5324B1B88292B03D80022CA +S3150800E5E02F4B1A8010BD00222D4B1A802D4B9B8A6B +S3150800E5F0B3F5807F03D0B3F5007F3FD010BD294B1C +S3150800E600DA8C294B1B889A4249D1264B1A8D264B00 +S3150800E6105B889A4243D1254C2146A01DFFF726FF69 +S3150800E620002204F8022C022204F8012C2168C4F8FE +S3150800E6300A10A188E1811E49086820608988A1809E +S3150800E64044F8100C24F80C1CD4F80A1044F8161CCC +S3150800E650E28924F8122CE288228222896282124AEE +S3150800E6601188E18052882281082204F80A2C0622A1 +S3150800E67004F8092C2A220A4B1A8010BD094BDA8C99 +S3150800E680094B1B889A420AD1064B1A8D064B5B88A2 +S3150800E6909A4204D1054801460630FFF7E7FE10BD49 +S3150800E6A0900E0020D80E0020D40E0020EE0E00207A +S3150800E6B00401002030B44C4BD98B8AB24FF6FF7355 +S3150800E6C09A420CD1484B188C4FF6FF73984206D1E4 +S3150800E6D0454B464A1068186092889A8072E0444B07 +S3150800E6E01B88444800885340184209D13E4B1A8C6F +S3150800E6F094B23F4B5B883F4840886340184206D037 +S3150800E7003D4B3E4A1188198052885A8002E03A4B9E +S3150800E71019805A8000223A4B1A7016E039485A0076 +S3150800E7201A4491004518344A1488425A944209D129 +S3150800E730314A508803EB43018A0032490A44528819 +S3150800E740904206D001332E4A13702D4B1B78072BA7 +S3150800E750E4D9082B30D1244B4FF0FF321A609A8047 +S3150800E76000221A629A8428490868C3F8060089882C +S3150800E7705981C3F8160059831F490888D8844988DF +S3150800E78019851B49088898834988D9831A750121F0 +S3150800E79059759A73D973082119745A7406229A748A +S3150800E7A00422DA74197306225A733633174A136029 +S3150800E7B02A22174B1A8014E00B4B55F8042F1A60BF +S3150800E7C0AA889A80084B104A1168C3F806109288DE +S3150800E7D05A8108221A7300225A730D4A13880E3377 +S3150800E7E0138030BC704700BFD80E0020ECE8000844 +S3150800E7F0D40E0020D00E002070010020CC0E002080 +S3150800E8000A0100200C01002004010020A00E0020AF +S3150800E810900E002008B5074B044613B10021AFF34C +S3150800E8200080054B1868836A03B198472046FEF7AF +S3150800E830E7FF00BF00000000F4E8000870B50E4BC3 +S3150800E8400E4CE41AA41000251E46A54204D056F81C +S3150800E850253098470135F8E700F02AF8084C094BA7 +S3150800E860E41AA41000251E46A54204D056F8253001 +S3150800E87098470135F8E770BD740000207400002041 +S3150800E880780000207400002010B5431E0A44914207 +S3150800E89004D011F8014B03F8014FF8E710BD024404 +S3150800E8A00346934202D003F8011BFAE770470000BB +S3150800E8B0F8B500BFF8BC08BC9E467047F8B500BF5F +S3150800E8C0F8BC08BC9E467047050206020603070305 +S3150800E8D00803090309040A040B040C040C050D05B6 +S3150800E8E00E050F050F06100610071008FFFFFFFF9D +S3110800E8F0FFFF00001400002000000000DC +S30D0800E8FCECD8FF7F01000000C3 +S3150800E90400000000010203040102030406070809C3 +S3150800E914007A030A0000000000000000000000005E +S3150800E92400000000000000000000000000000000D5 +S3150800E93400000000000000000000000000000000C5 +S3150800E94400000000000000000000000000000000B5 +S3150800E95400000000000000000000000000000000A5 +S3150800E9640000000000000000000000000000000095 +S3110800E97400000000B1C100088DC10008B9 S7050800C00032 diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cfg/stm32f407zg_flash.ld b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cfg/stm32f407zg_flash.ld new file mode 100755 index 00000000..f180879d --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cfg/stm32f407zg_flash.ld @@ -0,0 +1,181 @@ +/* ---------------------------------------------------------------------------- */ +/* Em::Blocks embedded development Support */ +/* ---------------------------------------------------------------------------- */ +/* Copyright (c) 2014, EmBlocks */ +/* */ +/* All rights reserved. */ +/* */ +/* Redistribution and use in source and binary forms, with or without */ +/* modification, are permitted provided that the following condition is met: */ +/* */ +/* - Redistributions of source code must retain the above copyright notice, */ +/* this list of conditions and the disclaimer below. */ +/* */ +/* EmBlocks's name may not be used to endorse or promote products derived from */ +/* this software without specific prior written permission. */ +/* */ +/* DISCLAIMER: THIS SOFTWARE IS PROVIDED BY EBLOCKS "AS IS" AND ANY EXPRESS OR */ +/* IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF */ +/* MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NON-INFRINGEMENT ARE */ +/* DISCLAIMED. IN NO EVENT SHALL EMBLOCKS BE LIABLE FOR ANY DIRECT, INDIRECT, */ +/* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT */ +/* LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, */ +/* OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF */ +/* LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING */ +/* NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */ +/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */ +/* ---------------------------------------------------------------------------- */ + +/*------------------------------------------------------------------------------ + * Linker script for running in internal FLASH on the STM32F407ZG + *----------------------------------------------------------------------------*/ + +OUTPUT_FORMAT("elf32-littlearm", "elf32-littlearm", "elf32-littlearm") +OUTPUT_ARCH(arm) +SEARCH_DIR(.) + +/* Memory Spaces Definitions */ +MEMORY +{ + ROM (rx) : ORIGIN = 0x0800C000, LENGTH = 1024K-48K + RAM (rwx) : ORIGIN = 0x20000000, LENGTH = 128K + CCRAM (rwx) : ORIGIN = 0x10000000, LENGTH = 64K +} + +/* Linker script to place sections and symbol values. Should be used together + * with other linker script that defines memory regions FLASH and RAM. + * It references following symbols, which must be defined in code: + * Reset_Handler : Entry of reset handler + * + * It defines following symbols, which code can use without definition: + * __exidx_start + * __exidx_end + * __etext + * __data_start__ + * __preinit_array_start + * __preinit_array_end + * __init_array_start + * __init_array_end + * __fini_array_start + * __fini_array_end + * __data_end__ + * __bss_start__ + * __bss_end__ + * __end__ + * end + * __HeapLimit + * __StackLimit + * __StackTop + * __stack + */ + + +SECTIONS +{ + .text : + { + KEEP(*(.isr_vector)) + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) + } > ROM + + .ARM.extab : + { + *(.ARM.extab* .gnu.linkonce.armextab.*) + } > ROM + + __exidx_start = .; + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } > ROM + __exidx_end = .; + + __etext = .; + + .data : AT (__etext) + { + __data_start__ = .; + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); + /* All data end */ + __data_end__ = .; + + } > RAM + + .bss (NOLOAD): + { + __bss_start__ = .; + *(.bss*) + *(COMMON) + __bss_end__ = .; + } > RAM + + .heap (NOLOAD): + { + __end__ = .; + end = __end__; + *(.heap*) + __HeapLimit = .; + } > RAM + + /* .stack_dummy section doesn't contains any symbols. It is only + * used for linker to calculate size of stack sections, and assign + * values to stack symbols later */ + .stack_dummy (NOLOAD): + { + *(.stack) + } > RAM + + /* Set stack top to end of RAM, and stack limit move down by + * size of stack_dummy section */ + __StackTop = ORIGIN(RAM) + LENGTH(RAM); + __StackLimit = __StackTop - SIZEOF(.stack_dummy); + PROVIDE(__stack = __StackTop); + + /* Check if data + heap + stack exceeds RAM limit */ + ASSERT(__StackLimit >= __HeapLimit, "region RAM overflowed with stack") +} diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/build.bat b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/build.bat deleted file mode 100644 index 6cdb041e..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/build.bat +++ /dev/null @@ -1,2 +0,0 @@ -@echo off -cs-make --directory=../ all diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/clean.bat b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/clean.bat deleted file mode 100644 index 025aaea0..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cmd/clean.bat +++ /dev/null @@ -1,2 +0,0 @@ -@echo off -cs-make --directory=../ clean diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cstart.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cstart.c deleted file mode 100644 index 22e02965..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/cstart.c +++ /dev/null @@ -1,89 +0,0 @@ -/************************************************************************************//** -* \file Demo\ARMCM4_STM32F4_Olimex_STM32E407_GCC\Prog\cstart.c -* \brief Demo program C startup source file. -* \ingroup Prog_ARMCM4_STM32F4_Olimex_STM32E407_GCC -* \internal -*---------------------------------------------------------------------------------------- -* C O P Y R I G H T -*---------------------------------------------------------------------------------------- -* Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved -* -*---------------------------------------------------------------------------------------- -* L I C E N S E -*---------------------------------------------------------------------------------------- -* This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or -* modify it under the terms of the GNU General Public License as published by the Free -* Software Foundation, either version 3 of the License, or (at your option) any later -* version. -* -* OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; -* without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -* PURPOSE. See the GNU General Public License for more details. -* -* You have received a copy of the GNU General Public License along with OpenBLT. It -* should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. -* -* \endinternal -****************************************************************************************/ - -/**************************************************************************************** -* Include files -****************************************************************************************/ -#include "header.h" /* generic header */ - - -/**************************************************************************************** -* External function protoypes -****************************************************************************************/ -extern int main(void); - - -/**************************************************************************************** -* External data declarations -****************************************************************************************/ -/* these externals are declared by the linker */ -extern unsigned long _etext; -extern unsigned long _data; -extern unsigned long _edata; -extern unsigned long _bss; -extern unsigned long _ebss; -extern unsigned long _estack; - - -/************************************************************************************//** -** \brief Reset interrupt service routine. Configures the stack, initializes -** RAM and jumps to function main. -** \return none. -** -****************************************************************************************/ -void reset_handler(void) -{ - unsigned long *pSrc, *pDest; - - /* initialize stack pointer */ - __asm(" ldr r1, =_estack\n" - " mov sp, r1"); - /* copy the data segment initializers from flash to SRAM */ - pSrc = &_etext; - for(pDest = &_data; pDest < &_edata; ) - { - *pDest++ = *pSrc++; - } - /* zero fill the bss segment. this is done with inline assembly since this will - * clear the value of pDest if it is not kept in a register. - */ - __asm(" ldr r0, =_bss\n" - " ldr r1, =_ebss\n" - " mov r2, #0\n" - " .thumb_func\n" - "zero_loop:\n" - " cmp r0, r1\n" - " it lt\n" - " strlt r2, [r0], #4\n" - " blt zero_loop"); - /* start the software application by calling its entry point */ - main(); -} /*** end of reset_handler ***/ - - -/************************************ end of cstart.c **********************************/ \ No newline at end of file diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.project b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.project deleted file mode 100644 index d945ce23..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.project +++ /dev/null @@ -1,234 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - break main -continue - - - - - - - make clean - make - - - - None - $(WorkspacePath)/.. - - - - - - - - - - - - - - - - - - - - - - - make clean - make - - - - None - $(WorkspacePath) - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.workspace b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.workspace deleted file mode 100644 index 4060139b..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/DemoProg.workspace +++ /dev/null @@ -1,12 +0,0 @@ - - - - - - - - - - - - diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/readme.txt b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/readme.txt deleted file mode 100644 index 8a340a19..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/ide/readme.txt +++ /dev/null @@ -1,4 +0,0 @@ -Integrated Development Environment ----------------------------------- -Codelite was used as the editor during the development of this software program. This directory contains the Codelite -workspace and project files. Codelite is a cross platform open source C/C++ IDE, available at http://www.codelite.org/. \ No newline at end of file diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/lib/newlib/_exit.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/lib/newlib/_exit.c new file mode 100644 index 00000000..4ae9532c --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/lib/newlib/_exit.c @@ -0,0 +1,38 @@ +// ---------------------------------------------------------------------------- + +#include + +// ---------------------------------------------------------------------------- + +// Forward declaration + +void +_exit(int code); + +// ---------------------------------------------------------------------------- + +// We just enter an infinite loop, to be used as landmark when halting +// the debugger. +// +// It can be redefined in the application, if more functionality +// is required. + +void +__attribute__((weak)) +_exit(int code __attribute__((unused))) +{ + // TODO: write on trace + while (1) + ; +} + +// ---------------------------------------------------------------------------- + +void +__attribute__((weak,noreturn)) +abort(void) +{ + _exit(1); +} + +// ---------------------------------------------------------------------------- diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/makefile b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/makefile index 199ac8a1..2970739e 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/makefile +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/makefile @@ -1,11 +1,11 @@ #**************************************************************************************** -#| Description: Makefile for STM32 using CodeSourcery GNU GCC compiler toolset +#| Description: Makefile for GNU ARM Embedded toolchain. #| File Name: makefile #| #|--------------------------------------------------------------------------------------- #| C O P Y R I G H T #|--------------------------------------------------------------------------------------- -#| Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved #| #|--------------------------------------------------------------------------------------- #| L I C E N S E @@ -25,187 +25,123 @@ #**************************************************************************************** SHELL = sh -#|---------------------------------------------------------------------------------------| -#| Configure project name | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| PROJ_NAME=demoprog_olimex_stm32e407 -#|---------------------------------------------------------------------------------------| -#| Speficy project source files | -#|---------------------------------------------------------------------------------------| -PROJ_FILES= \ -boot.c \ -boot.h \ -cstart.c \ -header.h \ -led.c \ -led.h \ -net.c \ -net.h \ -main.c \ -timer.c \ -timer.h \ -vectors.c \ -./lib/ethernetlib/inc/stm32_eth.h \ -./lib/ethernetlib/src/stm32_eth.c \ -./lib/uip/clock-arch.c \ -./lib/uip/clock-arch.h \ -./lib/uip/netdev.c \ -./lib/uip/netdev.h \ -./lib/uip/uip-conf.h \ -./lib/stdperiphlib/stm32f4xx_conf.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/misc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_adc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_can.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_crc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_cryp.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dac.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dbgmcu.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dcmi.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_dma.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_exti.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_flash.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_fsmc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_gpio.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_hash.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_i2c.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_iwdg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_pwr.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rcc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rng.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_rtc.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_sdio.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_spi.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_syscfg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_tim.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_usart.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/inc/stm32f4xx_wwdg.h \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/misc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_adc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_can.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_crc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_aes.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_des.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_cryp_tdes.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dac.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dbgmcu.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dcmi.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_dma.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_exti.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_flash.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_fsmc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_gpio.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash_md5.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_hash_sha1.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_i2c.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_iwdg.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_pwr.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rcc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rng.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rtc.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_sdio.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_spi.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_syscfg.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_tim.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_usart.c \ -./lib/stdperiphlib/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_wwdg.c \ -./lib/stdperiphlib/CMSIS/Include/core_cm4.h \ -./lib/stdperiphlib/CMSIS/Include/core_cm4_simd.h \ -./lib/stdperiphlib/CMSIS/Include/core_cmFunc.h \ -./lib/stdperiphlib/CMSIS/Include/core_cmInstr.h \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Source/system_stm32f4xx.c \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Include/stm32f4xx.h \ -./lib/stdperiphlib/CMSIS/Device/ST/STM32F4xx/Include/system_stm32f4xx.h \ -../../../Source/third_party/uip/uip/clock.h \ -../../../Source/third_party/uip/uip/lc-addrlabels.h \ -../../../Source/third_party/uip/uip/lc-switch.h \ -../../../Source/third_party/uip/uip/lc.h \ -../../../Source/third_party/uip/uip/pt.h \ -../../../Source/third_party/uip/uip/uip-fw.c \ -../../../Source/third_party/uip/uip/uip-fw.h \ -../../../Source/third_party/uip/uip/uip-neighbor.h \ -../../../Source/third_party/uip/uip/uip-split.h \ -../../../Source/third_party/uip/uip/uip.c \ -../../../Source/third_party/uip/uip/uip.h \ -../../../Source/third_party/uip/uip/uiplib.c \ -../../../Source/third_party/uip/uip/uiplib.h \ -../../../Source/third_party/uip/uip/uipopt.h \ -../../../Source/third_party/uip/uip/uip_arch.h \ -../../../Source/third_party/uip/uip/uip_arp.c \ -../../../Source/third_party/uip/uip/uip_arp.h \ -../../../Source/third_party/uip/uip/uip_timer.c \ -../../../Source/third_party/uip/uip/uip_timer.h +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) -#|---------------------------------------------------------------------------------------| -#| Compiler binaries | -#|---------------------------------------------------------------------------------------| -CC = arm-none-eabi-gcc -LN = arm-none-eabi-gcc -OC = arm-none-eabi-objcopy -OD = arm-none-eabi-objdump -AS = arm-none-eabi-as -SZ = arm-none-eabi-size +# Collect all application files in the current directory and its subdirectories +PROJ_FILES = $(call rwildcard, , *.c *.h *.S) +# Collect UIP third party library files +PROJ_FILES += ../../../Source/third_party/uip/uip/clock.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-addrlabels.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-switch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc.h +PROJ_FILES += ../../../Source/third_party/uip/uip/pt.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-fw.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-neighbor.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-split.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uipopt.h -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -LIB_PATH = +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +LIB_PATH = -Lcfg -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m4 -mlong-calls -O1 -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -D sprintf=usprintf -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -D snprintf=usnprintf -CFLAGS += -D printf=uipprintf -ffunction-sections -fdata-sections $(INC_PATH) -CFLAGS += -D USE_STDPERIPH_DRIVER -D HSE_VALUE=12000000 -D STM32F4XX -D GCC_ARMCM3 -CFLAGS += -Wno-attributes -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O srec -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +HEAP_SIZE = 0x0000 +STACK_SIZE = 0x0800 +STDFLAGS = -mcpu=cortex-m4 -mthumb -mfloat-abi=hard -mfpu=fpv4-sp-d16 -fno-strict-aliasing +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 -Wno-maybe-uninitialized -Wno-main +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DSTM32F407ZG -DSTM32F4XX -DUSE_STDPERIPH_DRIVER -DHSE_VALUE=12000000 +CFLAGS += -DARM_MATH_CM4 -D__FPU_USED +CFLAGS += -D__HEAP_SIZE=$(HEAP_SIZE) -D__STACK_SIZE=$(STACK_SIZE) +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,--defsym=__HEAP_SIZE=$(HEAP_SIZE) -Wl,--defsym=__STACK_SIZE=$(STACK_SIZE) +LFLAGS += -Wl,-script="stm32f407zg_flash.ld" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).srec +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf @@ -217,31 +153,32 @@ $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).srec + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/memory.x b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/memory.x deleted file mode 100644 index 69f68128..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/memory.x +++ /dev/null @@ -1,37 +0,0 @@ -MEMORY -{ - FLASH (rx) : ORIGIN = 0x0800C000, LENGTH = 1024K-48K - SRAM (rwx) : ORIGIN = 0x20000000, LENGTH = 128K -} - -SECTIONS -{ - __STACKSIZE__ = 2048; - - .text : - { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) - _etext = .; - } > FLASH - - .data : AT (ADDR(.text) + SIZEOF(.text)) - { - _data = .; - *(vtable) - *(.data*) - _edata = .; - } > SRAM - - .bss : - { - _bss = .; - *(.bss*) - *(COMMON) - _ebss = .; - _stack = .; - . = ALIGN(MAX(_stack + __STACKSIZE__ , .), 4); - _estack = .; - } > SRAM -} diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/startup_stm32f4xx.S b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/startup_stm32f4xx.S new file mode 100755 index 00000000..782a35cc --- /dev/null +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/startup_stm32f4xx.S @@ -0,0 +1,364 @@ +/* File: startup_ARMCM4.S + * Purpose: startup file for Cortex-M4 devices. Should use with + * GCC for ARM Embedded Processors + * Version: V1.3 + * Date: 08 Feb 2012 + * + * Copyright (c) 2012, ARM Limited + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions are met: + * Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + * Neither the name of the ARM Limited nor the + names of its contributors may be used to endorse or promote products + derived from this software without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED + * WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL ARM LIMITED BE LIABLE FOR ANY + * DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES + * (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; + * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND + * ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT + * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + */ + .syntax unified + .arch armv7-m + + .section .stack + .align 3 +#ifdef __STACK_SIZE + .equ Stack_Size, __STACK_SIZE +#else + .equ Stack_Size, 0x400 +#endif + .globl __StackTop + .globl __StackLimit +__StackLimit: + .space Stack_Size + .size __StackLimit, . - __StackLimit +__StackTop: + .size __StackTop, . - __StackTop + + .section .heap + .align 3 +#ifdef __HEAP_SIZE + .equ Heap_Size, __HEAP_SIZE +#else + .equ Heap_Size, 0xC00 +#endif + .globl __HeapBase + .globl __HeapLimit +__HeapBase: + .if Heap_Size + .space Heap_Size + .endif + .size __HeapBase, . - __HeapBase +__HeapLimit: + .size __HeapLimit, . - __HeapLimit + + .section .isr_vector + .align 2 + .globl __isr_vector +__isr_vector: + .long __StackTop /* Top of Stack */ + .long Reset_Handler /* Reset Handler */ + .long NMI_Handler /* NMI Handler */ + .long HardFault_Handler /* Hard Fault Handler */ + .long MemManage_Handler /* MPU Fault Handler */ + .long BusFault_Handler /* Bus Fault Handler */ + .long UsageFault_Handler /* Usage Fault Handler */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long 0 /* Reserved */ + .long SVC_Handler /* SVCall Handler */ + .long DebugMon_Handler /* Debug Monitor Handler */ + .long 0 /* Reserved */ + .long PendSV_Handler /* PendSV Handler */ + .long SysTick_Handler /* SysTick Handler */ + + // External Interrupts + .long WWDG_IRQHandler // Window WatchDog + .long PVD_IRQHandler // PVD through EXTI Line detection + .long TAMP_STAMP_IRQHandler // Tamper and TimeStamps through the EXTI line + .long RTC_WKUP_IRQHandler // RTC Wakeup through the EXTI line + .long FLASH_IRQHandler // FLASH + .long RCC_IRQHandler // RCC + .long EXTI0_IRQHandler // EXTI Line0 + .long EXTI1_IRQHandler // EXTI Line1 + .long EXTI2_IRQHandler // EXTI Line2 + .long EXTI3_IRQHandler // EXTI Line3 + .long EXTI4_IRQHandler // EXTI Line4 + .long DMA1_Stream0_IRQHandler // DMA1 Stream 0 + .long DMA1_Stream1_IRQHandler // DMA1 Stream 1 + .long DMA1_Stream2_IRQHandler // DMA1 Stream 2 + .long DMA1_Stream3_IRQHandler // DMA1 Stream 3 + .long DMA1_Stream4_IRQHandler // DMA1 Stream 4 + .long DMA1_Stream5_IRQHandler // DMA1 Stream 5 + .long DMA1_Stream6_IRQHandler // DMA1 Stream 6 + .long ADC_IRQHandler // ADC1, ADC2 and ADC3s + .long CAN1_TX_IRQHandler // CAN1 TX + .long CAN1_RX0_IRQHandler // CAN1 RX0 + .long CAN1_RX1_IRQHandler // CAN1 RX1 + .long CAN1_SCE_IRQHandler // CAN1 SCE + .long EXTI9_5_IRQHandler // External Line[9:5]s + .long TIM1_BRK_TIM9_IRQHandler // TIM1 Break and TIM9 + .long TIM1_UP_TIM10_IRQHandler // TIM1 Update and TIM10 + .long TIM1_TRG_COM_TIM11_IRQHandler // TIM1 Trigger and Commutation and TIM11 + .long TIM1_CC_IRQHandler // TIM1 Capture Compare + .long TIM2_IRQHandler // TIM2 + .long TIM3_IRQHandler // TIM3 + .long TIM4_IRQHandler // TIM4 + .long I2C1_EV_IRQHandler // I2C1 Event + .long I2C1_ER_IRQHandler // I2C1 Error + .long I2C2_EV_IRQHandler // I2C2 Event + .long I2C2_ER_IRQHandler // I2C2 Error + .long SPI1_IRQHandler // SPI1 + .long SPI2_IRQHandler // SPI2 + .long USART1_IRQHandler // USART1 + .long USART2_IRQHandler // USART2 + .long USART3_IRQHandler // USART3 + .long EXTI15_10_IRQHandler // External Line[15:10]s + .long RTC_Alarm_IRQHandler // RTC Alarm (A and B) through EXTI Line + .long OTG_FS_WKUP_IRQHandler // USB OTG FS Wakeup through EXTI line + .long TIM8_BRK_TIM12_IRQHandler // TIM8 Break and TIM12 + .long TIM8_UP_TIM13_IRQHandler // TIM8 Update and TIM13 + .long TIM8_TRG_COM_TIM14_IRQHandler // TIM8 Trigger and Commutation and TIM14 + .long TIM8_CC_IRQHandler // TIM8 Capture Compare + .long DMA1_Stream7_IRQHandler // DMA1 Stream7 + .long FSMC_IRQHandler // FSMC + .long SDIO_IRQHandler // SDIO + .long TIM5_IRQHandler // TIM5 + .long SPI3_IRQHandler // SPI3 + .long UART4_IRQHandler // UART4 + .long UART5_IRQHandler // UART5 + .long TIM6_DAC_IRQHandler // TIM6 and DAC1&2 underrun errors + .long TIM7_IRQHandler // TIM7 + .long DMA2_Stream0_IRQHandler // DMA2 Stream 0 + .long DMA2_Stream1_IRQHandler // DMA2 Stream 1 + .long DMA2_Stream2_IRQHandler // DMA2 Stream 2 + .long DMA2_Stream3_IRQHandler // DMA2 Stream 3 + .long DMA2_Stream4_IRQHandler // DMA2 Stream 4 + .long ETH_IRQHandler // Ethernet + .long ETH_WKUP_IRQHandler // Ethernet Wakeup through EXTI line + .long CAN2_TX_IRQHandler // CAN2 TX + .long CAN2_RX0_IRQHandler // CAN2 RX0 + .long CAN2_RX1_IRQHandler // CAN2 RX1 + .long CAN2_SCE_IRQHandler // CAN2 SCE + .long OTG_FS_IRQHandler // USB OTG FS + .long DMA2_Stream5_IRQHandler // DMA2 Stream 5 + .long DMA2_Stream6_IRQHandler // DMA2 Stream 6 + .long DMA2_Stream7_IRQHandler // DMA2 Stream 7 + .long USART6_IRQHandler // USART6 + .long I2C3_EV_IRQHandler // I2C3 event + .long I2C3_ER_IRQHandler // I2C3 error + .long OTG_HS_EP1_OUT_IRQHandler // USB OTG HS End Point 1 Out + .long OTG_HS_EP1_IN_IRQHandler // USB OTG HS End Point 1 In + .long OTG_HS_WKUP_IRQHandler // USB OTG HS Wakeup through EXTI + .long OTG_HS_IRQHandler // USB OTG HS + .long DCMI_IRQHandler // DCMI + .long CRYP_IRQHandler // CRYP crypto + .long HASH_RNG_IRQHandler // Hash and Rng + .long FPU_IRQHandler // FPU + .long 0x55AA11EE // Reserved for OpenBLT checksum + + .size __isr_vector, . - __isr_vector + + .text + .thumb + .thumb_func + .align 2 + .globl Reset_Handler + .type Reset_Handler, %function +Reset_Handler: +/* Initialize the stackpointer. this is done automatically after a reset event. + * the bootloader performs a software reset by calling this reset handler, in + * which case the stackpointer is not yet initialized. */ + ldr r1, =__StackTop + mov sp, r1 + +/* Loop to copy data from read only memory to RAM. The ranges + * of copy from/to are specified by following symbols evaluated in + * linker script. + * __etext: End of code section, i.e., begin of data sections to copy from. + * __data_start__/__data_end__: RAM address range that data should be + * copied to. Both must be aligned to 4 bytes boundary. */ + + ldr r1, =__etext + ldr r2, =__data_start__ + ldr r3, =__data_end__ + +#if 1 +/* Here are two copies of loop implemenations. First one favors code size + * and the second one favors performance. Default uses the first one. + * Change to "#if 0" to use the second one */ +.flash_to_ram_loop: + cmp r2, r3 + ittt lt + ldrlt r0, [r1], #4 + strlt r0, [r2], #4 + blt .flash_to_ram_loop +#else + subs r3, r2 + ble .flash_to_ram_loop_end +.flash_to_ram_loop: + subs r3, #4 + ldr r0, [r1, r3] + str r0, [r2, r3] + bgt .flash_to_ram_loop +.flash_to_ram_loop_end: +#endif + +#ifndef __NO_SYSTEM_INIT + ldr r0, =SystemInit + blx r0 +#endif + + ldr r0, =_start + bx r0 + .pool + .size Reset_Handler, . - Reset_Handler + +/* Our weak _start alternative if we don't use the library _start + * The zero init section must be cleared, otherwise the librtary is + * doing that */ + .align 1 + .thumb_func + .weak _start + .type _start, %function +_start: + + /* Zero fill the bss segment. */ + ldr r1, = __bss_start__ + ldr r2, = __bss_end__ + movs r3, #0 + b .fill_zero_bss +.loop_zero_bss: + str r3, [r1], #4 + +.fill_zero_bss: + cmp r1, r2 + bcc .loop_zero_bss + + /* Jump to our main */ + bl main + b . + .size _start, . - _start + +/* Macro to define default handlers. Default handler + * will be weak symbol and just dead loops. They can be + * overwritten by other handlers */ + .macro def_irq_handler handler_name + .align 1 + .thumb_func + .weak \handler_name + .type \handler_name, %function +\handler_name : + b . + .size \handler_name, . - \handler_name + .endm + + def_irq_handler NMI_Handler + def_irq_handler HardFault_Handler + def_irq_handler MemManage_Handler + def_irq_handler BusFault_Handler + def_irq_handler UsageFault_Handler + def_irq_handler SVC_Handler + def_irq_handler DebugMon_Handler + def_irq_handler PendSV_Handler + def_irq_handler SysTick_Handler + def_irq_handler Default_Handler + + // External Interrupts + def_irq_handler WWDG_IRQHandler // Window WatchDog + def_irq_handler PVD_IRQHandler // PVD through EXTI Line detection + def_irq_handler TAMP_STAMP_IRQHandler // Tamper and TimeStamps through the EXTI line + def_irq_handler RTC_WKUP_IRQHandler // RTC Wakeup through the EXTI line + def_irq_handler FLASH_IRQHandler // FLASH + def_irq_handler RCC_IRQHandler // RCC + def_irq_handler EXTI0_IRQHandler // EXTI Line0 + def_irq_handler EXTI1_IRQHandler // EXTI Line1 + def_irq_handler EXTI2_IRQHandler // EXTI Line2 + def_irq_handler EXTI3_IRQHandler // EXTI Line3 + def_irq_handler EXTI4_IRQHandler // EXTI Line4 + def_irq_handler DMA1_Stream0_IRQHandler // DMA1 Stream 0 + def_irq_handler DMA1_Stream1_IRQHandler // DMA1 Stream 1 + def_irq_handler DMA1_Stream2_IRQHandler // DMA1 Stream 2 + def_irq_handler DMA1_Stream3_IRQHandler // DMA1 Stream 3 + def_irq_handler DMA1_Stream4_IRQHandler // DMA1 Stream 4 + def_irq_handler DMA1_Stream5_IRQHandler // DMA1 Stream 5 + def_irq_handler DMA1_Stream6_IRQHandler // DMA1 Stream 6 + def_irq_handler ADC_IRQHandler // ADC1, ADC2 and ADC3s + def_irq_handler CAN1_TX_IRQHandler // CAN1 TX + def_irq_handler CAN1_RX0_IRQHandler // CAN1 RX0 + def_irq_handler CAN1_RX1_IRQHandler // CAN1 RX1 + def_irq_handler CAN1_SCE_IRQHandler // CAN1 SCE + def_irq_handler EXTI9_5_IRQHandler // External Line[9:5]s + def_irq_handler TIM1_BRK_TIM9_IRQHandler // TIM1 Break and TIM9 + def_irq_handler TIM1_UP_TIM10_IRQHandler // TIM1 Update and TIM10 + def_irq_handler TIM1_TRG_COM_TIM11_IRQHandler // TIM1 Trigger and Commutation and TIM11 + def_irq_handler TIM1_CC_IRQHandler // TIM1 Capture Compare + def_irq_handler TIM2_IRQHandler // TIM2 + def_irq_handler TIM3_IRQHandler // TIM3 + def_irq_handler TIM4_IRQHandler // TIM4 + def_irq_handler I2C1_EV_IRQHandler // I2C1 Event + def_irq_handler I2C1_ER_IRQHandler // I2C1 Error + def_irq_handler I2C2_EV_IRQHandler // I2C2 Event + def_irq_handler I2C2_ER_IRQHandler // I2C2 Error + def_irq_handler SPI1_IRQHandler // SPI1 + def_irq_handler SPI2_IRQHandler // SPI2 + def_irq_handler USART1_IRQHandler // USART1 + def_irq_handler USART2_IRQHandler // USART2 + def_irq_handler USART3_IRQHandler // USART3 + def_irq_handler EXTI15_10_IRQHandler // External Line[15:10]s + def_irq_handler RTC_Alarm_IRQHandler // RTC Alarm (A and B) through EXTI Line + def_irq_handler OTG_FS_WKUP_IRQHandler // USB OTG FS Wakeup through EXTI line + def_irq_handler TIM8_BRK_TIM12_IRQHandler // TIM8 Break and TIM12 + def_irq_handler TIM8_UP_TIM13_IRQHandler // TIM8 Update and TIM13 + def_irq_handler TIM8_TRG_COM_TIM14_IRQHandler // TIM8 Trigger and Commutation and TIM14 + def_irq_handler TIM8_CC_IRQHandler // TIM8 Capture Compare + def_irq_handler DMA1_Stream7_IRQHandler // DMA1 Stream7 + def_irq_handler FSMC_IRQHandler // FSMC + def_irq_handler SDIO_IRQHandler // SDIO + def_irq_handler TIM5_IRQHandler // TIM5 + def_irq_handler SPI3_IRQHandler // SPI3 + def_irq_handler UART4_IRQHandler // UART4 + def_irq_handler UART5_IRQHandler // UART5 + def_irq_handler TIM6_DAC_IRQHandler // TIM6 and DAC1&2 underrun errors + def_irq_handler TIM7_IRQHandler // TIM7 + def_irq_handler DMA2_Stream0_IRQHandler // DMA2 Stream 0 + def_irq_handler DMA2_Stream1_IRQHandler // DMA2 Stream 1 + def_irq_handler DMA2_Stream2_IRQHandler // DMA2 Stream 2 + def_irq_handler DMA2_Stream3_IRQHandler // DMA2 Stream 3 + def_irq_handler DMA2_Stream4_IRQHandler // DMA2 Stream 4 + def_irq_handler ETH_IRQHandler // Ethernet + def_irq_handler ETH_WKUP_IRQHandler // Ethernet Wakeup through EXTI line + def_irq_handler CAN2_TX_IRQHandler // CAN2 TX + def_irq_handler CAN2_RX0_IRQHandler // CAN2 RX0 + def_irq_handler CAN2_RX1_IRQHandler // CAN2 RX1 + def_irq_handler CAN2_SCE_IRQHandler // CAN2 SCE + def_irq_handler OTG_FS_IRQHandler // USB OTG FS + def_irq_handler DMA2_Stream5_IRQHandler // DMA2 Stream 5 + def_irq_handler DMA2_Stream6_IRQHandler // DMA2 Stream 6 + def_irq_handler DMA2_Stream7_IRQHandler // DMA2 Stream 7 + def_irq_handler USART6_IRQHandler // USART6 + def_irq_handler I2C3_EV_IRQHandler // I2C3 event + def_irq_handler I2C3_ER_IRQHandler // I2C3 error + def_irq_handler OTG_HS_EP1_OUT_IRQHandler // USB OTG HS End Point 1 Out + def_irq_handler OTG_HS_EP1_IN_IRQHandler // USB OTG HS End Point 1 In + def_irq_handler OTG_HS_WKUP_IRQHandler // USB OTG HS Wakeup through EXTI + def_irq_handler OTG_HS_IRQHandler // USB OTG HS + def_irq_handler DCMI_IRQHandler // DCMI + def_irq_handler CRYP_IRQHandler // CRYP crypto + def_irq_handler HASH_RNG_IRQHandler // Hash and Rng + def_irq_handler FPU_IRQHandler // FPU + + .end diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.c index 2a69ab0e..1bf46569 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.c +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.c @@ -96,11 +96,11 @@ unsigned long TimerGet(void) ** \return none. ** ****************************************************************************************/ -void TimerISRHandler(void) +void SysTick_Handler(void) { /* increment the millisecond counter */ millisecond_counter++; -} /*** end of TimerISRHandler ***/ +} /*** end of SysTick_Handler ***/ /*********************************** end of timer.c ************************************/ diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.h b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.h index 61c99cc6..371f24ce 100644 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.h +++ b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/timer.h @@ -35,7 +35,6 @@ void TimerInit(void); void TimerDeinit(void); void TimerSet(unsigned long timer_value); unsigned long TimerGet(void); -void TimerISRHandler(void); #endif /* TIMER_H */ /*********************************** end of timer.h ************************************/ diff --git a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/vectors.c b/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/vectors.c deleted file mode 100644 index fe5d9ef1..00000000 --- a/Target/Demo/ARMCM4_STM32F4_Olimex_STM32E407_GCC/Prog/vectors.c +++ /dev/null @@ -1,178 +0,0 @@ -/************************************************************************************//** -* \file Demo\ARMCM4_STM32F4_Olimex_STM32E407_GCC\Prog\vectors.c -* \brief Demo program interrupt vectors source file. -* \ingroup Prog_ARMCM4_STM32F4_Olimex_STM32E407_GCC -* \internal -*---------------------------------------------------------------------------------------- -* C O P Y R I G H T -*---------------------------------------------------------------------------------------- -* Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved -* -*---------------------------------------------------------------------------------------- -* L I C E N S E -*---------------------------------------------------------------------------------------- -* This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or -* modify it under the terms of the GNU General Public License as published by the Free -* Software Foundation, either version 3 of the License, or (at your option) any later -* version. -* -* OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; -* without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -* PURPOSE. See the GNU General Public License for more details. -* -* You have received a copy of the GNU General Public License along with OpenBLT. It -* should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. -* -* \endinternal -****************************************************************************************/ - -/**************************************************************************************** -* Include files -****************************************************************************************/ -#include "header.h" /* generic header */ - - -/**************************************************************************************** -* External functions -****************************************************************************************/ -extern void reset_handler(void); /* implemented in cstart.c */ - - -/**************************************************************************************** -* External data declarations -****************************************************************************************/ -/** \brief Stack end address (memory.x) */ -extern unsigned long _estack; - - -/************************************************************************************//** -** \brief Catch-all for unused interrrupt service routines. -** \return none. -** -****************************************************************************************/ -void UnusedISR(void) -{ - /* unexpected interrupt occured, so halt the system */ - while (1) { ; } -} /*** end of UnusedISR ***/ - - -/**************************************************************************************** -* I N T E R R U P T V E C T O R T A B L E -****************************************************************************************/ -/** \brief Structure type for vector table entries. */ -typedef union -{ - void (*func)(void); /**< for ISR function pointers */ - unsigned long ptr; /**< for stack pointer entry */ -}tIsrFunc; - -/** \brief Interrupt vector table. */ -__attribute__ ((section(".isr_vector"))) -const tIsrFunc _vectab[] = -{ - { .ptr = (unsigned long)&_estack }, /* the initial stack pointer */ - { reset_handler }, /* the reset handler */ - { UnusedISR }, /* NMI Handler */ - { UnusedISR }, /* Hard Fault Handler */ - { UnusedISR }, /* MPU Fault Handler */ - { UnusedISR }, /* Bus Fault Handler */ - { UnusedISR }, /* Usage Fault Handler */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* SVCall Handler */ - { UnusedISR }, /* Debug Monitor Handler */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* PendSV Handler */ - { TimerISRHandler }, /* SysTick Handler */ - { UnusedISR }, /* Window Watchdog */ - { UnusedISR }, /* PVD through EXTI Line detect */ - { UnusedISR }, /* Tamper */ - { UnusedISR }, /* RTC */ - { UnusedISR }, /* Flash */ - { UnusedISR }, /* RCC */ - { UnusedISR }, /* EXTI Line 0 */ - { UnusedISR }, /* EXTI Line 1 */ - { UnusedISR }, /* EXTI Line 2 */ - { UnusedISR }, /* EXTI Line 3 */ - { UnusedISR }, /* EXTI Line 4 */ - { UnusedISR }, /* DMA1 Channel 0 */ - { UnusedISR }, /* DMA1 Channel 1 */ - { UnusedISR }, /* DMA1 Channel 2 */ - { UnusedISR }, /* DMA1 Channel 3 */ - { UnusedISR }, /* DMA1 Channel 4 */ - { UnusedISR }, /* DMA1 Channel 5 */ - { UnusedISR }, /* DMA1 Channel 6 */ - { UnusedISR }, /* ADC1 and ADC2, ADC3s */ - { UnusedISR }, /* CAN1 TX */ - { UnusedISR }, /* CAN1 RX0 */ - { UnusedISR }, /* CAN1 RX1 */ - { UnusedISR }, /* CAN1 SCE */ - { UnusedISR }, /* EXTI Line 9..5 */ - { UnusedISR }, /* TIM1 Break and TIM9 */ - { UnusedISR }, /* TIM1 Update and TIM10 */ - { UnusedISR }, /* TIM1 Trigger/Comm. and TIM11 */ - { UnusedISR }, /* TIM1 Capture Compare */ - { UnusedISR }, /* TIM2 */ - { UnusedISR }, /* TIM3 */ - { UnusedISR }, /* TIM4 */ - { UnusedISR }, /* I2C1 Event */ - { UnusedISR }, /* I2C1 Error */ - { UnusedISR }, /* I2C2 Event */ - { UnusedISR }, /* I2C1 Error */ - { UnusedISR }, /* SPI1 */ - { UnusedISR }, /* SPI2 */ - { UnusedISR }, /* USART1 */ - { UnusedISR }, /* USART2 */ - { UnusedISR }, /* USART3 */ - { UnusedISR }, /* EXTI Line 15..10 */ - { UnusedISR }, /* RTC alarm through EXTI line */ - { UnusedISR }, /* USB OTG FS Wakeup */ - { UnusedISR }, /* TIM8 Break and TIM12 */ - { UnusedISR }, /* TIM8 Update and TIM13 */ - { UnusedISR }, /* TIM8 Trigger/Comm. and TIM14 */ - { UnusedISR }, /* TIM8 Capture Compare */ - { UnusedISR }, /* DMA1 Stream7 */ - { UnusedISR }, /* FSMC */ - { UnusedISR }, /* SDIO */ - { UnusedISR }, /* TIM5 */ - { UnusedISR }, /* SPI3 */ - { UnusedISR }, /* UART4 */ - { UnusedISR }, /* UART5 */ - { UnusedISR }, /* TIM6 and DAC1&2 underrun err. */ - { UnusedISR }, /* TIM7 */ - { UnusedISR }, /* DMA2 Stream 0 */ - { UnusedISR }, /* DMA2 Stream 1 */ - { UnusedISR }, /* DMA2 Stream 2 */ - { UnusedISR }, /* DMA2 Stream 3 */ - { UnusedISR }, /* DMA2 Stream 4 */ - { UnusedISR }, /* Ethernet */ - { UnusedISR }, /* Ethernet Wakeup */ - { UnusedISR }, /* CAN2 TX */ - { UnusedISR }, /* CAN2 RX0 */ - { UnusedISR }, /* CAN2 RX1 */ - { UnusedISR }, /* CAN2 SCE */ - { UnusedISR }, /* USB OTG FS */ - { UnusedISR }, /* DMA2 Stream 5 */ - { UnusedISR }, /* DMA2 Stream 6 */ - { UnusedISR }, /* DMA2 Stream 7 */ - { UnusedISR }, /* USART6 */ - { UnusedISR }, /* I2C3 event */ - { UnusedISR }, /* I2C3 error */ - { UnusedISR }, /* USB OTG HS End Point 1 Out */ - { UnusedISR }, /* USB OTG HS End Point 1 In */ - { UnusedISR }, /* USB OTG HS Wakeup through EXTI*/ - { UnusedISR }, /* USB OTG HS */ - { UnusedISR }, /* DCMI */ - { UnusedISR }, /* CRYP crypto */ - { UnusedISR }, /* Hash and Rng */ - { UnusedISR }, /* FPU */ - { (void*)0x55AA11EE }, /* Reserved for OpenBLT checksum */ -}; - - -/************************************ end of vectors.c *********************************/ - - diff --git a/Target/Source/ARMCM4_STM32F4/GCC/cstart.c b/Target/Source/ARMCM4_STM32F4/GCC/cstart.c deleted file mode 100644 index 0d07b30c..00000000 --- a/Target/Source/ARMCM4_STM32F4/GCC/cstart.c +++ /dev/null @@ -1,89 +0,0 @@ -/************************************************************************************//** -* \file Source\ARMCM4_STM32F4\GCC\cstart.c -* \brief Bootloader C startup source file. -* \ingroup Target_ARMCM4_STM32F4 -* \internal -*---------------------------------------------------------------------------------------- -* C O P Y R I G H T -*---------------------------------------------------------------------------------------- -* Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved -* -*---------------------------------------------------------------------------------------- -* L I C E N S E -*---------------------------------------------------------------------------------------- -* This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or -* modify it under the terms of the GNU General Public License as published by the Free -* Software Foundation, either version 3 of the License, or (at your option) any later -* version. -* -* OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; -* without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -* PURPOSE. See the GNU General Public License for more details. -* -* You have received a copy of the GNU General Public License along with OpenBLT. It -* should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. -* -* \endinternal -****************************************************************************************/ - -/**************************************************************************************** -* Include files -****************************************************************************************/ -#include "boot.h" /* bootloader generic header */ - - -/**************************************************************************************** -* External function protoypes -****************************************************************************************/ -extern int main(void); - - -/**************************************************************************************** -* External data declarations -****************************************************************************************/ -/* these externals are declared by the linker */ -extern blt_int32u _etext; -extern blt_int32u _data; -extern blt_int32u _edata; -extern blt_int32u _bss; -extern blt_int32u _ebss; -extern blt_int32u _estack; - - -/************************************************************************************//** -** \brief Reset interrupt service routine. Configures the stack, initializes -** RAM and jumps to function main. -** \return none. -** -****************************************************************************************/ -void reset_handler(void) -{ - blt_int32u *pSrc, *pDest; - - /* initialize stack pointer */ - __asm(" ldr r1, =_estack\n" - " mov sp, r1"); - /* copy the data segment initializers from flash to SRAM */ - pSrc = &_etext; - for (pDest = &_data; pDest < &_edata;) - { - *pDest++ = *pSrc++; - } - /* zero fill the bss segment. this is done with inline assembly since this will - * clear the value of pDest if it is not kept in a register. - */ - __asm(" ldr r0, =_bss\n" - " ldr r1, =_ebss\n" - " mov r2, #0\n" - " .thumb_func\n" - "zero_loop:\n" - " cmp r0, r1\n" - " it lt\n" - " strlt r2, [r0], #4\n" - " blt zero_loop"); - /* start the software application by calling its entry point */ - main(); -} /*** end of reset_handler ***/ - - -/************************************ end of cstart.c **********************************/ \ No newline at end of file diff --git a/Target/Source/ARMCM4_STM32F4/GCC/memory.x b/Target/Source/ARMCM4_STM32F4/GCC/memory.x deleted file mode 100644 index a4584f18..00000000 --- a/Target/Source/ARMCM4_STM32F4/GCC/memory.x +++ /dev/null @@ -1,38 +0,0 @@ -MEMORY -{ - FLASH (rx) : ORIGIN = 0x08000000, LENGTH = 48K - SRAM (rwx) : ORIGIN = 0x20000000, LENGTH = 24K -} - -SECTIONS -{ - __STACKSIZE__ = 2048; - - .text : - { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) - _etext = .; - } > FLASH - - .data : AT (ADDR(.text) + SIZEOF(.text)) - { - _data = .; - *(vtable) - *(.data*) - _edata = .; - } > SRAM - - .bss : - { - _bss = .; - *(.bss*) - *(COMMON) - _ebss = .; - _stack = .; - . = ALIGN(MAX(_stack + __STACKSIZE__ , .), 4); - _estack = .; - - } > SRAM -} diff --git a/Target/Source/ARMCM4_STM32F4/GCC/vectors.c b/Target/Source/ARMCM4_STM32F4/GCC/vectors.c deleted file mode 100644 index 896f4429..00000000 --- a/Target/Source/ARMCM4_STM32F4/GCC/vectors.c +++ /dev/null @@ -1,171 +0,0 @@ -/************************************************************************************//** -* \file Source\ARMCM4_STM32F4\GCC\vectors.c -* \brief Bootloader interrupt vector table source file. -* \ingroup Target_ARMCM4_STM32F4 -* \internal -*---------------------------------------------------------------------------------------- -* C O P Y R I G H T -*---------------------------------------------------------------------------------------- -* Copyright (c) 2013 by Feaser http://www.feaser.com All rights reserved -* -*---------------------------------------------------------------------------------------- -* L I C E N S E -*---------------------------------------------------------------------------------------- -* This file is part of OpenBLT. OpenBLT is free software: you can redistribute it and/or -* modify it under the terms of the GNU General Public License as published by the Free -* Software Foundation, either version 3 of the License, or (at your option) any later -* version. -* -* OpenBLT is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; -* without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -* PURPOSE. See the GNU General Public License for more details. -* -* You have received a copy of the GNU General Public License along with OpenBLT. It -* should be located in ".\Doc\license.html". If not, contact Feaser to obtain a copy. -* -* \endinternal -****************************************************************************************/ - -/**************************************************************************************** -* Include files -****************************************************************************************/ -#include "boot.h" /* bootloader generic header */ - - -/**************************************************************************************** -* External data declarations -****************************************************************************************/ -extern blt_int32u _estack; /* stack end address (memory.x) */ - - -/************************************************************************************//** -** \brief Catch-all for unused interrrupt service routines. -** \return none. -** -****************************************************************************************/ -void UnusedISR(void) -{ - /* unexpected interrupt occured, so trigger an assertion to halt the system */ - ASSERT_RT(BLT_FALSE); -} /*** end of UnusedISR ***/ - - -/**************************************************************************************** -* I N T E R R U P T V E C T O R T A B L E -****************************************************************************************/ -extern void reset_handler(void); /* implemented in cstart.c */ -/** \brief Structure type for vector table entries. */ -typedef union -{ - void (*func)(void); /**< for ISR function pointers */ - blt_int32u ptr; /**< for stack pointer entry */ -} tIsrFunc; - -/** \brief Interrupt vector table. */ -__attribute__((section(".isr_vector"))) -const tIsrFunc _vectab[] = -{ - { .ptr = (blt_int32u) &_estack }, /* the initial stack pointer */ - { reset_handler }, /* the reset handler */ - { UnusedISR }, /* NMI Handler */ - { UnusedISR }, /* Hard Fault Handler */ - { UnusedISR }, /* MPU Fault Handler */ - { UnusedISR }, /* Bus Fault Handler */ - { UnusedISR }, /* Usage Fault Handler */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* SVCall Handler */ - { UnusedISR }, /* Debug Monitor Handler */ - { UnusedISR }, /* Reserved */ - { UnusedISR }, /* PendSV Handler */ - { UnusedISR }, /* SysTick Handler */ - { UnusedISR }, /* Window Watchdog */ - { UnusedISR }, /* PVD through EXTI Line detect */ - { UnusedISR }, /* Tamper */ - { UnusedISR }, /* RTC */ - { UnusedISR }, /* Flash */ - { UnusedISR }, /* RCC */ - { UnusedISR }, /* EXTI Line 0 */ - { UnusedISR }, /* EXTI Line 1 */ - { UnusedISR }, /* EXTI Line 2 */ - { UnusedISR }, /* EXTI Line 3 */ - { UnusedISR }, /* EXTI Line 4 */ - { UnusedISR }, /* DMA1 Channel 0 */ - { UnusedISR }, /* DMA1 Channel 1 */ - { UnusedISR }, /* DMA1 Channel 2 */ - { UnusedISR }, /* DMA1 Channel 3 */ - { UnusedISR }, /* DMA1 Channel 4 */ - { UnusedISR }, /* DMA1 Channel 5 */ - { UnusedISR }, /* DMA1 Channel 6 */ - { UnusedISR }, /* ADC1 and ADC2, ADC3s */ - { UnusedISR }, /* CAN1 TX */ - { UnusedISR }, /* CAN1 RX0 */ - { UnusedISR }, /* CAN1 RX1 */ - { UnusedISR }, /* CAN1 SCE */ - { UnusedISR }, /* EXTI Line 9..5 */ - { UnusedISR }, /* TIM1 Break and TIM9 */ - { UnusedISR }, /* TIM1 Update and TIM10 */ - { UnusedISR }, /* TIM1 Trigger/Comm. and TIM11 */ - { UnusedISR }, /* TIM1 Capture Compare */ - { UnusedISR }, /* TIM2 */ - { UnusedISR }, /* TIM3 */ - { UnusedISR }, /* TIM4 */ - { UnusedISR }, /* I2C1 Event */ - { UnusedISR }, /* I2C1 Error */ - { UnusedISR }, /* I2C2 Event */ - { UnusedISR }, /* I2C1 Error */ - { UnusedISR }, /* SPI1 */ - { UnusedISR }, /* SPI2 */ - { UnusedISR }, /* USART1 */ - { UnusedISR }, /* USART2 */ - { UnusedISR }, /* USART3 */ - { UnusedISR }, /* EXTI Line 15..10 */ - { UnusedISR }, /* RTC alarm through EXTI line */ - { UnusedISR }, /* USB OTG FS Wakeup */ - { UnusedISR }, /* TIM8 Break and TIM12 */ - { UnusedISR }, /* TIM8 Update and TIM13 */ - { UnusedISR }, /* TIM8 Trigger/Comm. and TIM14 */ - { UnusedISR }, /* TIM8 Capture Compare */ - { UnusedISR }, /* DMA1 Stream7 */ - { UnusedISR }, /* FSMC */ - { UnusedISR }, /* SDIO */ - { UnusedISR }, /* TIM5 */ - { UnusedISR }, /* SPI3 */ - { UnusedISR }, /* UART4 */ - { UnusedISR }, /* UART5 */ - { UnusedISR }, /* TIM6 and DAC1&2 underrun err. */ - { UnusedISR }, /* TIM7 */ - { UnusedISR }, /* DMA2 Stream 0 */ - { UnusedISR }, /* DMA2 Stream 1 */ - { UnusedISR }, /* DMA2 Stream 2 */ - { UnusedISR }, /* DMA2 Stream 3 */ - { UnusedISR }, /* DMA2 Stream 4 */ - { UnusedISR }, /* Ethernet */ - { UnusedISR }, /* Ethernet Wakeup */ - { UnusedISR }, /* CAN2 TX */ - { UnusedISR }, /* CAN2 RX0 */ - { UnusedISR }, /* CAN2 RX1 */ - { UnusedISR }, /* CAN2 SCE */ - { UnusedISR }, /* USB OTG FS */ - { UnusedISR }, /* DMA2 Stream 5 */ - { UnusedISR }, /* DMA2 Stream 6 */ - { UnusedISR }, /* DMA2 Stream 7 */ - { UnusedISR }, /* USART6 */ - { UnusedISR }, /* I2C3 event */ - { UnusedISR }, /* I2C3 error */ - { UnusedISR }, /* USB OTG HS End Point 1 Out */ - { UnusedISR }, /* USB OTG HS End Point 1 In */ - { UnusedISR }, /* USB OTG HS Wakeup through EXTI*/ - { UnusedISR }, /* USB OTG HS */ - { UnusedISR }, /* DCMI */ - { UnusedISR }, /* CRYP crypto */ - { UnusedISR }, /* Hash and Rng */ - { UnusedISR } /* FPU */ -}; - - -/************************************ end of vectors.c *********************************/ - -