From 81a8facedb1f78154157ddce9b95e7dff3bed69d Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Wed, 12 Jul 2017 09:30:41 +0000 Subject: [PATCH] Refs #363. Improved makefiles in Nucleo-F303K8 GCC demo programs for automatic collection of program sources using the wildcard feature. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@287 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_stm32f303.elf | Bin 836728 -> 836728 bytes .../Boot/bin/openblt_stm32f303.map | 256 +++---- .../Boot/bin/openblt_stm32f303.srec | 718 +++++++++--------- .../Boot/makefile | 122 +-- .../Prog/bin/demoprog_stm32f303.elf | Bin 738436 -> 739404 bytes .../Prog/bin/demoprog_stm32f303.map | 90 +-- .../Prog/bin/demoprog_stm32f303.srec | 432 +++++------ .../Prog/makefile | 84 +- 8 files changed, 781 insertions(+), 921 deletions(-) diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Boot/bin/openblt_stm32f303.elf b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Boot/bin/openblt_stm32f303.elf index cff695f64ee94f9cc11facdd25b6a09a12ce3aff..955cc6116874dd977ac7f542f44a6a45a5674a4b 100644 GIT binary patch delta 43614 zcmb5X2VfLM`#-)jw|jRKQLw$}Yr)AYc$*uL_nXKxZO3$fiACPioYMTE6ViksuN8(R7$-`DkDwL@f#_zbLOrt2=uxqiDqMT8>sX|Lm=&_0n5Ud-4G<((ag9G@@=pzE)=iIp&;ocrQEa z$&?v3{qu94%&0*5!*kXHE|KP6a1Oevpr@cg&lN#E9nOYjMg$~woD0h|1LbY!M*O~S zq;>~oZ#`{Hy7jbM`Qvy}L=AOXl~$K>R_@q)yl$nYYlFSd)}LBXuhlKMpw(S_;ruc0 zvszT$z|%0kz;$AS85?K$QF3gGEyHB&yd?HOE@9Ptt(#V^itaY`c zv9NNXM_I`O4`hGviDbW4cQ2?~-Q#DD;QHv9eJ4HX>EL{1p;z&0buXN?`L*=CXN{u5 zrCQy}Ga~Q$0j@7HVR2M_-d=CXtfddmNpGgreRr02XD@wdPF&%ZX|tD#&a72~yk0aA z@{=LI+LpJjixM%@UxnC@Ra2L4gV+<(rb6ryCB}-;Xk>BC9IY<@%&gS@Y3b;Vi_Rwf zgVl|JakpL7x?CvTGAF5U^E5k@7aPquW1Wo>>4{^`Zcwt{UC7(}P^zc+ZfNd%*2;+% zNq#$!{b$(N4go6%E_nC4RQT=Cd(lV?xK`Kw>|fz;dImu|&4w_FwanzId#7D@x*(lR ze{a>;s;WT@zLqYFla^{v3v>q?)-q*8F&S-y^ijsp@(I*swFiYMCxz1+X*GKTKhDh!m;uubtD2 zQ9!quNzeTKC9Q#S_kPwPeN=!0RfBifnoYIQN^J;fn11=!zKNkJ0UbG@34Aq)(e$3Mu zMQ)VFonMimBcFKQ>KQLm%~BN>7chg)TT|jihwwe;L`Hg1{i&4m*1ho}xzFF~TLanw zM_mW6%7_5xqxD+0d8Gc-!up)c>rZV_lvPUWJ@ul?!_PeNOwE8_->*XW2V1|zi{^5{ zIV(0nrI%}zBpTd>Z|(nx=H!zb$(4} z=;ogKw7zhLR;QiYJScjv_h;=$)wA9sMXAG5BbK@_`Mhx z^X)(Bb&dRoYsNhT!Vc!2)>AM=F04QGO}$7*pGH#oi@aZW5V>AwyI>@i!gR%1>tKRN z48QwAo1%PBa1W_N2rw^ZpS9u>Menf9s677jK5SiRVzBYBOH1Eu{hTO@ z4RSne^=TqHX4apYeuk>ALBI2(AEW2^@6-IXS*QZ%vC8UF1K}X<{+gS6Pv9qPcj|x+G3aO(;I^D!y+< zT-}QKrw?-4(WYtnRn{YM;!5k`B+*`Mwcbqx~;p6tDT%EzY)ow=$hA+ zD5TJY&{$U}PVbGh;%A@jV}gw8TsR(P%JJIhaNCnw1fdP0>K z^4|y%H?EKL+B!CPtyfd!mblF%z3vrFq}wKJ_gdF9lgaXk*Rq<)W>O2Yb~Tfi$lhVK z-!_w18FF)&H7QG8EoX$+9?FtUMBIvSsJO!;lB8~{SQB3BZXsV1azl9S8!hEsy4)OY zO>QU0$(#u5g?4giTz&*Z*0^9*+9HJ!R!V!>Ic6{kD|O)tOD}%1Pe&va*2$r%)zBujw^GB{oEzuoa!wl z;!l@|?~~!6))MubE?V@<&2?~FiMz!RO@PbD$a2sJh~8$17LNW8h}Z+ZVlrlpbHb}d z0)NO5@%?h8$F5=$QRm$vv)`HC%jx!|6+FLw8wZz0yr?%8DmLYmu3g zlhZX$lrY1iEJ-4i9UrP`c7Z@vR=BA$fH8!Od!5wwrfcTS46PUkCOThs547)F|2K; zys<#O4dsy?`gF|5$mlq>*r|E%SnKNo**|+NS#A}gRll*rO8QQGa2&2JuNrZgG@)X# zs{8k>dzMvKD&Lgthbyi86tafEm)b=Tqujr`4cVRT@u8U34yY zh4pqV9NNtlnLgPS(ILYb4;$jG>LIeFG!v|vAu>~DCs_N2$TqS%!TNEC94PA&tWHB^ zi~jkEP;{?LBzEkh{&Fy`Wu)C88%Wy?H^xpJJExDM`5>_$VMNVVONi)%0aTKc;~1|Z?gKE5lVP@#Yl%6E*yc*3ws*O_)+~4xHw<0-!iB5YJl3t5 z=ic&Ym#+3`V|XjU-{vSyE6HY$^>i-QHJ5J8oL8+$uW?rs7c%|)yVmllazKmw4`VV* zx4+sptH8*=Ju#ZLzJqmS z0%|>Mn!Lr@bffGX)%r zASv>LUjUp{Kx*VXsMpUaAl)n&S+42l6_6cyJt==GpmpQ|D!8D4_K{Kl22fAHk5}mM z7id<~XTe+Fc%#!mv_aIn@Ym?_JlxWvUIV+)m1R9D0=z~ymc3E;K&R22Wi#qZmh)JS zjyge}9xTU4?XN?*C(B7uA5u>*mQ$my{|V*Z&-($SN9`n`4++^(&#>H=<VK`0W9Z5O+d(u0+xG6&H4l7LYDKRR?wk=EEh(-LD?df z2S;`N%@1G@fs&}XWE#wJY1DW0ZV1a2QC~9>Ls=f9xz3PK+!{SAdL)dAy+CUfNTMdD z2A-=&h`6?B zN-;#qnSe#j5r`$WCEE~@2RxN;LbSv*#gwj?Jm}c*FQLA`QdcpO7WX}kEmv$wXn!c{ zK)$^+v0U-ZQGA~hu`$HvNU+&9HwJAUWe}bUD5I`5WI9h1PbpoZH2yZ5haTLjH0P82 zniBHc)p;_g`n90y#}R7rq2jV*b(C&SU_3ums-J=~J5(Pl_#LHu76z0!5#n|j<}Taq z6G7cesJkm#5%o`kn5Y>}u9q3muF`I;_(cprS4qFm1Wk2Ua5DAB-OhSEt{!!54_8A; z{FCTMz*4LbY_^9_D7cbhO`c+lysE5dg+SQ7CGI(lAaOWE+k4dZIXykBq}KcfG0yJA%#J)>Gu;( zq__>gO?Wf=hz*}1d^tmF!|a_2HKbpv^xr^uDd{%+2w<(L-j~x>++f=MlNhZvlBmhV zC_8BIjrW=vP`C4jZK7udT-u&6CV z5;YsBzb*BTP;8rmVr2^6r^Kew-8D+g?ryad`)m_452ylA2~81uIj8>ff04Eaxr$?kDI!4!WP1NM_* ziu7q^N$(c%ZsuGF|gV4V@erGD789||$ zL7|tkK)%~uK~qX-FokZWs=Jkt|DmAN-9f1_toidHrmm%w^6z;ibtowGd{F3f#{SC? zp=W~reW`>JgQ55`C^S<1YOUCSZm3)e_ptJ%LB4R0Q~Azp?mn=J8>y;CYB)T~X4mZF z;pBC5P^gb1l$^xaO!2rm72vNolBl_og1@nXQMTI7Vmv`j?~)uQ=u`~Y zSsN`i+`9cX+04I=gX%6-OS``&52oG6Jh6c)*ppZep5g4eKc=dX4L!Dpk1NgzfVH@H z7@U79Wp;lZ1+hdGvVSTujF9wW;H(5bTvW;udzdhE(+humE$DmBt zmZDt)52<_3*bj`m13v9)s%eM22Ok*!=EAe0RXb=u=*!oa1BD(p` zX=`Mq?AZ1-B0p1ebyCEL0eFb}TydnD3lK5=kOI=Ru%FIY+o43h`iJ#)rEKB96T?u? zcD)Z}Uh^ITEff%L&Y@gO1(@aoq_k3iPYe5zP;1xIa5+*vsTy6!V$SxgIfWQ`Mx#d3 za|g;U&k`!}3_#h?TLKQOf)B6> zd@CU@YEaVBkwne&kcm+qLCjWnM1_*ylHZ7D8=Lcb|YfM+o+oe#mpe?~R$yPRh*n=k%ON*-4C9lB-rorE{Y z`@QQF=PUl%bNV{mfD-pm@sF-kz#d?=n`~#bW7Hjq-CNYW47MZ}^~R_>&@r$zRdI;X zRV~Z3ge^31iB2v2vx9trg56oUOO#+n1neiO!Prr;jzizonvAFFAvzb$YZg`vO6ffu zPilBCSFE*wlaGU+){}n;6tskHRIdvf*1|MzZ>8-#wLQhi>FP=DtDrKs;*3N^QcSSZ z!yk}RMX9T(Uv@98S^EGsfPufiP)}Ri0<`BT3&U_fv)ya2DBVq~^-S5;e=jRHOrd&1 z(=^5WHJFpOol_L{-^nnabOq}=Ou6?6U|ZP;CHVv3H1$kT8kp(kq?88y+5=sVZz;0^ z$b$~@@_yM`vlahQg^8@fjln9|tuqp{j_uWr%8TZaj#oE|N8x2LVB4#kl;oU9tHUhW zw)<*EJWQ&HgKY(psCf^{5+y4iAt}5uC>*IccSTz3XUW0-Y}R^2PaDSxQOyLypyo%J0q2)Zvm&kk~Z8LJzGxh{wYQ8 z2|BSteLKL|fm^ zk!|Idr>vN{V110NIf`{ckhPOy^+#JpbJ12=bZV?ZvHpdsrf=d-ne?$u;q>%)P{QBT1Ga0tOG&Pcv96jYduL2T+XQZqM!kK5+{yuuzZL14c1^E_8>F+C z@&#yNTuj+D-@aLXK3`VI%g)@ENS>cmNa~UB@Isa@xG8X z4PVHbhA*(D0iJYU$dZOHWJ$vpvZUb)S<>)@ENS>cmNa}JOB%jee{i{?kW*5=FJw)_ z7qX_|>xze@z>yuLiFgu4f_Z2AUbvUHcQ9PO(hegw<$nyUn@;w~?M(#UA{m`|u&7od+NUGtrx z^2=G)eJ_!963bp+40TLp+0Z z6gV~xo&H~BKE!V2y6sMX+;=d9tphC<_a(rZ)u&o|{k>2lQLY{`5|tOv!B&zoTP|o9 zcj6{DBLw0K#gwj?{v?yC(iMWf;`RC)n}-xp)>OGNQ{4j&!56&VGOkVDWE55_MYta! zHvZO_4Qd=J_uU6lrgVUmTc#A-f5IbT_cgY zpvZhW=Tno5Ez&(G;=|go3ZJTvPtq4ZD|8PVFDFE1R!}CVkxY(~p-41EDwK#FgC~O` z=NCJJ^182Yplt z?PhbG2=Q)5Q0PP>?@k20^Iyjx#i74q04hU#!?bG@=L!U}iouRkcbtDJLx+ecQbKkk zC-Ii3`bAMdNcA}IIGwgR2ltE+JHwO+CorG`hOS`+W++3)m7ye(?{IOq7;Yg9tAd8^ zaD>!@;2kdBg2EDTNm)lNTNGkVQ1D3zCMyrOD8aU5Z8E{nz<;RRvg7=9(7=a|frlBQ z57iB}SV^5=^^b>CKY{mUrTln6$gckJVD&puM91Tb6Xv0|NCi~udZ7B*>?*o?e4nEX zJ;Cml6e8sh8cK46hEa2p61r6hO~F0-DlA$ke=c*_4p7x)itQ=I_7r>AY^B?7L%#Re zm6#n6vMVuLciM0%TSG1HX|_l*-V1DzM;eJd0+BvwE)3L4%KV|s*a039l=;K)?IYIv z51r3a?aj2}$@NTTN1pytd*BAG#vqZH|>L~Lh% z2#WL!h$Oe7!#xc;11aJ1U7!ez;B;1*P+3;P2-{ionQ~cio)|$+tek_IJFBwVHSV14MJb^IJWyG?$ z%Ac>^X&NWgdk zUastka-I^brnMZ#ex4G=9lNPogAyEeM;;tXirx0-=_rAo3j2hDf*H^^vC+19bp@uL|C=wVNUU`-8L!A@+`M5j{wB3|5HDSe!?YWCHyh^isvY(`HC<}j z($-k3%f}A8aQDA}6~Bb}Shcl&ogm}5`F&sQA-~)uT#mh@Oh#{ri>qKA4Zt>d z7HVQ!C?t#bCfE8_$?d|w8Ew6eOf{`A2Q*O}yU8I+n|#Q=xSM!Umh?7(=UBm6c-?MV zHGfe6ya`~^wbZPr9}!ef!F$NuJQbHj{d+u!#N17$lYj$jW~GMKMzgJ)R8~s0^p=H^FHcE6jnudI*eZ%KvVH6<7?`l&GFKAJ$DR z!HBZvs_pZX+~1U<3#`;|MW$OE`l7~z%vLm9DSBJ6ZY8UKq7o*rJwQr>yb~4guR)^| z8yTIf80$!$cwP{={7Uf#E9fcJ0jv|dQPw?&NLjA{uV<|8wf;6y=9Wz&%bg0F?MWtZmjYUQ zPLO}20@`~E`eqz^)wF8QDfIreljL=-7zoBrmL1eSh4G=ZUB*7eh{>{}eC@QgVzNw%=B|Ws zNDyC(Gvkqu7@+es?JmZ^4jq&G@$hydIu%G5%11>ES6C_XF^?YtqDmo-;c+{v6HZR<;&ZpJ1;Qv! zqol1>7;57u*mbU`;at%F}-AsSUJsJMQ z^`iQ<)MTi~{P5YpPKS*M?uJBZao2OpZ{0FrYzAXAieXpcqHR*sx)G_RIrF+S>~490 zWc)Snq-jqKv~nMmDLv$`xDUykVL-cd&%xcgCJ%=JliLLt8RbT?(<~AL_W zgTIhC{}$lFCzJI-K|0UIrXv?MS3@$Q2b==sW?+sZToK!CPQr1`u`Kjr4CoID@{01v zskl=-tkhJ1h&3kiMg2mjJ{LS+iw90w7gg2srMVvE6k^lA3+C-tSkj8}G&@ML2<|D>{WfOb~d z0$TjKfVPhuZJAok!@wPN-*nI&$zp6bu#|v!h?{uF2 zw!7k(pcmgMFMg*NM?hW)U9ral3WD$-YuL;VBsXm#I!6v{d@3oNEqK7cL zYyE;p$n8$d_gK5yYXv)FHwYxmshkHku@oYg0**}uy9EWX>pCe)<3t&io%c^d^zD={CSz*#8fGZcPC{bG(p zX?`!~yqNERVwa8i2?chum=h>)RL7h~fgK{|0t#%C7#)Y#IZwo!D6n50vVD@6>f%!a zxL<9Y$k$@K0L8|D+lK39O-sk3ejG!H#+8{iEWzbi~;C{p^f zrH(WKpW)gE@l+3{A12ogsp}9tCfALrW6=OidS>dCnCqF07O4xt$E0Vcj)rz7y>)5_ zWK4R$7O4|JWiql;M?e#kYmIgm71Xtt@zfo7KYAGKe7s{#_?O)k)72o}N3(F#v?f1_ zk2_jzlqr5A0;`4Y`M3)Aa9pMPCR|#^|eoehp}R1Qx<=-W=d=P@qK)fz_p8^YPM;&kR4#H?*jq>A@ZR1_Ek0 zqk0%bv4vkj*mo$Lve%;3-EWI3W}zFYk+o$URQ3iKz8%c(g6**FhOCA&_Sg!44kX;l zw9}y^xfr2&0hD8)Xt6qdi$kw~{dcMK6_B!#i<(?8#Q%#vzE8sCu%^Ya(}+`q`7MUc z$bDLy@eB#e$e3_7W1cG7pv*5d(6&&y9Gu=*fG21?>^&;)@+69e%a2=hx|$6*Bk1cA zv!H;<$V!}!jLDsq_#8x-j24NU0GRaK5@SGQa<@pl9YiK0JFzn`Oz!MN&L2$rhD6RU zO!|7Q9D&ibM9eYxI9Q#R-v)N})&qgwx(5qPj}5X?{vmr=FWxELetuM9PgKh7WPr!$ ze;O;rLM94-vd|I*z91WIQHW!q0}4FauIZ~%@P$nwzeTYhpp=Sk0J$_|^hBWx3w=@O z$HD*i zfzdpXFfWF(`x-8Or0VHPM9Bn&C)N;&T zZVc2Z8nZnA>U!WMatIfTygl|~v1mEyvSTkCkT`O;%(nc0M>UV%F5@TBc6)wqWJhi% zWZhROB#ZZ9#JLyTh(6Vx{yh)S^9-1u1ArBY99+X1T3ve-5!JQt8r*6XX*GKgU#uJs ztHpeLiQtie4UYwm3_S5z;K+cnQGXelT88t;fMMx%09r@z$bfOTqO{da9vLw1(K{g2 z+z7A4Fb`~me+q7ABb;Sygk$~DJ%D9rADm@pADm@pAN*591Zz>!-UyF}(x|st`J-$e zes9GZikhE5uxHDoLxA`KVTjomW9`@~Q{)>l)?3I6-vf7l)E}G7hKaKw`LQ@Z<6Qxb zO(LQ39ctt^TK3E~(B)`+hs6ujSQlfpe_WuLmHS zxS0-@G9dD{Nil6ftc36ykl+etZTfL7CfH7~*5=2tV$X?7%tlO`5$3ciw{nz|RVLU#d-84gv~!lbF&wRa81lY*-KVlAcuM4mZM zw~2Bw9&lqO1tj|Xhs0G{(-|Q0p5Am`Ks4^^06Rn5pnMgU$2c{MTj>cuW7~{e)U<%C zU7uT7pTEP!M0HDEPm1Ap@dN9`7g?nZtfAo=L&TRv+(uP~>wY4pu-R^}RtVgR(_`+1 z3HF4a9IvTJYq||+u2D99GeD2|355rM!Cb7`U@_aka9yt0<>^?f^AlLfgM{Rded;pk}5d}Os@fE>&jL|&1 z*~Gi3kDn>p`nExzdnmvbkkC92kmUe1m&ExUp0~(T4jy$(!NX@*@iEo#OU8KQqK08` z<1mW17u2|?prF3jh-`}BGr2M&Z-;6oS5~AC7$#SCWHm5Mt`?DX$e3KMB0oU(fuH3z zk<3)FW#k(uGPzo7-@u@*&4=|sGdGR0Z*v<0t^84cd@+X`PT-b+Y%sSG>=h?g5TEXG z#mQx_II%j;RzoRnK(h06EA3g?&d+BAk+!%B zQQj2AU1$*Z5>W2};9iEp!z`>q;cqP5fWmVu+>FAjEZpl9HluJ*VE*tvgc4>pEi7E8 zdV{@PtmLPk>2Lv`IRP2bmo``8Awqi{HqAu~rv|_u2&xXZl2cklOE@?h!57z2t{=e2 z>iGd^1|autDE=HIEgaJ@?E40To5|JE$1cRAxAfhMO$jE^-Ny%TCS$elY49<*Tlm_x(8K0bx(QcUFMl3~Rhwv%mU zHAtrrt!oh#keQF7$=ho^dfD&}Um52ECu%MPy|*cEv_frEe1-BptTnVx z2m2wsLu!1fqI&fDsHH%1Y1M`U=CsI;tXWr8lMUohRzAlq(2h}8Wxp6&?I?FuR-PcN zTC$rYZyjKJjisB4QCEaKaf_c7$WsDhOp|Ggsx{F=vCjs%i5glx6?_xm_-6wCZH7Mj zxI~3yDJZXi!d83kVoKZxpfH|M#{(=uLD$k1T$PJa>$pjrJH-cPg0rZU#2Hk4g}MgL z`8VY}Do(PeWP{6o#|}MzIXM7?7eP%?Gr&pqi*9W}RWUfp5ZnMTRW-!F$^0*X zaq+{Ui!F9NG{H5)wb^RB0~>9l19*kwPm`bf`2?~Cn@S~j55*2?3yvWCvtA=SJrbEFML>#1oBn972 zSoQ9v%9Ymu$2|;xRPWw~0(TI-d)Sj*Jn z4uQbFeTmkLw<0k~nZOB|K)~OGK;pCPHB*$g_`E2pZK5^*X?!}wTbj663FPC$ce{m7 zK_FHM)F^@9;H}n-_cpOxvGU9LeBeY)Z#R0_u{#(O7b z7`7!pw6`Ljk*V_YXsgXL@=E_P2JCsxT!xFym${1}e$z&5hR!VR1bJU_AAxwb^H^oH zXu(finA{nn1wZOwa`zT3`0WOhyN}3jnA%(LQwAn?3(e{3x!TJAbv_I$nB!rrOqlRD`b42JGSAWZTP$snR8xODBzXlfFGYQ8K#U(6zJ%1;@ z1IyT)z}%rpSDf|9gR)txcv8CB65p`g&6c=@(%o$d*)-lteF%r-cgIT};J6q~N|Fr!n3PLNNtNMz3lw9vLwmXme>u*YwnZ-Tx1$?li_mC37m8B& z&x65JK=ew42<0IxuVNYZq7C%ox{tvVwS@Sc82{QQ=nG>=ubIx-=mL3m@`0fLC75lx zC_Yj|S&UNr>>L8+F+<|HM`J@HW2fR*4n!^OTmv!OAgCcuy{^S`kx6`%(*#dSa8=Z# zW9~>$ia#NjylqK>()=mK_8GsN^P?G^-C z*ZMULj-L4m_UOqEjGnVYF@KkGMX5bkKo-kO6Rbb}jt}+5gV9(o{{cr#Eqn^04e|v* zhJ{N6AK%1a{+NadP}G=Q5EGsFV;b2C!3EsNa)6O2Xc1ia5*7Si4SPY)#}_j(Z6s*? zX^m(lvw$+U1MDNxfru)ARne9_hHI;VA9CZoW4z>M*Rf9SmQ&;S#3@?WKm;*>8+vtA z?aY5jpKeWjT{f}qdqLh&`_wBkOj_&rN>6Qv7v&1W+PGJKV>SP$%&PtDb@_s*%|0OS z5LVqj89}LQtn~L~3+vkV051He>~7`1ht(lyiniW=P0qI!Eq_by7G!*ezj z(L4AV8>QWX_UPKJ|H6qPDsOAS5sx+ZUHLxEnAYL<@oP@m)`K6&xKs>7_2&)b%(&Jq zwK&sC|3q%Uk5O6Ad?H83s?UxCTnr_ClPdXBIof+3WvTtE$;J<5dUzGSUP}i8zem;f zLs`^zGhnvUcH~`gmT;o>&%<;!plip$0y&uYH-Iips+c7-uWsUzxrduT+AyU&ml7*2g0%wcYFt@J#2tUbHg5y)xp^xO9_!TQ_;m5Ll z`xmj*-(U(eleRf<`ik1)118u%@H1C$d@R!@I|qN9b3SAV5AabF58Lppjq}I5rqpil z>DY13P&h{?$hX#EM*gO?;8UFYPe;+&h!TYKPI;DRedfovk}(x6aw4b2jFjYLuWLwb~-5&d&agvn>wIn&a!%AzKCLn&sm>#7NQ&T?GN%fi^|Sg zG2bcGAN#n}K179V!_K;FfUL3mO6|U34&7Oybk-G}l|g55&sh|6l=>3_9#fr8s#W-Rt22bf9I9l;pbG3qgt0b{DHSYfAFQw zc|CGoV4MeiDo8?d9_gLuYUhd5d60CT68WGPI=^rtklK%UZ?tRf-2CmE^B~L!fxDq| z%c4R4*@Ayebp)NefHV0!v%H$vtyd4p_2y^zEe;HA4sXq>IwCi?`Rg3`UA?r!vOJM< zS_P8B!E%+?n)j6~x7K`t-vi@(wjq!2&a59a?QIO$;$asRz-8J;ylH~qrSxMu=af+y>5aJ*g{s-VHusq3917o z8OMT?^VntI2&FxrlN2W?CQe8WkCPL(1c~wFA15VFNK7SoF12=ig>`ApKTMo|m^lA1 zasFZA{KNDf66YY!KWYv_&N+zl57SU2&Ow}im^lA1asFZA{KLfghlvLq)Evb5hl%qK zQyLQIAkIHboPU@&|1fc$u`7g|3Cf&rm^j}sZA9Xn!}*4Z^9_@U^?fEzD~^0T=OE56 zOq@p?0?Q?V#CgNPv%EHtwuj(Z-WdY3%;|xN(*qNy2PRGrOq>RSa}WVe15V?y%;|uM z(*YBw113%fOdR%(V=Qy{GjaGc9YAvEEdPSUWt`!7*XM}mX!r9Hju%J{c@A^O0hT%3 znK;~;INX^y+?hDsnK-N+Lo9Q6GjVh?adb0r6gzw@V>HL|;^lB=;&5JDTXt0b%O@us zveHiC_uj5OYz;UmZz257Ntpro#uF!H?TM4FujBnL9P68T5I@Th?lOA!eIM_Dt_ablDp=80)dY*d61KimHX&`GA@e&G`oJdvIUNYhVRX~p#kEJ$d${)Q@iwmgenU)*0s3)V2UZ@!b+GFP zT#KJQVm%q5Z}eB8KJKr?dq;r$R($s*vfsrqAdSs5JLBX=Ga2+@cK{SfizJlwZun%w@@+&J=@-XXNIS5T@_>+jaPPC`B>&0+* ztelG+M_J!uN=13#gu?C-^Ux8D=f&+GA=@i1c^%mc;)hrK$X^tDSfPF5UeQ0D$cJV1AD zk*6uQR$fgnZj~RAbDcc)5$nHRzEAlLvH}fm+$LXTC2yDencX21$$FBFAC=n?HTUQ87`$-b<|<>*H@XU^7@E7rH58DFN2^tAhSp=h zW&PV;OW-E)G#$TLOl42HMI2$>){3{-g13sPWLYOBGFvakvKkx2lN7j3EJHtZKPcv+ zih&CMU-pd$T_dUbQP&6Gdo=3>pI++k!5$D1_ARbvmp5>YyotTq_dNSy)IZq&qJJeO zrW<);2YrWYTrWBrKZLbUc%Gq190EP8pZnUagg1ydjBfYsLJ!BQ+yi1KdZ{8`t%=&{-dq$+N&b#Gj;P)H(_J&-} z?*A{j6}}p8%I6r0-*q>gxY@Xq&HbFw`BP*EjQQ+PuN&<@M)rpBDm(eVj9OIAc+)sY zEpHhU+2#Fj8`lzh&{)lG_O4Nc7B${8Y8mqPjn%aKfpL&(J~UpTPaheR>EXwQ&NleO z@X^Chjg>U)iQt?N0a5 ze+62iV-J*!oGPlz?M6#oEY`kzG2PAUaEODT=VAu3*MoGncMn#)&o#7|{}7w2|7W}o zSjGNWa)k97aobT`M_oc!$FFDfFW*L~nZJ=|){U&+yw7Q1{sZ)`>IqhS(J{trNi1Et zb}w}dzyi4#)C1azwj{V0H&B=0) zSjiyWD}Lh0su6uTJ|7SR$oV(%AcMR^j9{#u7C%wTGh!?){9SCJxBh3v8OCgv_>B!; zD}JQluOfoMI4^Eu9R3t{(!&d4E^AXS4w7?)Jj2kgl&`Tfu95@TMOMqf6u4RDGdADI z7rsUIlia~d{4C#PsD7746gVr>$hpGr>coJobTy&fHLlM&PHu9=l68YCj;tG9U$Mov zxjyH#|1Z~7M84@d$VPkLbsL@e!1Xen`Or0s;)h(BtnU}Db2NX^b7{!Y5fbD_(0#r z#{NXVhTZRwo<>KH=ueY&RKJo@JFdTS9NAC${cN8){lb1^D~(&|{VK!s)BK%ACcEa{ zMh;!P&p64Be!o%4kUVJI$^dOKz9!3K##?OBZN?~epzX#9Ms|m>khOot$fDd%V;-~J z#?S2NdyEqd<@3f~w1}FVycw7w+>tM`iqTppc%{&Jm2|rITvoZydB&*U>+AysyI9{X zVhd1UlzU=oKTX#k6#h?h@K9n5{(}8&SVA4H!xR3E>xgT9$F;okAGnS@^b4+|Pn^K@ z(iT7CI_}+*xQ<`_E3TKf{t4HKkNt-0B!irl^Qd9^jnsL?F_vdNN&M`y!k{$4b{O0@g6HaSZ-J#tsm+Gpe8aKimP=6eRlXrsT*Ye5V-2W{hZh(*rgAILLo70V;G z;y8#=b`3EjezS*7jt_42Lm)(!2Ef0)O5uZ<|e`4}Zp z*TcH0n?Asr)m9%Sf9+Y@tD~MKV@`l80XP=E|-nM0VMZv(~ z69>$!9=>?)l*)zaIZJbjw2C3aIv11_Pb^wkxnObSys1}NdpeuVVn_8aujtws|8Zw? zYs`>N1sAa|?_!o&gWH%bnwEk;w?UCsHD}JE`HL4$t+d|mVh-s5OS#<|snlqtOJh|3 z(gC^UBP+_S#I9zmq>8JmDhn&8Yvlz66Gx340M?PV#>}>6J8NiHb8l0q&HGOk1BaJe z?r!EXYhXJw&RX8h%=JQZC#CtRZsvr9Q6phHx3OS>btKPhYPIZcrp7>Rr$$t(e|NL8 z73zPHP=jj)^XE;Ud4*O`G^pI#)!ppiuUc3+ZRV2P>652c&tIqwEH58Xu4*!Pga?#Yu zb1D~V;A8ca44S&2B&Imv(Uh6hi4k(MjuH zSdiP8({>4sWc#GC(K|IXx^=XNnGwNILlZ+`hcu(7*~dG)@=8{#(z?8-*(niU>Mg9i zLMvK4Z))|-`ST{vv1#jinwMH#a?R}K3@jA|3=u3XDSY4`EqmwO#dGE~gsT{#t-UGRY%Nn3R!+uo z2fGCZjvQD%dSIb8qO_uT;AQ2tueLN3MPyM)|B-_y2D+#9St~O$*+z{RFtG%_Xf`xz z9v!o~wKjX}mzI~7TMJs7mxeE1gnz|j>6FQfDy^_KW-Y4^JZ3S1*M~g z6l#NuMq2C6M6@U^D8iSV!-f@s(`G7|zpzq;cU09hG~UHntv>~Z@cYj~miiAVN9P)T+|SQ)gFJS1g=7Z_(VD)zy{Ltjh+N&8@F@o3ZW3Osy)IKeuXeb!EYf zO0@stxf(`V;pFPc8c4QedF9l~nM)wHuMdWHhZjsY54v1YJ%cPm7GZ?UtDFk8wf77# zn~AU~bE+reH|+BkThA7l_gN)<%sgvtAG4hYhFAsba36C}i&5~^amhBLfnG6r(QK_? z#IT7&ia1$WTl<<>Z6VfR#Gwu!SfN$SoLjjt5K~pQlKPmb#RE{^!uj(Tsv2nyWVck+ zVmqH-HL`Nyl9^L0Vbu<7hYlU>|Ly6qo%#g?_RC(o0_6ci634+zH1XJhBgUnXBNpQq_J%=B1$i_Mwgs)B0%vdT)#*R1acnSZyo4mSUefMb9RnKymD{V&>V8DbV# zXNH(%wG)P#Le!QPnwzAR@vIqdEh@%Pd8nIAtDQE?%ofqyQRME~>A;$v6+PTc_v6`6 z{Z-&m+=mFIU(6q%vmI zL~w73u=7jd{eAJ*8>{sjTsKN}a5J<Qgs}SYddGoK2GCSA9D)BYaBncNmX5wIDEIf_M+5r%qDTAmpmFL7 zR4y*a+|Yj3Qx#^iHEEKGW9VeHyDc3#6oAuF{%>#yTBI&?R+#y}xagOw*NN_I1 zH|q`Pm4{r`1^DOW$&Q`E5Pn;a)uGHx40Gtct;yptb51HVqti;L8;9XII{|MY!A1#4aaAQjQa23DZy^GwXe*K_Y>kCIJuYzJLhqzkudkfId6n&Bz`OK z>A*XD+$#r~d}^+7^f~8u99Zq4+j2dXfh25_^9jH);3(wgdZ@yn`{cKB$C+_q^h2Hd zQJ|aPZv4>oIJ7Fu_!sAb^$ zfXIQliSKkAy5k%ua`aPb zbO@_cN9s1vlAv?=h&~bKfq-n3=>uUi#_|ov%Mk5nYzHD98~1_cR(8lpygH23;A`4X z!11sECqyR@UU4nQXiAcBl zPr}P9n>j5Xos!2QT7vF4!X_sk|2o~!%gy8|Ec2*DzT%0#PPd_{omPuaca8+m{Iehp>?%Wg1v|REz zhpyNGln*#@k&m)d2gepqW_YcqhnsOltQJ0*4j#U~_$`DXBHcMO?L1@4Ykayx!#{sF zVLTqP$fu5LQFW94rvW;rv*;0F9_a{l$>1O*-Z{19@bS1uw6%YtS!<p`SVxwaZLO-gXs_t2%_i3CbIgd^=1a`>!haYiyS_t`TFxfsCy<0T@-(uu zc2P4xMFO@HvMzS9H?n*rH~vv{Z(Z^xmP>6?1v31shu5Pm(84uO@+Jb~6|HPKvRR6@ z1hl+l|0Y876mj$tWJ?usu@+t@k~a~!8_CcXYa^03)u4#aQXTLi6dy+NfEup#P2NP{ zl?M6&6yIn75mEKW8tXu_#yWnm*c*=I(W8u}d^vEyag<&{nY%*)F>ns<I8w{F&o8e z|5=ese?ew*2K8t->gZL+AWNBbQ^ir;!aC#F8p?Jp9kn_z{MSmTmU(L=_|zU5nXRvu zR*cx?=Pz+_vmZ)L{Gm4V8EJR5~ zsFE&a!EsXvUjCaU^qKi|B>2pKv1FNDT!D<%>3-VA`Iw+OPBSm)e&X;e*5qb32CqyA zF*CkDHujag2NZ7^Gn;o*C~t#DTfvYH4IBx~TOwi5Gix6}Gxy_{t%)y1R&E!acA$n| zkf?!q9TJ8%v(o`I^RqUZnS=szGuM@}a%N@#&D>|BnMD(gMYv5YB-?npmtz-0-M~Np zr4%#YrRJeOU&f3tw04(pyd&mj#Zby@0}_X367NQr)oz|+z9xqgdAH5O>|P|hU0JHJ z3C!^CgT@JS@m}RQsC}!Ca7Mdx+8otPEI-GBr zd5TubtS6EkWtMu|1ZI7M1jlVklENrE9CnoZO)(RLvuYxz%(i|Wmaag;or@XIO*ifm zWGGh*<;)z0@?hnL1{i3M9P?~u#~qT1BAGcAph*H`>#bR4ruFkAH1+yP=x5JNGWV)~ z8GSTfCozdI8;j)9s{ET+m~P`8?R=LlSEw>(<5jVO*#sNQY?h5>#^NC&wEz@Kv`Z5-P@wZ@7&a zh$-~j9EHeuxV$k(2{4!249xiU+n9lvt84~lyZ?iMnEf^bvlFT~irHx-DD&gi?o^2= zf!Aet%&dzlRxsn4_QsZpDNqch%oeKRC}w=&Zp=%}O2tsh>}^%7VD^CzN7EZKe*p9$ z#Zk(P@8yjdh!J?(rkBY0g5H>cm=v3V8IRikH-@qle+Wmz9f(KpuRwwgX0woN6)Zh# z6PWD|5?I<}6PUdaB(SvKCNO&?NMPxoQK&zR{1fFjf)tkCLIQ!=!61R9_iX~RkAei2 zKD7zV4h0D;eQ6VzeH|pQ^evJfMwlNDQds&C2?S=p1PLttW)qnG9we}I$|f*78zivw zr%hm{VLc+y5-7Ql@aqGm%-ul>OIS#ub!J>4Y20{R^LWB$V75;cE0}Tl<3D+~Duyy< z4nspbe-e%O9|4Io!q>=;EAHUK-+6#KmL5oUBR7%YnKNMcLBvxwiWwI#8avRyP!`J2 z;J{8lWS+NGFylH$V->{wWHT_+v5HDYF|?aWpfofBYx!;8->P zl_3vuH25|R*kwpCw;Y+RE%*e@A72`;sHOZdr6qRJi45&+v{B5SN3zYc^qNgz7VgK| zgwRHXBl98IOf1FQ1ZG^QY3w|gVtOcsQf6F%`ELwmp$rX`b2Om;mxppR-0G;bziopV zS92QMXkaJ{WoWQb0}=k53=(KQqJrJC0)Vn zB~>hE#wDH*Gur^}P^dCyw#+DIHe;FN4;i0QjHS$M#?cLoc31aZ10RAt#FsPrp-P#k7{;B!VXmonqG zK0?EnfA(KIz%B|krZ2KWyXa7pg49tBRD5M<|CvE*MK=_CD_MU9IrCMyVgNE*Ww2HM zfDc4TEq4=h+n*qrYipHA2nw@Vs#w9S%EmIg-o`THdRxdWQyPy+%9bx{kRR0`U)CT$ z%8_StH1tGjeA?E^>=jiU&Fp}UWyW7e5!z^Gzt~u27mzSWMl;v(c@!LD#;>V>b;3pi zPa&a=n7yfr70i^Ja)u?T#44Ck!+-O#oL(MON-jm_4{9mj)S%_kP%RDPmaaUiL`O3F zLls9cJB@@lYyTz|>rZD4@s;$du4chIg7|NL0 zc1MQVE!)&!cVwvDvQ4y0l_A5;PldLY@=z`1AzI2qwUmcwai0FQ0Z=kjDK2G3MO=rB z&@LozauiWM?_amqJAiHC$f!(P(J>Ou9F*|}}$A+b^Z345SK>|zP*#u^F zK|+H{jKA9zM;S9mXW1r}$R84_)F@`82An+I@QU~xurDY|;74yZ5!#Q0p2F-v zBjN$p{|y`ES3i=o=_5d&G-7hRrHA(-!6#;0kUSiIRa)jI0`xh8Q3ft^v6dQq`rin` z14tOv%r+yrv?cycDEO6B$Z+2UB7MYYp#kY}tU>6<#LheaRK%vW=B$?9@keM+` zL_2lh;(s9$AG?_QhvI@8HM1$IIFi{68_R5tjb+AfHo5e@5EXV5@_WrRe5;A@GD}CY zdj(5vZ2~iH4KyA~pF#4l9~b&^74wRo4~AFkib%&O<=|?jK)R}LFG?K z2EsM4#U@8l0CZ|rK+E4DF$m1b#|CrwiFYi+JI5^1j$O6(dE1UCYvg*fkJw?|w%&Y4 z?5Lf*!MxAq$M34JT7kbP?ag4ByWzjp=Yqn+Wi|tT5(b4yo(7oo>JXUp9IWL!{G@Yb z+kr_hZGby#WZ)WhD8rnKV9?TSx=TAGtP30eUt4D%V`XuL@wwNfMVRefPz)5~?h>1< zDXgq*6KHpJv5?ZjDv)9@fwo{x#zd)7`+H{IbMNWKA>{6Jo^#HenR&nF+`03{8|v>8D8i052z~^c z0_$%CUkjf!{%$rzIq>W!H)ONJiesz83Ur&p;-76|UQvZ$UsI48qRcpAO0M8_hvnen zC>%&CCiPN(mc!Clp4s!;8kh$I1@KKYCLaS}Tg4~8q$zSh4Xe6)J6(^)uEo4Ue@F zyA;6y1|4Xq0y$JVD;re8eem~#^_{5&u-@^~*Gdr6*M3_Y!Ph$d&Bb65r_TE|)D|dx z*J`eIJ5#w*uFPC*Lw`TG!|9(YI-iVF>)LngWhk}GqE34+B-=`O0scJvbZ7dpbFHcZ z8LOmDt52a{0qd(=3+-CROMh|`*Ml~opMs#v8T2+4Qa36`XBE7I{v5CmSQ$Fw^%j8v zu=lst@d`i*yR6Tt)5>YH0l{1(7V(jWLLNsY@T`KV=>Hzv@AN-(yed!*&-A;{zdTA` z*}TMel?RFvd1mlU3}%D-5m-R$i!)C%S__~yLM?aHtIkw)D|N>Qr4sH&|2()0WUfAT zy!4gHleYA=H`o=hAH6o^j51$OqoK@RDF|BR${Pg#Z!|g_ud`;B!>X{?Ve#`F7OzD+ zd{FXPx~zd2Eh#83nD|oRA&13}IGh3?f8_P`o(sc+G(cE_O6l)-(A`9(C1?ZgQ)*Nv9(B2{W(4+>W7N~?TXmX$4VI5ogw1^B4L;8$FBO@qgZ9j zOk3W@lAtmy>*%of^lFNv&=Q7-Ubr93PZGlk@JyPk8>Zb!IbF+A3+Jw~#~?)3TrFVA z8hL!QaLKSLe9<}3_M2ABey-D3zzZFg{in|UO})R!iaF3?r!33;{fNVI_^88jxZ7df zHQ6({Y;hi6;p{KtMGjxqmg5q%prJ04!(J9Q9C8&(|Di7xZ*AwRpf96) z6pk)$oSzq+u7X$UF}tgTucH4B_(rF%B&6}uS3=UT>YC-S_}(VY4=$UVf^>Q*Yv>(J zCa>bLwo1>qC47s3g!yQ6hBk*c{Us3Ed_5mexwC{bU(bTH%X*Tuq?fJ<&jG7>GRiBoPNuTGJe8BE5Rz4Hk>!k3@QVV0ak6E#XC_9&BmGE2Yc^RxFWxBM> zuM%>`AAn2DoayT8#%>6vCIjUjt4?3J0;IR194vW{qwT8W}Ea$uc z{H#0H{zeZ7w=gtz=I0H8<)S6L4=?O_-Xv6(TKEXwiVbOVKO??{xq`xL1h5P(yIpH~ zn~lngtHWy1OeTV!*96wE_)`w6#hOHVzL!a4iSy+^n~Zt|ZOUo5#`d+sHzKI;PZeyP zkXhXfr@&jW7WOwQNc!^~RzO<8mu;@XVKJ~Pw%;Yck2K`D;ab6$^>V#Wj>7xcQZw@{ zp_4d-)!{Z$EK=RMD}Jx?(y{k<#Y>fXAGb{zOhiMkX8ok9*>qs<<4V{H{tAcQqLTj& z_;BgJEsP0!!0VX5nf>p;!(5jYp!}TxA9ViCf_b-b+A3B2r7wi9h3_)k=q?RDM8QfM zQ|-Vu2;Yu$?f}kK*~^JMU5>Az$K7@EHzRYj+=i z@QUU%$C3VgaPjKiIJ5psYB8`SeHLUw% z_mV!IC3Ol*|84l2%H9)x7d(SYEY&6c-{4l_*3+~2Pr-v6C1(Fc<}3r(6p!zZKbZIg z@#|=l9uw;@XM9mT5W7jq^c;xow_MFV`0FKo0eV}=2X`CUjlYB6APuve2N9WH2KQZ4 zJdF8-9SqLt(vEd1VW>E9Ag;N6m?+HMVZ{2txJ^!V);`Zm_ZHuYi%-=)&FIW9%;4Pe4 zb}XeoXp%|?|F4JWVN|F!g?9^hV9K$x&&B^%#{BT!2l+MgO(QJx{OEapA$;cfc*C*N zBXL=!cw!{Z7`JN8n)TiHgnQPkEmn@i>0?*^l;36}Df*AZP2(T<+5IBdZa{GSNW8mf gKf(f{DMw@bvAIX%=~!tiT2IDy(ugxo#s$^?0e*MezyJUM delta 43263 zcmb5X2Vhji+CF|}_MF{KIN2nm7eXooq$Cg!kdj6S5FiaiL;)cPNC}~ce(0j2q9UN6 z2QgPr(d)G$9})|C5zEDfs9;4!#B!}skS37-^UT?^Y;w!@|3zo#?e9DF%$b>8PORvC zVny#YE5sw$-SW&W;uRqtu|`SJTMja;>!r9g_7Ou%@BYu`qU*Paj4?--rEASspSIFn zqSN?_Q$=O{%CzPUr!vaM9M$r)=1WfBenPLj{e-6w_yTS1%(5}fYYMgI^Meu-PCK&0 zPJ1$ChRy$-P=zXlW#xaR`EEIe0vi9o3(naIqbCD^5XZ+*J-*|?tP}^`1}^FdC+OCdHCtm z-+7BRds=hJSjMiKQIO0Uh@vk8T-&32f1Vexr1LBJyMj@cjPHN3- zPw5pRD+>;H{;464g3~Q&aLuwGJZa$bXGPUAU7!l!`%w+AYSyYK zks5pWl#YU>JonG7>F6yF{i!wo?@8;WC{Y=&HD3og*8ZqJ?R*S!tHKny@w(8`T_ewC zep;V6UuHgdqBOmJm@ceaO_3~-KWvIL=C7Ng7xPmAdVGZFM0{|BNcFcIAJ(#I{x|b; z8tNK6S({Ik=Ji8aXt^JJUe)5O5IH&ZEm>dJM`dNr*RrP0Z#mur8ZE~MwH(CffR>#{ zJn8AseyG8#^tI;HGv{m=Wu;46j>ol#e#?tppJ%4G93R`#??rFLtR?r)PVb<(nvb2f z4n&B=uC`0PY$(M4I4k#u|bmDXQa1#wZcLf7)IsO{#JvckDbkj7^riiw`XU@u?zdz3Qdoglk3o2Ep zDxI<<|2eIB`{^~x>bKxNkm?z^zWZ#gxiR4C0EknU$PstUZdbZ?np1Y~Get8X2)UJ~ zt%E+1n9Y#crH7q%g*foOXwz@m+H$=5td$xmQZq@BBNLZsPYblE-5%`XH=HO5;MRml z@r6z~>*IJ)E9$N82_j2GT2%=mM!tB)nw}ui<>P0p6$zq1-f_lyDFH*Q+{#ZB9mQ&^ zDp5?0i#y{QdGCyv=4JCv>}Ay2G_A1QdLvO>Sr+w-UbZYFtQ<9aa{SX7Wo|(KIJqoC zNB-7HE%)SJk&aH!^mnaPE2MmS2BmhKWaoDQLKi+2EqYApd{Shjm$e+f`J{V`BVYfF zyDXxtu#4-HMH!K_`DZR9wzP;`*WRl#+JUm`Pc7R#*m8XF>AXu?jz6e4*DL?e{3!}H zZ+&cQWAU#a)WiQe>z4MSqx|)x^=Nxh=sR{&%M8aDi#(_`?>=drXfM+J_n@A}BUK{R zB3*>!edgsOURTX?t{L|fhwUvqp{HOnYrq_IQlw*&KvMbNIkS7p&L01xr2yS395aCr zQ&HS|T6W68!80Dz+&{X!7nEKyqviMye|9M=L@~-v=(*wVjXRN@_m^Vtd!j(IddG@r z{p|5CTCDO|F)*wV?(v^@lXZKn7%Er&Y3+*@<;EtLmfmP(#EFpxB{o^}<3!JaXOE}D z^V#ElTFxHtk7~f=mmP(QN%_*XZzu(fQ2>9+1l7M-p8%gv5qi>5Rz>@ii-w5A=&BJ;R+nyzV5JL9rMtc-7p$&)|1 z^%y9BF)K`HbrTvU{~XJAfjrikgwvYnPDA2&?)vxGWKi26`ww6l=NE1 z`pI9j9w#wZWaj1N^~sm6Kp{wcRRfi@rb*{ZA^ZnO93(RH^9u8v3Oh~OAx-35Jh<@U z!H%PX2vFAwA%$(OAMpo;5JeRwgIzHrq+!#ik^Y_#@i+F($jWeCneK{CcS2Y}-tiEg z^<_WVF8Xnj8>PtTsf^=+H>p65w8jsR_a$#5FJELjCUy`XOx&7KAb;_{O8fzr$jHhp zugr29>@caHxiG&5IzclDXIvt7P=-U&eIWgY3LcW5@6ggoyUh>@pk-uaIpji;A2mdd zW50rg7a(W-qmT4mxP<5dL&Ofrmma$s){u1CEi#A9>Y3#bpC$3}aN#o39ZRp0^qE(< zBGZTDXAPm#fQ6rYR=2(~-Jcf;iDpCO%^Z|HGaqeiJE$jlwOf&gRDd2(+d}%yVerx8 z{z2J8W;*m{(w|Ut7#cDpf5;f*GR(GC5d|(5bcl>xr{D{tv>dPN7DODKo*$&Hr0`1D zx$0OSWi|Jev7NS&aT3F_Fe6w#l5UY0-+{RIMOj_Xm;J1@Bjt~BTeP*TLgq@dz4d5? zJYUAfSYKDj9`cbGD`u3;5wol>rA)SZmdcJ+x>v5WZggP|@C}wmtGCQpVpoxp2~ zUb2}2yEO;|y6qMcdSQ50z<9| ztX7EE^UyAjb$pe~uX>Ck>l8KHlSJe$h2(nPr~KUt>E_u)j-`-1&v``FE2O|PgJSn6 zq@U+jn7wMX%$-U)@odafsOQXz?+Hz{^XA*r6UUDj+Envq3` zGm4n&Sx4loLb`c25NT0Jo@PElWlcYU!bQvhjCIM!y5^mR07RqjIa2;M5Rc$`MfeWw z8hIzs?Eo}iUsT>tcgWOYAX;=Z42x4N@<^gLa2#_9 z?2NcmrWHTe0-aS$(T5B=(2Q{a!>+`K_8O9|{Qxk~u|~gZcdR88#Gf}-)Beb@4ql42 z!I%%M)0fKPE1JKAz4*rwFx;R(f5oS9jFH6SrBM@=np^JiXmThJ%}Yw7hv0IeqEh4| z|7$oMFEWCTPyK2;UPDRzWyNb+zdZKn_goL+k=bk#fb{c;cFbQ8Vf zmf5mr1$&47soVi#VmtN@{WB$zYO;6e2NaU7g)JoZh3tZ%5gw(dxw24-cGabonx5|Z zfUeDUKU?}7nREW9KS45E5xwS@L~;}oZob$Iq?1BS^DsG`72?yv@_)7_&yn%|JwKyD zJa?g3((?&mmuDB1JSzYjnrjvq#sxj$+xPV^ki4FrQQ4@hdq9jFNcHPkm=>g{sn8Y6 ziKcT%`*uQIGy=lPmpVJ36J0@}#tsk=m440l7VSQLPUg#?%+t1v)_xW>zUD&FHq-tL z$|8Q>3qq8#8#94%9HP&FrjEnl&+B8=tmbYV>esmoNbp(wi{76DH`>B?SBpP2q|4l5Qk!YPpWWUQ z_}j#8$+jKjve5I^r6e*|zljswcl6>jVK1+we; z*HOjoVlD8m7D+UQhe5eN-MZDdzALEgRg&A?R?0#s=TIcCjq(*#{+TtDr<4x{m3t{k z;jxxdc_x)71eHsb=eMaG&zL4C<@4}3vBRFIBr81D=Tsg?c3o6?q?mjB3?zK(LT6p>fy4N;k3_5{Gwku7a*LrG^9GEc$ z^uQB!?J@howoJ{Bn)Y+5rtR;o9&C|#uxa{t;&YTTtO7e2KP|Q&a?w}H+76>Ivh-Eh z3&!+muH33))uulTq`lesgvYx6N;y&vJZ`;vB__MF;}Bi1xLvid0(jK#(fhz*#6+W4 zKMVoi@{@Sn6mXPkzG0^T_aUtNKKl)DU&3DB`V)Z9Cv5s|B;1d1lpOfD z@BqSzz8|T30bswD>MJKQkc@O+A37LBINR5o@L<5hY;@O>rX?4g!6of)GZ=h z;QNv?#f1C$K18vM62b$0O|(-=xX?F%0SzTw>gxktzfne{-1qn&U<@N%;Y+4aIpIp* zdO8?RxYlF2{GwWQdo1P<@$+z(s61B-y2cYWp&M#J zT&{%DmCzXqsbXC&7_O*He8iR^M^q)LXlAO}{!$E2cw^NjWTAb;jWFbQ78ZD{YPRl; zLg~U?Gbg{h#UqH>j_G0wDR*}}?$m_9+{-+xLPt_O)`mFX(nB%hMd9qI2$1kv6wdX%4EKVTJqr zYSy;hoFCNj$v|bQ$b4$~%hFxS#>@**nH5yYYo(GGRN2qws8uR%S9xp)9Zt#*E&jS zHMQ3DF!;AoDJ6^re^3#22Nj=yVzP?qL8bV(vhV+iU2?yQy}fd9DCl6n#;$Ud=qR;kFo{Yjk}nDg)eQHd5T$Lw=q_@UX3_9P`VNc*El+8k;>2@>(y|-z zyP(z;0WG^sSLjY7zQEug3Q-x;d!ymyj}1#wpSxjP9clmFI&hA5jJ6ue6)>qo9t(;C@#+c5J@} zb$)kZd(xI+Z2MJg#cVSj4-GtLkwjy5gi{T=JJ7w$8I10x(lMnp<7gjH5<|2u3Th=f zT9aAoM5UDgu9oohF{|hXXZjoFh~3JFhAA-|Y6Ko|!`!MOFrUB3`Fw`*WEbkapr;v* ziS}ytQ6?Icr?=PwKP#oas!+8)r%*q;{ee_AtTT7Fot`{R?pBZ zk5l-OWS1%%cH!R+*dR4v;s@4WsWP#jj#C!1r_c3pLt-yxQPQv%f_+?j7M(juX&$4c zmsrTTN*C)NwUbe=6mjZ-A{?Qm`;~i+e9>4AvOPrZ54g8Y+#fKZrF?@oi2NI6g8h8h zsielxx2o-(O6~#RNj+GfyA=KkaaEr-eu%iLe;YSZc00INJT9zTRHSXsT#pqAUuQAC2N(M_ed$j5~rOBnAe3N>~{u@aQTvy>2( z2_A|+OS-5^)QCLK(*6{U($&vf5J1~uY$v`JSMPazr1b&=KH) zJ;O>v>w;C4qAD+yTJlz6UbTW`rHRJ1aG!#(to66a?CK9`uES4w_KUuP6K*|DVeM{s z0D@^M{=R|*I1bU2$N@OD?W=ZGL{&%C3;QX({1_U_gI(WBbSb4bTIp3P&Zit=lawd~ zHQPlchP~}#k`kQ{QQO6&RxYL}y~mWHi&&s56`O`QafrtM2^zXm8S3~ZWs@)FM)?ZC zBWDx;FDmUYHUz@Zk~8Uci>gOFvtcR|y@{qswcFQL z*%DAmxyI&kBUe;w$q7VvD+6{cWBox3yB!OAZJ~$hp-M}B-9j!TPsV#Fr_TpfgtVQe z{lKZ#P*N4o<3H&-fZ$t=Nk{Sll+;x8hf8}vsNqMIed!TCcW-UuG# z=*JXdM(`jnY-Gr0N@Q>|MN5qg*-MEG*-MEG*-MEG*-MEG*-MEG*-MEG*-MEG*-MFRI)(lh z*i4BG*-VM#W=dc$B{F0$B{F0$B{F0$CGy|wrR1VDqp}2A`b9QoEs|)Ae$@p6`$k|n zHflL4vi?8T;^R#Z->+m$-zZ0yA=u|y@-gJQSaREmA1#2zEz zZY9R0m1wMiSiEw1x9!popZBobPlgyZhI_ffM6!XC>)^igxkw4kT+6@H`gRQV5<<8R7}9pa6!61(J#qO!P81@#_N zdV8stVAA<($_>y!|A;BWkzYm~S~ZsXUnu!gLH&aYPvMJH%u3EGXF}YDVM33+hQXgv zVnZQjcbgW4PgiQ$te6xvo7vG82Gvs33-TJJ=I3i$2Ss>V>2XCNYF*D_UY-bT(fAGY z;lT~XI z?jb&&0v9R=zY%|ed>fBSLZu|>oTy?h(7o3D>8Qj~RU7IZwkP;@fGrBIq3?+#px zIY#U6C^dVm`ngjSe+$d_j*{d2Fr7_?Lp}W2tD^xBimlKUmECoTGS-K6&=O*75^Zgu za!b(GCDe*U<2zfASY8B1S){w4P&;B;%=ZkSV~7-wYwWSu5mM|rT1?(=$V=R@xL%eD zbE5GJ6&7ovM7_4$gRpF+5?iTCi@}zeCT1$jwo(jMy0LxO4l|(y{~rXkW(Kuh!E29L z@5cT_z*RZ5I?>j8CE{s#he_nAZTwVgfxN!E4Fu@R(rdfrvWT(3A zY{0uzS?n&FJe1M=)zdx@r#*A!VX*@w^%>Sl3iT$TwW{w3Yk4%7j$&Zz&mtc1$Z<1I9GtX@!;WK$6lKD*ihWQ z6yE+X<3hu=;LDyDXqwftR%TldY?3K{4vTKQring`uDlJO?yD4$;P;5jJ^yB0iR^YM z(6ElUs#Fc(=Ye3=o=4gyNHe*zv?vr>*Vdei=u^-c5w6y#zqH$2kt2k zyk90*X%nT#TDDQ<`X8g~rPvDc_Je|Gd<38F2|#}*FblvL0?PoX*Cyi+Dvagr(R46G z<6g4YJ93)f5)=g6K#M{!BQEnogjE62&MdR-QpdFH6@dt?R^f4 zOh&fGgA!ef#M@Dz!skGm#cK`}uYUoCahY}heeweTcemk!X)bTD+9Tr$dfE!~I|ADQ zut)}Wqg0WMmjJLx#x4Lc1YQS_20+uVPeBWm?gnmmCuL#}P;PG+?*k|x@F9R91U@x! zyFp#haIZv&Oft*84jGdx(_Mp%NzZg&f{e+?bk`wca^K))wK91!-RIiZJq%1HJ$qFH1qz)&YbPodIb92n1^ES# z2el?AgZw(kxZEEiZFUVv2Mk!Z-YZkfdeYZg-aKYJxNCJQ2@mq>aW_T4ZEGzmEt*7v zT`|t0XV=XIdNKIpfQDB@SU=q>ll&8)bO16ZY`=0LyjRIZDDb7=d`AcE_2Kx8iKdhH zXkZ#R*~mp>KKQXevpzl`<4Rc8VxFhqapJt#Yzy*Yfh~BFjJqiqhtYvOw^YGl#LLe1 zoN+Bgy<35*la{cfv|gaPy9*OUdi*{RnDkZgb@0SwWW~GDx+-PG9{`id$cg_BOeXz~ z_!?wP?i^fX^J+{+cKonLkeJ-r@r#f#>1*OYM#iMC*0v%zU3(2%Ux6-|15fON<$*4E zs4tq|+H{{B=;v?{`MjHL7iAfAjpu=G1fc2c`eHDzYTIm5ja@(=CENW5CNU<_*}Web zlgQOJVglE-Ts#f}{@)MypB(W2!}*%Fp`4ST)d#a*f0@t@-92v-e=Lci~(1nnk8?7oEj4EAnAr zJOFm43ZPmpL?4Ul&-(9X@G`y~w}v+gJML}<)t;oY{1yLNEG5@Q1*S7IlPe79oEEp%l1iyg%1cVqWzPr&#skX8ZbYtf$ugtVx18ht`<24SMA(nQP+cBD@z zqj%BhDaWWAUp`>zKXi;`YSF2nEoRkZ2bf13X1*4i=Lhi$a6PaaBhX=_*1lgrFc6Lw zbuAm=Tb0NkU=-h~C|+h1CMWA={ebFw3KTP$&Lo{$T z_$y&Ri<-}3uN3^=h23L$E@vyO6zqAfOgv@OgKwo+0*!3o?bkuvfpj&i0{{fZO;h_d;*A*d^-906%I_n=md#;L}1zeYLJq|Cya;}`#?FUWLz+B#}TjM z7z)3!2f@xmf8!OrfNw3jN3`Z;|Bo&Jz&;y20075+bRhta@945b{OcG2+PO64^sf-_bcIrZyXVP<1 zE08hiIjQH?)TvwvF}YT#zN%~AMh739PncGR`|)iWtP>yJD#vvj;6qg1UPsuvXJQ24 z?u5Obr%3NX7)!i`$YhIY<^Hei&^d~nKDNe}g!|eWH&gq3TSLbAtl9sI3#Z#6to8pZ z`}(#~aF}9x@a3fg|0@SXZlJ=brD%d>B7Bo+n|r_1oaM_Ajo$p!w-3}XUb zmNZ`r97y3m4FS&(lCK*MMa?sm@b!eTnpnvwZukf?kqxA8<2cxcelVW=#_8x;QKu-g zjSITZv(T;blpHytDq0zShBtskb@WglpGh`W(|+pQg_>xhpM-3D#OSmTqgI)*T+WFP z&Gz=&i)c(oSB_JLKcBIV-#Y@GNUW*wIZN*pDw3S6o*lXI-}CYe<85L;!XW7?W&o)sA}A!_dqY?^~*Z+uE6!nPv|Ug{gSYA z{gSYA{gSY{eu>+cgq_=$gkv>n-@c4xkDY^3h{Nax7i+2b${Q9~sL!9kvEPagvxR2l zpv&fhTZB4z20UD6G)xWO1#iy|u}q8Z1t#yuzu%UL+K(9!Ykm2kOzgUyuHI#j!vS01 zZHIfYwx@Rq-a=2~W37zMGDTh%YYpCvis8$O7|k!_6{zU$gJAp+8mnZr$U7!S-w9?N z{I38D!QtJEkzE7l8^DEw~cG38*CT?dWMFI?lL`X-=vn~xfr1?rRr!n9B!-J$$Jdf3$62`#%WOX{dN8>wWE$_=F}bs4 z8n4kYxpQP1uk13p+sQOuQDt&R%8t%3^$h6f3{!7bM`r^mEW4xgWDL*IX5!hZYs+y+ z5*WPu@ydVUjZq$LNnrHKVq8D3;OI?>`?v3fR_SB%-pl^`HY>Oim~W>Mv^nzz=W zPSKJWp&x?db5ZE9AlwMDPrWA|7PNF4c;u6D*5=1$C;zRquvD^LcfbO--t2Eu*%p>c zTFC8;cIrmSYgrds8Sx}~@Jj|ijrbSn4`P|ZuP3es!F9^=cHl9u!+eqlbIjWSascpa zIDY)NiNx5A!J(EnAH=~u%ov*`#S?y6)9Bou~=8f_Ja5UfdS0T8Jb5wjw}U{Z^||vaHmBaVafWal1!w8 z^70vmj_sw7@;?q%?ON`mylf|~9&LRkW&Z?jucG^^Ix0k!$3Hoo1?Z;~#Q2113-Czq zuf!LFov@%`swM^~d>!!EYXk9Zf<4B#SXBwuo_kIPp6c@hcQ8aFUty7$uIdTQoz;o(b2(Kys{N6oc_5xG8ET zJi<;;)D_K{tV(=@1-=7#s;c%sDE%SO*yIGw%jQ`QONh*HHDbu4p7{z9C908CM~NEs zCJ~t#kX3RhaXOyxZ_;^t)!z%jUJAQ-^TjOUQ(?9Ds}4TkJg2JL^9)J59eDdNs>Uk* zbHw8~^x_o$1@TK+1My0}KlTpVtCmR+)1lu$d>!=@75*3Es(UA?P~HO`Q;&F(@a;V< zb}=CKZyxZm`q{m&22FMEn*gZpy(+<~+9DJBJOYC1%pLl&FHBYzcYtWu`P(oOzmuHH zRBZB&g^|Y+tiNo*I&1^U8l<|hpNi>ZF8&t(=t_VjJ7U(T3+ej&idIg50(rUTPt%e zrQfy*Z00Opn(^*(AB690XZ|&Ea`;_JCU=I&;g<`U+yg}pzeC969wf4zN!*i_!>`ma zxpPDgzjevv&J+bX{OTW*J4+a2ofqH@e)V|Xag&3d*; zE|RarSUsPWIsV-cG*-*!AZ2Rddx))(F99j?8clB4i(dRHeYPTS zLpowR&9FfX6S^M=728)Hw!pkZQ5Wr`R>SQpRxc2Uwz5 zbH*;WmnOf)hps)0aT_RF3qn$x`RH=|n~XinnN@iAun(A!m2-pA-p}Mnl=gn6%ihoA z5SM{X%*vOoX@8S>ex6N;LVnNR3$AE<9G`AC&{d4U;G3eTtEL^8~gB?a($6pjk zS3XyO*e*McMC{yZli<@-)1^X}5mi&ubzGF)57d*w0B@k)exMNx=LN8{z}z^__kIQz z9=&Q+=Zk|q{ zYNIjx>Dt_+VDrs~2%9fA(0nUKYc9>K{%@;|c7K)U`}vwL224iWA#F4r=t&mCxSUlp z1`xI{*o1H4Q}ulv0brwn+mv-|d;SW8EyC4_SIwh!>?WOip!QWA)^Uwj4%Dk=_c{uC zW&#hNn`G_&E7oe`EfH5#I3RLlpXixTcxUNuO?=eHlMLQu2a4&pPm$eAYVq zj%;Ubc?0LKt6r3At>!&4tZBebd8N^m@sbRa)~Yw9X+8fUK62iY3y5A$@$uHLdu3MB zqTO<>AlSRx&QME~{{##J0~q>8qHAVmLp=08m@WkH8;iLaDvY!yZL> z9A5!v9rKTE>HM7rAho-qtlSS}diWMdrGtXsTB`m~mUTS{oRci>ny8n%bbK){4R|4P zyiTh>&Ob}t)~*lbwAjKF#~)dnk?`9~13r>z`0XWY!bfs(I0mljOS?VRryt3ex8Wb>>UvyX@+k~`CyByafTAY{qRbx8mq|Py> zb4uwPK~kB2w&UWVjzQ;K&pE)er8Mnr08%>q=&^H4?cr)i&)Iu%c1HZpc89Y^;k?>A1~|V;t#Z6$zEW-p$zIq&3uN{!9 zvpI<|agxGlV2H6b_WvXsnG}J^X|RCzreQzzLH(jIYZ6GY~q-A za?ViKA}O1>oR2scF>&s3q@0|z?MT+-&*d5~FK2SUHXNrwsw9c zbw8&Xr>Y2Z%3rwpdMk$57;8H0&42GhPk;(>ru0K%Lhm^ec)afV>xOyJ0+`hjpt zVB(Cx#2JB!GXfJwzN0|+c_hvP$#|#dEWjCn=|d#W0({qZ+M6(E04B}=Oq>CjI05+i z!5M&wBi(UCm?NHvBc6#Po{1ydkt56z&QusklaV`o!W_s>K!iD{uF>G!ZWNZSh_38=edKB=WBerHi|HhJdfy5^;ySHS4^bQ z<OK2h#+yeY)J`wfm05+e}1k-O&rK6@>} z%wzPec^{wsulN9;16SgkV8)PAQi?D9Cq9Qh`#C<#$Nh-Uk={d=9!Y~qcqn?d!y-~GB_bz1ih-W;#-lTl* zY4l$33kqcP>AnY_eXA*d{@>`m->dZAUw;Lkg;#!$-;*$kPExJ-J-RM=mvIbz@e_QO zefb(bhfUm%&*8;1T5+6i>NcP$4gVD^*bTx@#&U5#ilKswc#^ul-PDSlfFKn01@SVY z-66jD2-%Bb*_+5-5`$j%BmcYb(bi7!GmHJQC}Iq|L<*YJcts>IdsV#0>@|@`-s|EW zV*e0de2;9mI6~qZVkwQjDK2J2?~6BK%>9e_jp5uTM^a>^e1}ooF1t{Dl^pOf%fDK7 zVu9AkzoNkn{Px-Hk0Yw$FB+<7}ru~NR@ENm;^w>sLP+TNCRD2`s zuzc=o{$$mx5z{e3+;<8+9Gfxs2@krDVz&v_uWHLUC@*;f;@`>3Uq|-u>Mjtws=_AW zWz{?{HnA~Y6o)@Vwo}YQ_=+E$##W8n!|=+xevHp)*K@4Q8qQkVD(14x&&uv^^G98t zlR5BdJTD)h%@%MRxhqd{> z5l(9#7$4K`J|l}R_8SQt%pV%tI5Xgo{eSAOG8x<6#BpyJoYP|6%OirCA(F%0T|YYbo%-x(hI z{n0qUq8%~DA42x0F_a~|%{`a#u5z!X$U665P_wEfdaeXyGsjMfUImu0<^G=dRIo|DEe8_Ruq~I2FBXEUW7}J&MHZb^k8Ly1QbUvA&1h?|?p^&3aJR$vdpS z$y)nfPhj?w-pqKL^(w}Do$&=jyWW_j2Ix9t5!+zBaRWQby+#>(@n4K*Sta)y2U$T6 z8hu!_zZ&U`=~3em*2Uw-P}b}g<27bmjm2!3ZN|&Yo;3;&BYVLZ%39xHM8UKg65fR% zxFgy#@Ti(zh)R+BJ9xRd4wjbZB#NhPHuj1}~tIEHG2pv;PIx;Rz8U&I~9zM^hi ze8VqbPb~ixpO>8exhXnL|3=84Q=3k7gi!hh{5+R#OnLivu&4k14}8wL;V3@mEIg)G za?hmbldyjKCc7ym2lDcv0ZlJ;(*G`GcGo5|1{D9pgK42W_cXLdO>DvE=rQ=hh%x3% ze3`|l?u5;4qvk?<@5LDRSA1p4xUl0Hz!#4tcj7-uzhnV*CtZo9sxf&3xpjBb!t~?# zl8>UNi-*rPjf&GvkL=jX z`n=wbX)^i_(tG`c zPeqr-);wMB*A&xB|5jXZ8hgG**J^%t%i?E`53t8;==!XxHE zMwz*&ya^4LA?4&zR=<3GWkNbOfsHN?@H4Lq_UDzYCbWt-7RSQtegs zb)|LFwVJCIEUcR|eD2JJT1n;D;iXDy=;&&#ysSp4^n!}@&;@3WzrLYv+RVlI)2B>b zIIls2hdGO8&(=yPS6qs3m=~27EAyj9mBD36*|6$>^^$oFbtSXsO}%1l{j@0y>$K{j zHAAb%4K3BiRMw6hdeOg#(Go)_t0<}|4@TM#@%JLRh#rTR;DbJTsn6b8sfu@aH3F$A zC-cJU%4!Xfmxa;F7R{ZyaOS+ZQ)Vj->zjOYpw+3DIj*R7 z_^93`6(c8=HPp>tR5y3(RobEj_*Vy(TsCDvoi?_py0%Yi?(kkEt>vwodYMD4yR*%l ztg&dn+DWCOimL0T*45MB(1wP24JGsDPM>+XRtZD-*45q34r696oHJ#?6`C!t8q@|y z&6`%IA)@@gt(`i8iz{c&RY4WB;#+2Kv$J(uj@i}f-P_!3HFUxcq<_@g930Q?!@g8m z*D$kwMs?kSx`ickrdhEC<{)c(XEV~OC@{;S;jve1gLf2|8=_Iv{MO{IeaxozjIVX2 zG#%_?c96a{HjbZfHf9!;mgKjlt9s~luD-21`;>S%^M3}Ld}7O+*U zo&C*R>$2`+0F9gnDJIcj@c=3Y)vtWUt^C=_TNdv zd)3rbmst0AHxsN=S!SH|Q;vC)wX&1>KUP|2v%6K>*}TL`?`|erUv@TAt%zK6S`~l}jbTn+dChP%i2_mV;kE3b=<;NZDj8GRP`6;}l-YF+ih!~pqJW)o zUCd{#%&umEbxBwARiCPYU{hP;&oeWu>&`PT3a=SkQZlrrMk^VP2acI7i@I1{yO|dz z1r&POm8R@hR#d>g^=dch9`9yeVOibH8zLwe@Ql8bTx$01Rx+=?rmkV}OiWtEQ>I=~ zIuE^kMjZxPN&O-epkd+I1$7OT4f8H!OQDSyUam4KDYu=pU3s8)?byFACO|uS{ znCI8l&YV-%5SVootRzP-4orB^;KbLXM^F2IyIFc(Hg6vPg^-eYb80!F;6C7>p1GA@ zZ1(qzpK2pBi_LD~m(5-{Y3AI8{T69f%S>+vtFhP|77K|=6r*loZNrqg3+BvR$f&-0 z){IFTi?TRGTSO}vGiuWCGPbEQk!baJ$#kdF$+;qFL5rLUW-f*~Z;6@J(N-NjwDw;L zGId^kkEvGjK=YqXF@wy_!rDLB>~CcbF(0!I4MAs(E;LizIJ>=k;f$vFg=Po*@_v!I zp{aI+xlvk`rRFD=f2f&h^%`bwHLB}o&Yfv}KFoZ+OWEux3uau{FcY)nWgJn%=T4ue zDWa+;1r2S$TxV@6H!o{{PFywTf<<#IF&szNn}(XPO*O+!A*>@6W=*(iAT^y>$A_A; ztkFX;a%PvAues4+aBsak)a;`E4VR|6QD&CNoQ5qx?8j**keoxtBA<7;#Qg(P(XC6z zW86%xGWEnl><@CIo+pe{BF;Z6YP6Z|UlPE`p{j$Y{}Ce+Y2M4I7x53-; z1Gqovu&n@%g&O3+ODN70rcgYz06cRD)#uqm0puye0`d%kN2Nu$Fs>?%#>wEJ1do@B zd{H;(%Uw6JLLDWL)vsAQ70m#s!-ESpNRiL9HHv(RvwfyIDROF)&S7)8exvKARyM7# zs?8X=L|DhF&9r1ke2Eed4bAFNV)X-eFIv#v_bw}~)=c(0bf-;-KY{}q%7yB&ETMQ? z{{Jf;u;*_T*ne~2bV4WK_WwsfZROhrX!CJjhX!||#N51h0>ImCD;zBKBYX~@_`f%J zuBO`t&=yzv@c$oc;QuON+W^|ix5fWm0Mq|pO4Qb1TfA)_p~FC5+c3^du5+4>zw{C6 zH#9K3=BsN8p?p1P2LS%;F{3XRlX1j_zff7z`t4FP#@btB>S?8TOILrG3PQ&U=?8Hz zc_I40Bjd#G;7&}$c`!*w^yBb(g(4LHZ~SG@XBixM=ZXdJ&Ow8`YvBk0#2c1fd33nK zYx%~To&4p21E$)5tb;qjI=E992d7;o2TyN?`n!+~t(l&V8;3l@=w=HbjU<3UBTsxr#cr?wxNpk`Y z#d%a6ibG5l^gqd;<7fYSgA^Eu#8Q@ft?(){xr^htZAscTOUPgfJBkikf#C4_LKDo6 z8L90wjVI^*VAdI1s!>2+33|FMHW4Tf(pjWXG1Arj9VIrr5h%~q(`_;J;HNdxdCnf{ zg*8Ds&)&IkV!?>3TRqm(HD*i~qCAF2m8gm)?p*S5%&N;i%6nQNO!9=DqboE>(sx8y zzR_lypI(*$=OKN%lEeHn`rQ0O9uM!4X-veOdp~qZoCo=ViU_U;Nmqyaka6UAz|ZNx zi3K7TDg!+6?*;}43g^i`19KFedqYqRRv{hmMnI^+U}1dL=!?wwFv{?jK&e%Akr|`V zJlqQ?Jcrh|MtO6<=K872O9T^Dz^&J2t4fymSy6D@>@eI$z6m za9&10|4q{zf^(P2alji22d(JQW=c3KeH~_K{IhDlNoKN}Fm?`lOhVZStLsdv>nK#+ zYC88E@te2~=-gxqtyvNQ30_zC~`so=M;z4W}a!cfMp%YInMEKJC>##eoj!1mIl93N5Xp?_AL;^Wh&XgTRG8 z4f;`pbtecjG1vZ*02`N1d4Z!4uu~I`U}K{7%ca=Y;OkYym9B+I?sp+AADZW9h;f_Yz>49`!0O@C&3%;$8UI==+RW#Y`sFothn?RfVZ)|Le zw)RXk<7bl3OCoK3knY?Bb3$f_q&qjm+R~T(2RpoH5^KFY$!xM7zt%LZ$qUU0Yt6N0 zyWq!etGnOyS_dyTldSa1%wAUE73QOUzM|n5r7_tSY-G+?G@(@@3iU^{vrS^g3uHq5 z2&Cd>1I70x}`QS}C~vXO9>Y z^BGFIlKB38^fk!tv_Yp4XrTv4w7@)1v8$Q&3y_%)u*uAd17zk{*rH6;%qjw8=A&&gvr3Zv zFiv2CO=o!ZHW(Vl?+ArqFh7PQv>Ilo6j;s7t(0n*wYT+|CEHYHX*QKvj!m70Ykl-Z;r1jdKELiINCkJiH;N;FS7ZV?M1Sxt}zp>^hM@H*5t+J zcl;=}4lFdMa=iJPp1soSCMqcSv8~7KQzW~s3E^~{2AO>kWH=tFNe=77mSCUiVNGr@ zdo``O${Zp6hrs?eAi{hu-cV3wj)hS64`Ba-gr;Ja3Q0rDU!Y}gB$$Te))s2S2~R)A z{&&WHvW;B|od#$nZ=^~7=T#<2Q5Yy;iZUNxz6lPY(6A~YG64xw4YSJ>IF{KouZ5KH^^i+BzhmRWaig)Yc77 zfjgBzH8V$`I#`Tb0|K;6i6?Dgr@qK0iEQRHfXWZBt&t1Ou;2_`V?$`vEkXpyx$^u(BnoIWhZa^ z9tewxA~K6bqF|`QfMv&v5O=F8WqWIT&S{ok_kYzqt$yQB6 zwnBlIu0h7PM4|B;&FYWEIU0zF`7tDPd}j1T`^@b!)jEDjX4xuNhAZ(k$nLbkP{S1g z3(Uin-*KVrP60M^n|+}bJ>Sf4x}?r5lHJt%tkSAXMV5|ak0V04HiH@8YdPPupdUwm(CD2 zUnck1Bxdg;xwLx!Mgm{hbjK1!-=u%cJ8uHsr`Wa3K2%^8vqLtO*YP$2ZaYYyoC`0c|Zn z$_`tA8SksN7I+mD{IeMhf*D^=bTY?$iSX-MQr9To(Fq@^ovCQg`TFa7h zl@h3A_P7FTnQcLGWCP_V=>;WG$?OLOj%C)21Qz%=l*9T9%Yr zB~Zz1;lBv@NxI6GV8)l_)+R_6WLW^t$ zvn4?Wq5rWN%&rYG2raW2%=mSR)@`?q_E zED~SSAm~+kwa!e#pG@kiNWp20`y*YE@b%_O=JyfSYMF7bq_rms=PH3JW{$wQ4n~T^ zH#`t4Go4Aj+!1oy3})?v3_`JFz&~?t#oc#D##V&+JktK+vpJ*)(3zeQ$S3pVi%kX}{XO8gR!_X`_zYKhj) z+9YP#_|lE-K06NO?*SAAX4as2hcAMeH768F#Z4NVjFX&$#&={VSp*E{F(k8{haLUS^v)2@WA?mFW%i~`WwzI*GUNBRAnSxk`&TPc6|?mU ztYt<$za0(1JvN6KO|%L#B+6<=*{EbMWJXoWR&P9KEB3z5rKYAE7%d6!3oEg*xa64$OZ(7>!qkE1A&}Uqst!XOlNN zX1%NvMPa?9+0x8tJ}B+@Iw$QEoqA&Q68)Ci#46lp9oa`D5)s!S)&ZHe3j@~w)sP`e;|k(RlU*zHK@Da_Wj zViLRCruc2~2Y_vECF2Ck2&W?@`j(QFJMXKKxz+a?a`!R^{j)twkTX&%f zyF+jq22`B5S;S(Ilxx(JkoIV z$v@BrUlA;1q;@6SO>9kpj6XXdZj?DV9d`Tw+B*N(DyuV&pL@NOlAWdnMIpoWLck!_ zmNMC9QcG+2gUpoyiH4c632F?9Kd7>0nwSellVX$%qd9Yqgo&<&Qf#4>nMfQA7B&2# zME<~NB7zYOL@}D+#$ccCd){+-cGHtI_kN$}`<(NfAMbn5d3xUS8Z3K-#jJiw4Mtba z&!D-&%`b-C&-));-HE42%S~u`t~whVE9=98;O8w=Atg3G#MJ0kj#Dee&!Rq7>d9~* z_#ake`ifEAjp{F*X8oU4S({JGbiph zMt$QLeRSC@snNYTj{Tv;=L~(vYa!iX1Z50#vsH$Q6=wF}W9UBvE6;Q@K4bLaYZFZ3e*ym- z_$tG9gzl1Fd<7qcxzY;mJO&dGXtP2pU`CCB!jm!(e-gKincy-g@jHxO1t@mO2Z=Ec zzZavgLPFw!M%V%Z;gQ>sL)7}KWuP6{E-^|8KYPJ3ZG`? z*#8~=Iq3VVWt*i&w-9|w z=|@@txhN~R4)oW8xq+r?utU=j=9BU#rF5#?R21~EFoYjAA zaJz14imh3~1_g<-6nlxOW;x=LTZKcye9!{1lXU!c)EBZt<#oPw{CS9a;bbodR~p>M zPf8}}MxhM1f;s5&|1uD^8O+Fcd}$)~ol446YH~&MqNw1;$nOR4bqLggY7qt4lD={X z!hQt$$7UkTAR>?mAE3V$+?DH9gJnjq3>B5(gH&*z!CwW|{0n6H~&QCXn7m$;^5yCzXTr0+o{P-aO@{@BdimX z{a$bn`N<*WcK|$S@;eIN!EJhdu0L7le(W}}%4y97-$F>KiB*?lg>;OL(p*d#dhp?< z@WkJI>#YA$(9d%DZOH#>3R(qzye=GtSL6*W#eVTWLp)2kCia-F34X?a1*=>2WjhZ{f?UV9im*Ujh#A_51l1j?SpE zHX}W0obCs|gO{4A%4!(Ap9?>={y)L}hR;44cQamUo+$olFtg)o@BJIhITH1obA4$N zRUHT$2YlPC9mw@8kL=h&<9M@i)s9UWuHA>D1HQ93)rToNeCvuaW(K+d#6N}p`n>go zzXY$Qbto>=e*-?wsL_l@`U~Lq870YnA~Tnb)5CK+{BNc##D9%8@%kjM55=9nl?Y7x zPT#zsm!Gbia`lI(|Kj3B+Qho^bMPCC9lak}=HG*Rr-vsnpL~MOc{{i3WIZ-4?hIYtP2khGNe{b3oj4)&RI`Ud>Rw0Azm`A z*bAO|Whm}~_afz_A^ff~%u|_9-gD`?uL)~*`3IM8qWskR?Hrc&D%DTDzZm?e@$U|B zN@c#R1$U#@cQwa)@IaV3m#Tb$8=;qcMw+6wJ!0F0-3w&T=ShJgEYnT?E+wGSYhZ@4Cd`=mn zdDE?C3%?KdHiTPW^NW_73!ACA`^pr53Cz2wt79qqLY0)t{P9LH*GsQZYUbVo@W`}% zM_=<73!!6=Z=U$ud;hSOr@u_xhH)_{eh@+3VXTWKRw6 zyK|5KRUwqdcxt Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories, but +# exclude flash-layout.c as this one is directly included in a source file, when used. +PROJ_FILES = $(filter-out flash_layout.c, $(call rwildcard, , *.c *.h *.S)) +# Collect bootloader core files +PROJ_FILES += $(wildcard ../../../Source/*.c) +PROJ_FILES += $(wildcard ../../../Source/*.h) +# Collect bootloader port files +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F3/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F3/*.h) +# Collect bootloader port compiler specific files +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F3/GCC/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM4_STM32F3/GCC/*.h) #|--------------------------------------------------------------------------------------| @@ -154,7 +74,7 @@ SZ = $(TOOL_PATH)arm-none-eabi-size #|--------------------------------------------------------------------------------------| -#| Extract file names | +#| Filter project files #|--------------------------------------------------------------------------------------| PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.elf b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.elf index 416e0ea41959483959c4523e65f86ea7a3f679c3..155ffda1df1d63dad60417e57946483c5b4ffca5 100644 GIT binary patch delta 121889 zcmb@v2b2^=)HdAJ(>*=ilNNSimnAK*VymA|gQv3W{;aIj12kIY`d1 zNCwGS1VM7nNhHVrd8%i|^^NCy&%fvNRNZ{5y1M$-?dsk>0}JmPQFugxTBz!>oau9_ z;@Rj@IUA`ZlSU8A8K>@gI(li&R--rPbXkg$q9_R-p(KnhpR09Z!IvV(`wx^Pn2Fmt*?+BUp2b9zGc)R&{{CFY6$0OIf8Q#vd6 z*1kxrlLSSbpXzg!dwrjc9+lWYt@CvBwZwcm_dd03<*k)UQLD6S2|1iKlzUHJh zU#)17?>jNNM&5m+QMMRps(x^+CW<*m9CD1D-g4C#QCCfU&kz+gktI09E%J-5 z!PRb&TX=$}+~N)OMPe|WM?|Mj$gQ{>B}C3*szVV%(bYo1QXY|0)DAZBh(z^V?qI+p zGN(((1Geo-n6I@KeBTh6Ry#y4tym$_c7c`@)=`F-qChCBCQ9(fCbiKNMOBi5Z)XuP z;~XLoJeNhprhe!ZEnKPDbcoNCJgsc)4MGlaUnaPVSjwB&f< zpjk$gRv#1&RxTr^rIyPg-q6&jBEe1x;yd+tk<^ER$RtVzFO(4@15f;lqA4*7e#MPOPQ~;3pso}e>;%I8`#Dsq z!YQ8WilbF0ux5P|SXH=^*6WI@>fJ{<6vxXBPDLx&fCSZ0?*j9EK26cyYD0{s_w6YZ zN3m88MSHuu#27hNYDz$L=}-I)MRgfpjZ_s))7y3I3U;G@HBE7}hAllV3G9y7(5@%e zWc5d=)eALc^$qCLlfJO^UFo1J`g+v$N%(ONaSRrJ3q>*VWK|W%P+3=ukJdUB$1rgK z9GdZ>jTcT0mypZYP&)X_o1%E#m|BM77$cF1MjIde7%PE%#+>%hG)@AAjK^o8DJX#? zqtpg&iX`XYkF6qFhvuVot@`(54#+<($F36-BWCy?1`7?!|~pNY`-~SemLQ0rU{* z#^_MkOuY$csYus|jLp^OfDVcD)!wV8I9o_%ikj}|NJDXcBq2>rH))ZkI9sYbmo7D3 zf!?5fY@1cn4WyP1QeB(|2sI5pk0FF^ZL(z2-OCX?1i{Q zWek2&N#u5*alyfrM1tc)e`j!UB~h(_?6jd84f>3h-G$;DrqPusLuwl?Dd~-2C-q>q zw?(YfQ08r%7TFc!Bsq_(0sRR|!F-17i1CtAK=J4`&`A?C7lu}}$0+^1p(rtPnxNff zX;X<=kV99D^1Pzdn93(K#dwQ#M@;YKhGJAm<-y|iLFw1T4V zSa-+#ogM-|vhIobC=NDz&;hUF_;!smxTvy7@NZ6r`dJ)~O3c19j^L@vqHrL02_RQF zT~Lvs+Iuw>V+~bAD~{@gp>JJA5HgB4fpS%uiMlVyy6^r6xRdybwN@3?m+4bXCzzT# z3B^_Or+@ex?j-;4MdaT!Tfx=txO@XVjTisJ(>m}MnKr$L$-w9M)(LgH20@wP*!Ik+ zxY{26hqY^F6Pyq8JMsJGhq%r9GTaup4>}U_Yl^G;3vfoeTq8SxE9T4Yy5bsbw>14A zLvf9x?^;Z?F{n?VNj+wNZ5T)i&`D>^>ch}6l@>6&6>JZ#>3IM|$C+hzQsK->nqro- z6|T6gE9P6QYcbdUP!+Q>>#mqSy$r>y%DN}!X%(TEwONmf={y|x_gRlo9IZ}4QN6FB zGqzYm^j<)`d_n^=rlLb%vu_n+F6)Zybj*oNo>7~qOU!lzGK~bUM|EWFMA=Or$Zk_dzMClfsj=)|b!5#%c}Buujf8j@VzbkGWaA2^ zX?Grw*zRIBDctTi*|4%oLh<1FY9gEXa9njXuXQjpiu|8LWCTPe+9K-LnAyJsE(N&7 zA>7DjN*efzE~hijsRuFSPFxN6-t95@kPpgnq~~r8Umn zC7$xuKqk0?XR3=Vf%J%2_u2Bghid~x$y+2pPJRi-vM`Hb&>!OY@&Nn^!ublI!hxaW zZ@(EAXiul3KyzG;qG2Hr5~RQ%5GY8g2&!=*4YCArzf>H(of58?yN%-V5itOlbGCM0 zL(R0>U>YOUbO0&)Y}`G?3|4gqE}n$!6Mn$Rp2-I4j+aCXI0wWm8}W*W79G*j*^J9{ z#~L6KJ`A3%Au?uZ56#EX)_j9d;n>Kx29*)4tPiHEDKh1K{1&c~;o=<7m81*TU^j71 zRu~v5zQPotj%=N9D|fJNO%bm?$sPQnrbx&igZP|El)P2GL<6cO0Jb|WipKH)WQXJ{ z5L{hTWDA%((WgF98Z_NerkLU~$yV4q3!S;uIRuk+kVzMBEMQ>SvpPOVI-?Xmbi3bYgt|~mt+G>%iQydBpGq+kaVW?B#;m%#;MhR8nVYE_d`C zuJY{3@Wqhfiy^}oBTe|C*W3!85Pw5AhO{BW7b8vhqBp+(4^Qio6hrdeO5@#~M#b#}O%t*2ajf0$m~{AI4dF+!upCz9$+vFdBn@ zyeE2==0J9Bb#4Vurtq}m+^A*>Pc{12BGYK7;-U8t@u68EIINDy;+D(pPQS`gyQ+@Z zT8SOHQd-N8=(m!VoPS)hC@qJ$Gq?p&*DnGW z3TBs1Xld4!Xs>QB%yoNVuG_0|!(NRaA>AJChP@iUA~ABf>-J(?w-@6sdoixti*dtV zjL!qNf!V{2CHQXEu>=opNfy~17_z~O$)fn1T$rd|3Iko1Aly08K=mt;15gj)=ITxD zDrwV%J0ILvyNNuYA>P4?C74`S#5u4)33jL}3aPkyCe#%@^K%8S4i!UrC$zYNSBHt0 zI8B=Mq75S1;Sz9JSirwiFSLMH$4F$N#Ra@NRs#7fF5uO15-4PG0j~xnkYsTIua1{M z35yGOb%F%SSX{vGs25tmt5d`u@FLmb0$!ae?g40N@oH74iH88XT3o>As2^Iu|6E^W zR|{+k?y4`AI54*bziS{`IWP=@XB&tTML2%ctrRoEFBEtHY*F(adeGBQ49WVCQb#?6dOtFEDp%&4xRjEok_$oQC% zIn_0kk%kct?Vn#-5s=h0)zbIy8v}P(+fyl^2$vZ}KwLPFiA{{d_S~w#U#f*#= z&d5aZn%2S@nJ8vtv~Wfyir2Ij&d5Z`jEok}$V4#>p@lOtQOw9_;fzca6A@Y)^>=h} zf*OU4%(iZ#d4L%it*xqI2&VVwrE}q;ie^GWw-XZ4Oi1Wyh(%T$KGiu&9!Ol9b4QyKcYcnD_b zgKI!ka?0=z#&Gpxg4hd6#-gwS3VlG>uf&%5D*}tUaeGOvEag4`RmsHgT8w9EJx=OK za0amY-gF0S;}bCDeGRV6jX}N-8zvB0xIV6$m_@FSv$hIR(qJ(+Wz&%SP_i>Z7YjKC zT7xYoG>H`CMndWrQhgA}gv_+IkQ7ljN7evcTudosS(Kck*wQ5j0IDN@fM$-sT#WZc zNiiUw;(8$6UJ2pYSQp80iJU1*3wDIb2{fC=F_MdG2r)wZkUzZG!+l4Il`f1B z^dW$WHP@$fVjb)&N_iY2#fhmkV}ADKIziv^QDv@jv~fhTWt41X!4@lf?}%XgL~`sM z3LTL%l&GuZ%1DXH{Gn#!xv6jCWl z#+CFtuS(iHz;Ul`1I{lc_t>4_#~?`zu*W+^6n2#gnLLBSC`qEm>yU^&cqiE7I}sb` zh%>6>>dnT?rY5C;6e;Y89N0?WGPn*t%#ezM$~5_Kxq$HNxbw|@Xq%lE+9|jRH^0+8T}NTE(POoDwM=+M68K; zP)K@kGUCA+DOwt=aouTSt+dgF@F+^Ilagbks$@3rQA8E`Ln$CqG9tlY_sb)xYXxO{ zQP&g6ibNCK*m*a&0oX)JJkmaP6K_a88zGqS6cydjsAx01j5|#DmXxfD?p1PiVgJ?P*;2~j5Gl>w zN+9G<9X&Miq?S7Jffr8ubXrfAP;2T)e9TcXNDt4~_N27}Q>yLGAgQJ|&!%kGASs#| z?#BI2cZNvGA>hwUH+t!*RenMs7nnlged*dX;6=(6u-H9yKkS)Qct7NslAA%UbF1?r z*z-)nT*SlJrYb98OjE|gg-`@}?~xrrjt_OAJFBA1e&b&eByu7DzlD(hmk2VG%$qbt zQ{+NFZ3y{s4^hMpAx%4kv_c`|EpS_*5R!F6yoq0gkY&(Lmkc3Yb_i)Pg#4|C$QV`h z2?mO*AYxFVSQ(xe!N)z22)p|jan}R+%t-URQxoCZL;LBIcMiHvdt^U-@~(OS;IRav zygxq$@I(SJ-aXF%Je5G4_t8TD&m@q=`z;J=&n1xHd8a;9zmPzpcQ`382?UgU-Y==) zl_VANK4y&kS^`Omb&h5gtvy=hYo-^kidoM83pn%=9O;UG@e9;Tvab0HgI6!by30Qi zD)rK=d;Hy5FT;A2KL-BkWm%8$??cP=fP9V+=ifj@Z<3J3Kj&}M%d?)~Pa(bn>xuq} ztXE_`pZ^jS$>$D*{Eeun67fm?jksp?w^=XY_Yg0iN0jk@gf7$HA-=r7-b>W0uwKa@ zm_e_r5~$+8Md50!*YH<}zOFNU`wue?lRB-1)D#jLThWV(&? zX@+JTKKW%l;zL$ei7p90MNKJ&s}{!2K`f&T7i!AUNZnh|TcWjON{~zj=EayxXl?*# zHlU1D_JG!Yl6-a`$G9|RBTf7y*_ueUQ$&nQW3xWkY@6dEHZQXi7N^lQfJ_EO7fW3t zIcC(;^bznosk+uRql z*=MBm4-swWBs^I0UN@yQ?I{no#UB%u>Lz5lOJv-=q$u|Uiwz;|zUL@r@(fa{X&c3EnY3?}NI6$&}!O;`F zhG%-3WNRkXBVJHqs*`P+WE%!HTl)+NF994-qR&wMeko^P|Ir9PGTvev_e(xr|3RLC zTaw8hZ{@%g^ES`hEy?su`Y@k;@H&mIkEm-egb)=LZqX3r_R(a_5KID?utKZ4{ zA1!v{jFa8wl1%d=OxYt$Os|S}oa+lKt}K%24Bg7bo&Xk@%*Z#{n%}X7>MGIq>0~>p zIm<^+c<1qmsTvd-OkLxp(C~=R_=wOa!LtMK-9z^`P8u7<6*z^h!p@3z` zUPaq0@?=-QzzCblf|xd@8b@XYgZGGUB2pE?Lh+f{Gp!7#oCU)x(QhbtpJ%p}6kG;D zdvvvy<_`nTP>g5d)2tHFEEWhE)wYqUHUn1TPyZeC4-#>O-Xy0Xw@0ZXyJnCJ7MExN zlV>USH_0YqO+|SX8>~M_U1$l@KsUh4Nfa9Gj>U4s#26{WTQb0i8H67(LZI;Z0& zS16Qa#!9IQS2SfV(4p|Pjd01wcjx2`3z6K4@&L~m{~r^ef$-M3|0M(Ze+ggz+vqjC zb8Ze2BojLbZ?HvEVPuvGQI{RfnxSa+G@5P>yc_J%G`u4%^jOPe@cMI8QLTzhD~9$g zdAMgu8>X?#qC7H<7}~Ss!JZ{W8=)NlCB|K^E<(}iLdzBHkyFHPv_OA~tf(uAJAG@++2P3Y-M6MFj6gr2@Mp{K9j%K)N8JNERY2|ayj zLQh|s(9@SD^z@|(J$?U0=$RQ~)Enn4Glo6IJvvT%kB*Ofbe#4c9pAOUAFAT4%o)bz z<0c(vRn|Q|Zqjkun{<5Kq~lDxNyl059VqoiIU66R^85}Lwg*y9@nvJZIqRD5C9W1{ zOIvp-B;K8$NZpEK4elAvHd7(%&ygPlfu~}MG7E=DK_*R!die_1=OR|y$E5~Z2ulR` zC|~>;RYY;^l6=D>e1DSfE@px#xew=0QZi@4M9~kvh?BHgonQ^*3v6%zK^Zzrst-Aw zjQ7ZVio?n=a!eq)5e${b<)0pj7Qno!xMK21s^gWYN&k)(f#Bt=9@QlxMdSM;h2V&>;iaVcpW0Jr~i@?O$fQMa=%pKE#7x{MoHzoMv~( z@PCO6kBAIZgey3*6`l{ufiyqt-63|=d>PG`%?y39v+2$jshbh5Ncl0MdrMfTAWz5^ zx$O8*3YFs3aX*biOCv(}|K-qqH4yIZQtX##j8j~D($M9IOtiy3L-AwTztJ*!|6Upr zyx^-zA-m;q2zBh1R|^T*r?r|RY^MrE+D7aIM9O;+nYLj=ZZ@E;G?XF@?WK34r4-yk zH}r}KjSdTOhbMS&PgFKgC^I+rh?x>`50{lKxCnyrveRc$Frb`~1{Sg7k4gh}KVOI# zI2tza0i8H1bDgiHP%PVWElu+?AoQ}Ee=Q_rH~(6s`5&+gHMy{n-5f}HHzK2Hp?;ph z=4*0YlaK{1i9N&-7Wa=-N+2Q>7Z&=Gn&YI<+fpb4-EERaXk|pGNm%H1dTMSWrCLd; zA6^EFeTo&c?4k}KKD!kiwD3uc<%pXtRomyCiBr4bv;QSBJ0hY{#aa{fysXGA1BMaoGL z+qWC;$n;b$Bolvvu9TB6E6kF<9b|{sP9v2a5v$z^wZlSnc_wR1q3u#=98C?DLiT0W zAR;t4EYuvsDgdVSX!R8-^*z;0PSd9T5vj@lYSZLMn=aA2V`)UzMnsPNOXOHYqzZkr zlf_XjVOyl!jmX>&JJ-}^qHhKgzDjHco-_@24cuUHh?GPmv+QoroS^|dSB`qQV#+6l zhQAI@|13Pp;=*j7u$gg^t)^t_L*3P-ZhJyciRi8#7Aj4Ls!O5v5VD7Ab*CH-n9nbA z0&Oc**d6{`L`7R?Amm>y4$ihx$1G{MAFu4&QmT|}R0HIR>_*)V8~)MeVNYz27``1c zoT&(1zbjQ<0X5Tbc7Y9N$_7|)I0bA(O(G`J(R)&00$|*lsXrI)KA5m@h?HQ&hg?R; z@t1Twmt4i|hmhU)Tt>L@Uy@u!s<0csFQTFfRUpZd9N(KASVihEvcphjZjclm4Q>#8 zf_W1nFrER)C41iND2?X>YurdSvZEA5+#{EeouynWDvEuR=BG>ZyzoUz4JfgDak^B3 zN13*c=^-7MwAoLa4Q^oMUlkl8<=2Su%~Gx>)W^&I-z)`>0M0awl3OMGkg)7w8;(f; zEYFAyS0oH~(<$OtX=*bNN^Ca86@0sW_@?Y~g*sUpa=Cb-&r(ntvLvkJ2ph8DqJWhw zTz6u_1^`zL<4a=K6) z@fbOJ{~o||nq~H{vDu_QDYO+;V!6%zX3B}k6A4xnI@%` zzonIACB6xHTyQ)b;f@ARX6cqo*nVZ^j#Th)*oeAWWPaI_izinuptAO-ic)fa2i5q< zmU0SevPm_iq?-J7!E0+O71ESlPK{-x;3rbkMYg$)BvX@9YBEsRn(9bRy(H^cyij==8y`u^%!rAP{$=80Y2vh$Q|RnB|G~uf5fk4?MK8!2e}5Au>H&i9ta8E;DT~2o zcg+CFCXzFM8DQTG2$@sjxk*+`6e$sz^AVYe5gCe1jEEd%qnFAvfKU`>nANwnZ&H+7ZBF;g8Dui57RRo;9G~s|luFF0mVi6IVgnt68B!p6pobq#gg4`<)W2>t4 z);P77$NejmcH9g}zOZ`^1pZYht|;Ggck2JL?^0De{PUWw`c_kj{@?b&r`c?2zgCb8 zRiVe@t)y0LG7X+^E^|Gzx9x)uxC%7z6g3xY|@v34r}3 zt~O0n1z^94`?67JBX92yM3E@Om5P2;wLQ+@bOpBnThK%733;yLT<=$|r|2goOBSOb zDW@cmpm?%EAiin|jbXz($<{*nM5;~RX8ufLKc^dFM``fCX! zDIPw?M`HE~`oO!Aqq2lJgrVLVr%9yv5bEQRWr-xbVki+;9k?$H0=OC#CF&8$oXZtu zh@@Ad%*Wg) zEr#&Y(j7^-QmhWZc7PrCGT{75%y8!PhxG);-n^wZMb-QPKiDv&L(7IlM7M~S+r zCS@5?>BD!5; zs0zRI=fO*3_W*}q9t*z(##grzfDgZ&6@Eo3{IU~B@-Gp;crgj#G#$h%UwG*Nt0eoy zn&5jW;wzCD+>#=qtD%|lk9mji-zij7B^?$7wlwjS4)3oF?}H3)T*LDg}ber;5+jr~<)+X<`JvUl3eAO*ASHe%?mDEI7gs#lmp7#AgkPPZt%-r{LVH z%C3@W{h0-(aBi0Tw8y^$`D^>jG-dFI$s!^6`D76l>@yjQT$y7DPM$2X2VYD^dJ8{e z@Lh!9s41dQOuA}mO>oHJLkWkch*E*@O(%RKp%va8_{UBPPuvoLpF%iP<HS;0G_PIl+5AcijNbaswRTV!@OBZ-c~qhdrxcVDSSE zn}M~TYyyiO5dv$yYyyjZ5klCrHc&|l+E8$6m<9U7^g*DFvguP2?3%4zvP8`;Y+3uPLC^{{h8McC`=p>)BBG~%ZcI45a!Z=kp%XIeXACi;NIdK_d-D?BKKV>u0nfnAW5%0u?Q6POzkV7VNJ zQ0j$Q1OHL^g%B^xE0VXa2W?2gA(R$nP;jn7HLXV}At9Diq)-DEv_<_a?KU+C+a;Q9 zt5u>9wKXV%qMTh#tN1}k1Iy*oZlkp110gcY<%LrhXLf(1%DHr#A9XwWU)(D8?fNS98wT!AbHD3hPo_l-iB#;>qbUJ92iF6V6U29_-)xgLwQAvDYOHk!qkAvDXbHk!o`AvDXM zY&44=L*Dyy}hfTkc) z<6MkF#bKW~S+fZm3TK26ZX6{^VdHF$Am+f9!H=@JEt<(5JfypQ!D&vnnL0&xTWY`p zw=X2YavTnv*RaC0Bpjy1bWxn~k86gICEID@A%;A=iOccp1sQ^=8h&(ovJ7=)aOUoVk z+0}%x2g3>;3_N)-RN%qDlLrG&9t=ErF!1ETz>^09%&-Tn^9}AY!QInEQ}bQi+Znuz z;K<7E=mdrrj39V05MU6=*I0NkfDDe9A(G-)tBiS03PPASVBVHVZ5&LPEk?MwC6Kc- zQOQZHac&C>u+C{K42MhNIN3-u!E-Z3Is?%05GGF6?huNaDZ0COm2e;vS{j@-1Mf5v zbfQnGAdU*6T+AVj3!#!KF;rxj$b%;{Y&l#K$CDE7E{28-3wfmA2)3MqHxo{P9S;T_ z2_8MG7K4ux5T=l;?s?}Oz9d<e+@Y!B+rs#p>Bx?*uB3 zQ!^UvC3B)-yg0;4?l@!b5mxeKGSVGoC2zbj>liEfG8-TM$x8k}7UO}e707C&oF=MZ zHe=}-RtjY|#++lNu*)cYk(D9|#)C_&6wP7mdBRFkPUHG>R*K~^dcR_&c%t#Qth|xi zc>S7~5|)vQ5MOhY%wuddSSgj)h{nranxk~SfUz-(wKAr$8DAXG9A)zxiFgxDbCfG! zG-gFlKDio&ab98*3+h=H?;<^SAwBD_vRQfDde({AVM^0KYuOoVO|BGlrSlcMiKi^O zh!+BtOntCPN=e;?FODf4u*U;Xjwup&&6y*J!5O@8ln3lJnsmywIoIFHg)tOe8Rjt#P{2HAt!#A6(OwJot=) zu9O`|OrT?c0>i43^(7vi>q_fpWLi6s#uBmJT33qyNY?eM$@z`oZ|#%h9CuDq+pvEB z4u8|(%Mp+rPHlfbp`Ld5xSOtgjnB8~%5{9IO;>VACrW)!&b%LM0TiF$<88Wf6pM0Q zsosqBBQX@1z;_~*3K(a)vQM_myNXJUQ&ePk~wg1WL3nJ-=0j@={Z-o+HI^fl{)TC(2bCki)y zPDN$&QfW$g^7r4)U#l(g^~bT^^(Rux)L`8`f|`Gq=eyD~;vWtnXF1v5Sqgy~P$o5@ z>Ve55FaD0kc3QIa`K9@e6sWU>)T(l1tXNGX(BszSKV|aSdW~pF~rxOPyBZmsPo5f zh@TqxlZ1@e2c|2Pf2Hd3Qc=qLWSa8>1*Sz&QUChnnYEt$OVg3FRvBViUm>;KPT~g_ zqtuxIF)N#}zPT_p)hj<+f1oJ6tCF6=)nC(? ze?Qg5;t&FQN5{5fi}%zb-e^V4No2Ut;tjKKv$a#G^zJY=vkddrji%gzfBv*cyBFUHMq=hMjWge|IXS+R6#%SH2#t zRL9md49U09e*tBaoY8u=A|cDqH0H;fueve=X?DzU$gJQ}>_HXZ$#E;P&`gxPMjEaq z=Ygd>5lfe`{+%4cB}>tKi#KVe$>-!hi+hu6CHIkgQPLLXCfEyBSp7`K|u9UzF zn7Xp5AqjaF5dT=t+vh70|9*1{Ut7)J+wuyo+=2BUapy<-j>(=VP0ZIXi0R*yIuG+J zllV*#b)NW|^#Qou>dJ?W>BQr}Gy?l}kkI`Nq8!l__IKv*C7sk#a*n$!N5=MF$*I9BN98G-1QvA()n!j~t(yaoql#J6P{@pR6nSQ5B`^R}>f^jdjE(baWtI*VL8W1BfrziR~+Th`(3mb>YFA z+PZRCYB*MhRvO|nFVKvyhT(2fpY=c2W8)tNNO!8>x5hB_&LLrIbuy{bh}W^{05e2o zs;Dk6)Ftl|lQ5HrI{M5(#MNIhDD#w?^PbS3@U zG&Y{!k5OvAqM2EB$T|8hd9b|!zXK3Tp^kS8F#cPNqq;B0lU(a8S>MS_%=tg5y4FV& zI4JLRtA|o)iL&I}if9B=RtaL9a@wgCPtL#O*?qeedFo{)=3z2*ep!~B6Wy%OpFsUO zGO}p@!S4{PFkZ>}Y#xY3^J?&_Si4HVys>=%*h=Q*%vU4dub{r$oHyXw1_C0z&Q!b& zq$eM#0(`x~N8xOP^N2_Fk0#+cn*On?IqIK$y$1D9GwnnDv+w(%{&nu|;O{F=e+Qty zm>GBrq=Dkz7my!^Pv}DZ0@3LvveopdRAB}^oqM(hQ}=s|LF^&Uy#vWUVxSum14XAt zaAlb2!kK2Q7Kc^RuGAdA4bOfLYNPzULH(d=D%AHBb@@zz zqFR3^ymjuuvq0TgR2X_)_a8!mS(sa<$dRL5S77r+BQNX>^bnKSFFi$51pUswc)SaB z{lw@?*gf4}yfGcn0Feo+Jm)0QClPWfVo6OHxG%n`0$oqUk=gK~hq{fo#J;L~7oZX9 zC7#PMYWze9OjI-0g46TWsWZW{R!!{}>RtbY>PTMbIsQQQNiQ0_38t#Yk3#oHe>4W? zPc8ZZGhX~TA4(RBVY2~k7GLMWfcrzd@jTR1R`XY|7&VL6Ui`IqG$lvV@z@ex=I9x0 z#V$J^p@6d-KM>=5vm{QLTAttNbym1I1JsHS&IKUw*1$XvuGF8uZ<~xED{sC4|KG`5 z4Ad&`7lwhV-yMfzbvjMQZ^Oibz&GEu2u`+b*BI2VzWNsYKPU_idW(;)f@z4DQy0)^ zar!pcric~T606S;`{x0xZGoC2AD~~)^=?bsZND zFV&8?I`xU#53QkMwO)KKiXHmXzeD4p;GIUW_lu)!PT)5?@^ND6t;ZEZF-Uh`a@vD( zx7dwaoBl`)%nj}*qR%MUd@9yreGLoqx`6wYS~(kv*#XCj1t89G?BUEl*KyGS^Ya|X zdw_L;<6#lVFLWf~(HT#tJC6I`(jAZgbSw0oLt~>Ad83 zI)28MX?>R?&!<4{aok1fLEr266rVNH_dBLwf3<$VaW54tha63Kul~*PK9?m&9K9J? z9(6SF!;9mNt-SO8=@{&U&XbPXoCi)hYIFqcjN_X?DU@d&b@!n-@36Rry5Mm2hx{eS zNfpp#N5cw$t~&l&4-?lMD{ybpZ#YVvhozg2I4)Z6ICgNcbl36gWFY@?jH1AOM~Vu8 z2aX{*&R(@rs%f1%B}0?wD(_5*-M z>#+=+#{~3LH?ZUMl#VEZdh_=oF##hQ#Y8>143wtmC6d89Ss%0z#WZ~Y9i6VvEQmJE z(hJoEG#fDjIOpocpF(b)?qH<2K<~mKzfixL(LU^=o^r@rl02u^SU0(^ukU3hgh)Q(r2~>@{WFvOSrrGI3{8K(r+<9yRWzB zed2*$f%lMy`ts$_@>m}v0~Gz2hLC%vKlu>?&-MD8lV9p%Is$s7rv|1#cTcBt26%co zOFTl+*BR&oyZxL+d5;_D{B|Jx9^~v;26l%!AMSFhQi z#cpSQE`Rqp*M0`2`<(5{Kz_e-aUm23ovs65Ipi$f8YT`q%hI*qohw!VI_j+Y6N*2a z1CUzOk2_n;1oWr#^=k;6bXJ%Ge@{7Qa7sDjtZ@Vu&N_>K#_R9A^DJ-U7o58*!{8<7 znqNS??ELZ!psUXHw*XypuHlW~hO^NJkiY57gzcXCZRZHi(s!IwiUWDidGR8OznsTw zK;VJ%t?wc5(3$NVkWZZX@FJD|)cJiI6fc~K?*jSK`IF+n_1DAr6t6VsJ&munLR%jr zu_)yG8ii}Y!~kR9U9b)`262=Ok-MGsp~jEZ(9gq-eXCH6FuHR+G|CWzAU@i7l7wQc zkr;(yobm7~w2U`$T!79AMhQes`XnR!cnG8z3qJ=7&VNoO`=%NzHp9epe2g9jXBe$` z&z@zR$O*yO#$@I}4;l}c5IkgDIgO_MZjAW^EJuuoTvi@4&W#7labxdph@UXFaN&2- zxSRpdX`|pl6laW%@!&jXZ0Bv^ys_^H#4iPmDja&3jk7-kylQN~omRhQe9DE=P2=fLg{%ESaISP!;f%K0HI{Qis_O>R zmTO(#7DchnwYeNDY;cwPjrYHeuA942oO0bA3yIUNkGYCC>-zCBc+R<=VeOz_aOKj# za?v%N0reHvgG}JO>iY35uv~ZL&|u<*>s20@zvV*UfQ!SmVIYcot`mE~`IoEkUa&lH zHRpW((Dl?r@z`}@B;)TVu7^yMJ#%&BO6s{Q_aUfxRo&XQ~nr#jP>TiDh1BwA=_FB*~$SnN^z(RP$tc z(AJopu0r=(v+g)Jv(D^#2;v*e1zZVjG;i`!+iZ4>f~75HDL=gb)%;`$Shktf8JO%a zzwQTGV5iyG3vjm?+Yh?;n8%n6+-Kg|4&D1rOm(>8%=ddk@Hg{K57Znn4}1^WQFG}u z(2kj_xe7jRUhV}gC(NAB!E(~9Qw6ls=I2Y$mNVvNh7#w^E|a0qY7^E=fNL%=fK+Rqi*469u;K(nm5RRPVm#ukRa z&(@S=6mzY&ih(xYYV#|g1y(nv5*AsDCIeb*EvO9(ORcxBqF82C4%9?rR#<&Y0bFTS z_fq=&4qZ%P4-aYDS@0YjwtoQ(te@;ThdveO>^?X6pc_jV)G@25|IO>;5?) zw^;*YQS7vC6##OVb*?4k_E<;z0@`b3W?F8))gc$`9k4PysNxx`}?;YRd)pS!*?i&N=Jg zhY+}6&F_ZdqLsclXqT;S0&-WZ>Reb|vuZ9!aosBY0q=h|t!rqTe#`oWxu7SOXC!=k zY7MRg6VI%Re8~0OI>!+Ah4m@dQZKFVo5Ip7>pmO$+G_F+1bVu6@T%(N?!q(D+r29r ziazf3??a%kds%wW`niYFr~YmSme=|KcdaP`*WY0Gs*Nx|#6A8)s2Sld&*3@JJz*Fm ze)V3)c$5qM8;+WtAT`hYW*ijHH#bZI_X4vbQ=SXWdYt4InZ1|=Sz?Z4E`6!FpgoYw z%sg0?;S#>drOhhy1)uw^HY*kclxijhxYAi;-pU7S>&%M`uh*Nq@1WRVo?}FdOPSfl zO=fa4DBWzX*#^NaX68wd+iJGZQ2c8C#$5I`v$F{;+s%flklSHq{}^&R%{_SiLEmLI zqBFbAD@?`iF=}Lyd{pJV`l>_E`1%NzgCKQ0dLuNT9IDRuoGb{2sxo)<~52ZKERu=)?G(Rj0=$4sj69jIXUoa7S$8;3|bk{7q3p($aon}Ml zUuO5(oPY0|%aS1Yw>hOZ1Rt42UxD?piH}KRFq)rI;;C7Wk5QkQheiN;ZoaV!{=P8p zkn^RvXE02>GViW|_-k|JMId`vZ#P2G)5;PL&R$mfI6%FvS$vw%$J&hN@OoeCGd}ta z^s~;c15bbJ_szf#u!>p04z`-N2QK2E=2nw#R@RXEow&CupT+gxlk-vhtQ<4XFmXiB`7C;GAqV=5vNA0jnjmIa966 zogp#Js>+qlbgLI19?Y~#GJIQL<@^gQ3$4-#@M4km8B?c=t=jcqX^9nN7JI4n_ib=4 zvwq{VljYV^o}U%gJ}yI6S_Q^J^(w2^I0&q^Ix>V!wW8X9bBz_4&L>O1SjUQ>SZk$s zLfbm)4j+`Pw|Wi*w884lwBAPRw@pB9vYsD+w#`-c?E_BX(~-&)TF zzyYfX$HhVGo(jQ3)-(LG1(aqGuJko(hGwFJl$)&a&wC#}Lu0iCjT7YIPjX=~9sV9!_unW{W%jouF8IjibY zK|V8^dDFdS72+;~>(;$w_;kZ^ zodxoyYqquE-Rft!Gl`A9m)9ZJwq0FA&vv7aIWnrn`0lIHR@ofKXt!xYV z2i7#Mvk^jttst>3Og;FJS_j31QU-ovdssx@s?rxKC4*I&Ixig}l+Zw}b z#9iV|a1L-k9R+BL`_h{bnCf164$w6BWZufAyRUMcHq)KsK8jiH%6wQj+nt;lI)8T0 z`~%+4bq{=oVxD{dk0=83-HT^|xWHYNS^kCYsR2kVa_?m{wAkIc7LZHaLsp?!>V8-s zv}JDV9*Pz2l%FBD(jB`BYF4?&WB|)*_s3iVrn)bDj1FGo9`^}Y*189F0CJuC+*;^d z?;g_&$c=98L-@NX;4a(+?r(9|;Y_jB-GBq*SNHRxAntH?(^2enuSkL5F87<|Ah_Ed zeH|=&+@J7%ve*4a4Y2HU|MWMYgYMwFuzSc|_BEXO%`F&@A9gQq3-RCGPne21;y$|! z;z!*X@5kJaO~$+4^&L&%waHa_8VY_u9RQiOL?HeM!*W(=&jN=X-kwGg|HA;d?Q9 zUr)b0(ALk>j;ZVc9*udpJuK!zfQ9KB61#x$!A@#&~Ls1prB{l_khNGGG#?$CU|;r{V~b2 zx*P;jJnv*dG1+tK6CkH}-tLcLny27*5SZ@yHX6>%@J#On3o|`?A41tI&sILK{Mqw* zGoJtHb3J*70i5S~!8vfgC&yFBFYufwiDIE=+)EUTJh!gE#A45=CGdBN=Ml2U`cjX| zo5V6t%|F4i+%sbg#8-Hp^9Hig^G!DxT;(}_49L}S@DJa*gK}|8vDJp0Rj1 z7tq&w_A({2!Lw{Aij5w=+^cW$v|0wi&7O)phg&?a*FoD>kFp)mub!FRA-~P@Q38tX zp7IyKxx@2SVaVO;Lpxe6NQjjsr$!@V`qLvV!mvu}YM=^arMoTI!Se+AlT?|$aE$9T^%${Oo!u@mCs zyczlAKj>Y`hauy=9}Pt@!TVifh)?ut49g~YPcfB};w{g;L6g0o1`2{W#e0EcZmM@V zH|R|BZs7uay7$wT=-CFx0x^0U0#Pr;|zUe8Q8GsioPOVFRa6&Hgx*SmTd z#20vnb%MY`?{20R7I`1?*1FiM@`3LXZ;qEhF7<9@ShdVsVhbnj72XO=JFfJ8Q4xZx zyaSd4x!N1E7CfomdE9RGi}#xpAlG_R80)X|-eb~ry;oler5n6oKM!gSc>Bx+=WpJFw*VdX{`C$7e)nG62k3}*EhCbnUc4=j zvFcsSXaC2%IbOi-pWYYPYNMa<-sdXlq_;8CC8xZ-Z}9$i+Pjxm^I0!HsiB|q&T9^d z^WGus{0rXpOaol>7ODdim%MeVL-4Y9=N@og@t&^@hJr!}~4Q=r_Ih zx%9o|t2nVN)XTS?`TpL% zyd_ce@vZ0yT0h^Bbb$K%;^w0h2KZtaj|~j?<~M@wK|cR{C>`uup8}pCzD-<$4E0@a z4%#r^oA*(S@a5%=exz^XFhHYxy?Eh`_AN63jq$a;i(;(LSpiDN`7SmEXVB;6-h}bK zp&!Hi1RuV*g$uxUbR%d}d=I(hY^pEtEn|Xdz6V?^P4~6nV4dMx-T`W6`ld96;4EKr z&ct(kKRg&pz@iJe|R6xsp&v-Xn;cF1s0qjcO=Bn^!wJ-B#xR~m@c^JeszRrB$^^5NM z^tG7-+AiNO)gZpx_v38d|MvRIGzD>=@2mRIz2E2g3B>_lSKgWr`W7XkVky8f-rH^*K7{#{^1ikXvchQ8-w#tU&37=Px!hpN;>J=nS|n$Z%9`N zoc3KFiQG~D0qCZ$JM+-DeAy;}^R};+2b_0(RmgJBcZa)Z{_^eJ2W9tt{SE;7+ZSYt z`+={GhxflnzDFO!x5vJ2>A>^Em&ldOQ{Q#oj-L63*97gk@5~&~Uiz9d`|-+mkx%kp z`xT$ z&>0xwKU)mgq5c~uPz>`|$pVQH{%6S`j`Y{zgP2kN8gGC$+TWY=#u)zz-nqv4hirqT zp#N9`SjPLWb0kdgPdf&diT-3hLzv`W!N@wrzor;CC;OXx3oTRpW}^f#q@x` zRvk#p@F#zThR*aaOaOMazYQME>2v(OMnV2({}-E~ZJz%WpL@;sKYsw)0)Lr15Lo0N z5d^f@e{%$&CH~wCp=`OoHCI(D{43hP;7b39n-E{+KQso=YX4l0{5AfWk5T;M52PqC zxYl317F=BCZ_B062LBCawKw`3bNRW+|0~nroBgkjfVRb-ts;=y{3ZCTXS=^*C79pg z|CtZ9cKTN{f3nN}{$oJ9{hhi)V2}Tk$|&~wt96G@`~2@62ejW`i0AHre_>!gum}C4 z{(_p{{F}G|@UVYoArOD}H|7q~BmQlhVDJzB7A97Y`KL2bKJIUO8?-#5w<-=g&uD{&>N78l2RdqD&B(`XZxA$JT z9b+sh8jZ1=#5B|1G&M15Ofl88i*%%eQ~?!HiVA}CE+C2^C|GEUpeP-siWI+R^8Gcx znSEw<&bjC8PT2#iG2#8Wm+1F-Fn7BT)CcCKxB)ph_d^@Fp}F4%Kw)^UkV5&9x$je! z@o?_fv<;5UO{a+B(cEQ~l>d#-wavjylXK(wz)Z~zqtkDX=caxOMJGmaFEpGPE|mJX zFc#AC?#j5X#F!hy;cFm07#eC!crsSflkdfNjteXM7`^l0s1Kv46sCO{ni(K|3=J2= zpHV{%{UFAAoJq6K2xgQ9LSsK;dmxO4Fj79pU?`*hPgo0MZ2JscIAib^a1o4oa{)QP zXtaWhVro+#BZrQr#xYpbojJ;oCS&Xv zBP9vW*y9;J)XGR;gs*`#k+JIoa3>f{y1^$ILW;hV8PCufn8Ike2*@c$CY1(L8B=mV z(izJs70+NSrZP$*7&GF5tYXAaW8oshunEpoGv1}0u7+{#bC64n@>B>fGwiX{bgX5} z{s3m`7&b4ED~xVhZLc!c90qrd@iWC4*BLz8R2vzy@LSGkVm!MIkY>gKPnfyESVX6q zZZf>-k#AvqzYco07;j>Ip3%y9pPrICjG1xJYiBH>Hs4*w_TA9C$2ef8Qd|dPBVBzb zBY}<$bup$tg{$ah_)sk0$MB;Y)z3)%?~!Lr?}6e2#y?RQ8(=sF0XfKMhyfX5EdLiX z4Kobm7<PCHsP;C&@S*HxlJU-Z>i`Rj`?xBO9RM&iwHt6g-%}3Lx}k-lJE|i^-(bavyU&rA6M%5z0M$ znAKEr_GP+LCLh2o`5t3|%vLfT#Qfiv5C$_}d4s-x*w1{Op0W_;y*Ma_GRv;Pb{KQ( zGZ2O|?E<(T!EB(II*K{*9Y{2@l2-W`ri(AE9c137TJj<0Fx24IdeKZyi)f*JJ@ z$Vui*N(_>jUp$AaNMWw|82V{UXIF5inG2}%n$F~n#M6(?)HMEarRxxNPRG zA&?yAkDDOOWsXq7m&Z(_jKH4HG~I$?0ke1u<}GA?O8b2g^C|jaikV|Op>c-kMr%R| z^9yPjl`Vy}95jnRm8; zt71A{#zYsHKgdC1F2UJdi8QkN08T>&$*fC^j;GrOmC0 z`4Qy+&CF@DF?NF)OpDh|<_X$7e< zj5FuklVE#-X?OtJQ_OnGTOTu*b70hoHSz+uy{wb;!#cAL)C1zeGPy#*mGwI5yRj5> zs=||%vmLg*Si9-z+{Zdbr6q6Hi$`F^hvh{z17FthNT~a~?(-0aup$Qm4P~vEfH0gjV1cy=R>M7Tku0V&#tyLFrG+Aj)%7K; zMYCqpA>J6)mLMPxv7D1}p@&&6Tp(jv=Rz_45mxBSfW)z;QIX;(>j?#&KR?EDrR+I@ z)%-S~iL4i>G?m2q`DvIr&ibtl!V|2D9O$291%(2c%z9}bxKvgvwJy_GWAp-?W;K3< zv2@m2s#s>Q%(OXWvNEWun8k_<0VJCxSO#GZYpx2yT-M|?djIlR$r4;eKI=Ty-U?X1 z1^`pY`oIs?idfC#;EGwVQAlxy#iSBm2`iSGFr}>9^gxxdoKJu|%X+C93gxVS_QUo$ zR(=$?^Q_1RaHfJK;Y05NtNbd)Dp{X@1HCF%*<$F~YglPN0&|J=ARd^@tY;Quu$GnA z1z{blgi^gLtdL+RUS%<<(sPZq=?jqStly5o-+ES|1*CyB#RO?&U7#nwi4{RL^JbPW zRV;6?JYRy%o2(m@AGEMcJ1};O_2WO7q1DdPD=^r`8Zl#v+pMtT(73~DqC?f~tV>jU zzRNOZfV;=a$OhNJlDrMl$?6S+LKo{zI`P!aDt;NHhqZ#Dj$YQlub8Qi)lJ6`AF#y5 zKn}1zcn6R{Ry9?YhFCAeV{DjZKTD^|M_8Xy-Di~5ISt%HRw)H?V=VP*Xgp%ssHii} zD#-yi!SbYH>?G^TW=t`~`jy%jk6G@en8JyT&lB**u zyRm=T4s~~Sd^m^)`xW~QXn3+yDYW)t$J27RkL^IOlQ;XxZ!v`rJBW@b`m*&4K>XO= z^tFONTeuP=fL-+-gu(1o+IRP}&(RUZ5cXy&mWQ%kS70oR9Yt$GI6Hy%@(A_{H*k^c zOB6gDU9UWqIkd1IWACO6CZ4^b9FPR|3OY@Z$gcK-Fp1rG0alK)GpR^%g6-=L^^@%S z6w3dS+5dYEm=v~k1r8VUo`!>~-&a!xo@(su(ww%)K%k0-~0$IzRbsu_lY$g>M>{r;Q-ofB? z_6u~Vs-FEk2fj71y(mm-WUr+-qlw*i0g#()eHa{VVaHP??iTwqIu6*%UO|n{Hg*hk zi*Bc|3sHG!CnvqM<>}wDbt%`|Fs$uJ!ad#U}4aS^J_WCUd~UnqB?Vm zF2S}7=i%ERuAFX)zQ6I5mr5ERZvUQurWF;tseS!iliIg~3n`Ck58RID;o)CY;;So;CpFqZO!k57G zQON{?|o;{l21Qc+vOZ>Go@%7;?^2bpnX@YriZ?jF$H1AJoHDx4EgU`l_P00?2 z0rCOIPCY040OxEJ*g;M(?U+NH?|Q(EaFVuQ!coqv6ofzI{PqIKBTgWF_A}0zO;6wi zCqD#JOmdbKLg6vz^S@!+iTjQidV9GJ6l^(jrL-iuayN%#%#9mHB`J6ALW(v#xC^Mz z>S^aTwZM!QcQNUEbGK2lZQ=F;zakZV6lG4&yC z1J$`=xjoI8{s`B_7hD`yIvbdy+=uT&7|)Fkf%^&EL2Aw=a$lnQSQ2+Z0p>ly{gLkB zN$zw{2$Q+qSwN<6lj1R!$~{;OE{(hV7uY<_ZEk}1>D&T(STeb%>?zR5;$EOPE}MIi z3O+ep3I3S(j681m4RHC~IO_iua3g5jFXR?%1}WzLF2jUpxIt8NFX3LMys?yf_%g=I zxg``?oa0{J2kt!g5G6tt++aF(S;=iW3}hAe8kI;dau4jLFZ_eCHtuB~jNRex{)_Uzc5Z?IzTM?!P^sn~H<-4EPVT)m zz;tniGvQ1(_a3bqJ=~g~;Zq;?B!%?-Tq}hX_qi9TiDy4*+HsH?R9jdM)}m}!DrOd%=?XUG#4Ic0f;NFcOD>ayvHRNbLUmO384pX_Xn8QlgA2!hhDt%DF?}Gf`zMef-j~0D1oQg4f!WWSLpfdu&q(`8D6csS z`eD4YKf+o#?=?!RB6t}rm^r{(RRd%c?+-ReH1BIJAThiR^qs>&o@oM*L%d)9fz89b z%^o1Jyya>jkJx!W^s61?6;stZo>%h;j3)5zFfo|Oi_ZfziFe}yxZ}JXU4We6ouGL8 zB(MAgxMbdUPhpBvJck&NRNiUYV$*m@LfAab+e}TeblycuT{3u;w0LFmX3<)d#cR|+ zn9Z|$QqGgd6O4k*=S5M@RKOcr2K_?baXJfK#LJ@{1vwBc6qIvO!{k(W&Myc*s$JLS@s zc(3Z<{$<|qepsvJ{X(VTI^Nq&mnks48-ET(VD9{^o46Mq{Pj;k=*1uV4#<6c zKRJZn{9J1O`0%+O!=^8PtP~JG{wG!l1Nd!wp1}JT$ah^0X%OE>0gYh(;rW<%KYxt+ zogw^%w_z=e-%nw8IDgY+2qX9}hQr@T{`N2+5AdaFAW{6NjS$B0uT!vfkYDyJCOpJn z`~9(f59$LUSa;(tzQU^YMe zM_9?>znBg6T)qpH74rEa9v}sFeiCJjh5Qd`)hy!2(NbE>SAPWP8U9`R4NCdGESM?d z`_N}4XZfG6#8^2$y&b}He78CX&+{XU5LWQ}>6yF0ztw^%D*0QqFkQuejXJp(`CRHU zRrCGnct#EXck1X|;@i{dROe;>vKMfLwfqtaMe6t~j)S|#&kz7}oqvrQ9`*dMucp zX;hI57A&vD#q1ZT-UA60gw2De5rT$2Ad!OG3qcMD@+j?%5^TuBywQS@LlDLYswjmx zD7Z&?=OMw9HBdh+_+>3@#tM$nt9C@7^TAl0;P`HEM+KYwLE;4q5TBs_DTt?MHc{|1 zExJhp?|Fb87wphsiW7pj(jiP1R8r)aD$r53kS5q-1vxGFR|!bE;O9BuG6Y)MM=}Mu zUqd}hFjEWHvIS>V;Bo|JTJv)Sn>S;kJi!R&oslodq}HUpK=ASyXp!KL*Pvf4SWhX} z8G*Y$G)e?3kHL1S!1)-iuuRac11T3=r%xd&1e5f1UJ&pNAeDj@6g^i7Qon`zMS+kW zwrWA+UI=Rhv$q0rN$@9q@^x8|kq1bvz_t%F)Y%1(76E!iU|a&*R|Q`N0eW4qFbsaz z3pRDZ;ReAw9S}AOn3PyF2}-08HVdAmHsVde|K0;>6)dKgqfPJ}UD|CyMF`aI2$JcD zX}jPSRRHe_n%839dxEFv_)Ld@Pr*Z{!2Zv>z;p>_P`9^RusH>!N8ms|Uauf!4K(@$ zUv$FLenDs{g!ctnIs@@Q5c(4Ih6Eo|vN9|rH*iI4N zUjpGN;o&|gqzb2;AxsnYZGggQ;lO`qd4zAd0Foj6#|5S{g(uGdnI-)FbqKSCE!#kH zgwnf||K$qb*$;2>gr&4j<_lL+CA~nn=3jVGD0FfIv`FYrO^p)a_E#V*6-G6JlnHa4 z;L};*hp$4fTsT?);W^>_-SFbP@YG~eOhfLsthOKEGRu$%)@C7g7H@S+>?i%p!hA{>YK1X$7NJf!>uF4JMaZRh`?}DHHpO~jDjmdX5YB7` zq*1824o91WGbqt*7FJ7uydi9&gYh?oIln-?MVRw3^lk~w5tz4CcqSJLZFb=X3ZZTb zGrt1nj_{!sn0DbBD#6_qev=IDo-m0vpbnwmLqIx(QmP5{2+vdHuvb`4x3o_f)_}2o zp*Mva_l3?MV(fu1z7X7ikQEGtK_P>-!69MLTNoP_o}+s>BDCkt!StiTtYYVPC@*ml)i(j+DysPqLN}4` zW)OFg?=w*F5XDer;3CTjyXUTC^w`dNHEK)C4^!T3ki@-yu;4Ey0IH^-Ca)72TN+=n>Hp5ftM@ zeeEDeMT$Q$)3N_|End_@A$fvm?gk(eMNuNmlq4FVP2#wSu^VG2L@Q~9NfBLn1e>Qs zXFD-bswgc1Bux}T6P*^_Z>GP$kuG}tKiJL?jT1Ce+o1=su+x#iAAeMNpzYJE2e_dQA=WQqd-Q zy2?dY3c;NdiJzwLKhKNY>FuZx9bAFI3!+zOcc~N!zJc(f=rNs3tQKwC4q=UG^e(Jj z5^Yn!-^-%m4R5i_)8Kr>JE(cghX|IYB z?{5c*7GK>DVT@RyhffE^K~I1?BwqMBG!Bc||AVzy@lTZP9}&w%a4k+8`6`4*#a*;R z9}_=G>1VR|hgFy6rVYV z>8r#o|Kchxihrk|v|9YvM%fx9fOp^Ttb>_T~Comklbaz*?(eW-d> z+$#sUCN82ye7wT;32j=R@(Pc>8h) zTg08e!{{xsiVgv_ia+=l+#PYh1(0@e9JS-_ik}XL(R<>hdjRPW&vSy!PVpuSjCF|@ zdk$t%z?ok0Th!gM_lbEi80;5ce*oxx@vWa=?SZ)M6ATWBf1*ulQ2a$E z#)iZp^okCP&pLq{5hqb~V^n-`BajcptEhB7CSKhSe;&fDDwlzX>i#Qg8-b zu*5|JWBVoc=XYVI5XraHnGKc1(5@CCDW#S{q$Di?Mh{5dJ&ds^N#Qyejg~~y9u*^* z{0RC7CF##Vct{d|AGQxm{>}h0R-$_aV@D(jlzGKT;wTI~Dmg?s;ji338i{%zQlck-oFCL z{2gEmC7;oLUnH4NKWwpNE#2TV5-AmKN+fNxtCdQ|CE&^=cd6KLRt82H?SuXmNnS3vs}kdBaMvV%y$Ox$l4+B0v|eIG=Wa%WWG$skjgn_*_imEZq(j&& z;Z*=~L$W#sGu@PYz7$-G6Ktz#O@+_ClO=)l2xC>_I*hcC14LE zbzT@7kSw6u_n<_x0AoWEYbu1pl4t1^8%v*@ThY~BT-D8r3)v*0YGEOh` zxMaIOG$tftU&835l(gFhVYKwSKyWeABfU^K zC{?Y2u|v|=>A5&8?OO>FE49Btm5(FRaC-mZr03{+fTPleB$e*c zrFE3jW=KzmKp|6l#7^samh|T%V6&z7pMge>wCq_-m@BQMi^-G9{b4O%T9yU<0_h-a zZiUj=&mk<58rdMl(kE%>I3q2g+_FS^lq!~`QX3)5rF-L`cTT#T_RaHBi3Af>Nd2~e zT#(*cO#Qz~>CV3}SS3|bz4xMY`pQ>8UX>nLikYrSm-k@ox^w|;K=o4lb?7xn`xoKL8l|i0&_t8;P&yQvrOmHU z|NVyaC`HOQrTqmUEz+TvfVm~DrkA=^x`WO|-H|%cY2S9~>(u7GE7ed*_@4C2HcZ$d zJ^eojJEb4d9@i!P_$3ItrJqoFuSZJ%TW3bE^n0q!^hurQ>_xxy)CiFGr9qz5e|R9R zJ_PB2G~!3xi$Ur3X|O#cO|JwuEVa(Tyd%S-mYk_Dd`0Y`5sGqXgzn9)n-G%Mb9?rwLJ`3Qh-|(N`9dh%rBzh|))Y*#WAG1jrhu zLl`I%P?aD^#-`OaShnkZsPC7>P{0}@yG_qpsO&jP#l!5fTeC5JxNL+H-3Zz9M`0~e z7C_6+0a+g<(NQwDXpm^x+qWT%mx(EuPLQ?vV5US_9c@lYvc6uBAi1(ZdPNIlzLbd+$|mPSSR`XnFkCF#nu{sU$X5D6uS8bu2Ch_=`#VUPY=GQ8 zD@)d4hH{x#JIFbi<#Sj$FXQ9isNsE(wKc(;3$ijwvMOa)sb*g#yU74oExSXxe~s+s zYcO+3mPUEaWm)EjaI{VqT?fb&Sx^ATRhb(TriNx zy?DnZNv`Q?`({9$}CBk zqDyx49k|~u8>YrxkIXXxQ}oKd`Vd^7?9Fi4?3ZQIA8ooX8+rx`4`lDf0x}>w6aizy zvR>*2j>z2OY5yCQjoJVmleMw|eI&bPgQw#%-m@?>A#1r0G9@#71M*n5;#nY_<f0 zl8XE(^4wK$_>_FsS1^+*-!Tm{rOC~MAQ|#)^ps@EAErP*OTLC2&6clx30$7MMTxO| z`J>;#70CDQ23IIwM*Y7cdF*#^v_x+H8w#cJhItq(lRG}Z*g5&zFJtVyUB2T!CajSE zehT^*l*Qn-jRi3&6W7p(aYjI5t z@?WV%-ze|DjhUL{KTN>bO}U>Lq(y#=n%lSJzyE{jTj3M9J92yGJJ4vC`>X=yuKbxQ zkbClV@xXM+pHBeSEw7}-uScFrk5{jJsu;ri^7V8i?}0p)jx`U+(-***Vfp1$csPPz z66&M!rv-pKlxMKOjmux64P-)oFcZQ_dB`3hr{pR-MUKvjpEp6@P2uK=DclvSri1fP zh~fbCRIH%@!As%U2{Zc?aVq%jt=LN8f{(&TDY362g0=-eMPCje{t6wnAp#U(bm%Ei z@eLCsNWmrVgB5(LCxj~2J%BJw;rcwif8mNes_R84Zij%2RE%_iJD{keHz!JQ#uLzJ z#R&y$$0+=%gm+NUQ4Gi-#SdBF4lDkp`gE+~GS%vjD5mS-Oq@dL3x#;a@?RlLP@H`Z zRuUB58E{Fq5IE9miOvE{(!MOMHOX0`HBn?j1?$c>1`}j zoJa<;NU?Vr^&g5APt%<}qj=O0jS|IS%AiUW$7#bYQ#?z5T;i-^1+{C-6-!?LSE2Zq zUZ4w#ddib46$!L)RVidUF?LaLbPkZ!ie%abYZMN5;OHgAC$m8=E6TkftW~TGgnFIg za5NO`R}@!ML9Z(2ctP=+;_(I;y{>q99W?3{i(ZaYF+t%}JTn1+rI(KQMv{p1ZR3FpwU~cQym!sqCj}sF(5{Ey(+n?R4sGbp|oGFEVu<|Se+$t}^x@NS<k+%a^>C~wEvw`#+O0=yfTkIa;;F#q8`u%bKf`^UD-(?{7Cgr;nSu`u_Eg(0PxdYI@snn!FqeYo}2F~14CMe->t5Qk5 z-!|o*7%1LWeo8Cx9py{MV6^KJXE@f;vOm{0C zo`>lk$KU)CX{mMmKLGCMG>4$Joc_9Hl4Jp5-!t}6mkV4H7W$7yr zjw%BvK!2!o`xUmwl$;=NkCX!)fQ%~#&O?1d`RsC#N#(U8P?%EgI}h?$=}14Qlj?t1 z{ATP`+5h|$-Z-n;sSn_y`cev~TvZijK;2aHsqf*gdXGX)50wvn0OYCaqVon`s%7NU zKGk;=k9n(-eg@~Gl70c(zN)pcu<54?q}G?e>Jlv!0jkxs>;$T=(@Gqqs-=2Fi0WNC zJ#3+>0a_r#RIN854Og-L0*O$q?*=qd^?nhI9#9Dr!9}TTRCtM2-J?TVF)9(AV>zgL zgWA%ERGYs5Ijq{O0y0+hD{ZYuREKDDic^h!3+||DMIKBaQ>`8b7q6N-Md!~FRGY6r zny6yXqMfALJ^*rDrH#V8CsfndVeF(zI2&BD3V%i!FPF-V@{&`k*zbW%RgK|8x*2Jz zZz&x+t@`~WrcYPhr!rZV$~y@&Wvf=c4lYOKOfg8VDm4_yJk^_e+W+!Z6>adlKouH+ znF>|iTId(4GU)TEVpZdBuzg0gf|j%r)mAzSTdLv(f|RL}DbhWwYTgD&x$53SaOYIj z)V?^cy0j5CD^$O9K<|QT_D>L2s&v~iZ|tw}Yi-L#5aQZ@ex zjmxShsKsBadi)~H)Tzuh(72-V42AHj%1(#6uc;aqLXfwx8V%Nm%zrONyWkXF@3O2_PNsspt2-&PI#L3&5U+6SZUs-6!p z#a-1>E5`1rUZKdbLp8o1T&HUNARt{TMlT@Usw{Vq9@Ts*sQ0Q~q*h;_s+8(4{i<7K znBu8=5PGWZ&r<{4OI=q8{e9{R3ZK2z@oS*(qyCpxFJE=90K`xI zYCFdK)te|94N$*NYiOYQ-T%Q%ka~n#eZlHAneb`9dPxR^A?hC`FdeG4@_`If2P_06 zTpdZZz6iC}4K^dyarRq~Mym^chEp->cFOh-s@Ek!ct{=e4$K@@KcWmZR$WFR#SwKP zCCG8=J+06`s=l`mwvVa9=pBhyxACEnpuY1mNTS+f9LOZ~$q48jSD&OD?S%TsGH@r= zZ&Ci2tbW~#_P-Q$6d&Z2`WoGfRP|Zhsn za83ONB@frtTq-8jt6!pAxIxX)LBCPG_dH0G+VwIZ&FT;KV8R>f$hq+1rn-qvG_|T< z$cJ8=y5PT9PkpZ+>UY%tP;0+kowNiK-c^@P1M;4_gfj9D^}5ASw|A;jDc|iq zyVZ}sz!W`dgEL64I+A|TK6MLiiv8*>A+UB|{R6d<9;n&rFf*Wjk%}XO>Xbl?4XHOb zLO86>s>XyP>WXfVQMJoou<}s7d=19N)Ys{`c%)YMKyTcx7Sr9EP@ne3;G}x-1wg0N zQ&i!7tbRxvwv(p)5;R;i@i)P_YU+HT;HJ@9q2R7bX~md_W;*%nsrh9V^t?1RLd?5Q zvxinaZ%tPTI3LaRN=)dh`H%&qpJoevR_d>@Pf$JBVLn5b>;-kXcVSM z)Wp(3gCx!VXE4Qa%@8FPCp3T44u4X^ehGTXnx#~6NzrVjMCg>Jl@j_?jadsWO_R3* z+-c2;E3lHTX^w?nhUQ@^h31)>FA{*s(oAds$=3W%#pfK&TxBW)7JnkU}^@{C6L1=LG42dE@ms?k%jQl?SqF?LQ3T}(*D=1389tZhNk~lDBje(R|TUjn#dr`drLD)zjmu;V;#oYG@cz$yse4u2jq_C zgEo+M&G3t`eOI$34P%{}XEjjh(tLgbHoG++({IqDS@blV>D3fDL)fQD*a&35X6Z6; z_WPPhYB4_0R8dSmpoyoV_n>C6D{K#GS`UK^Yu=-V)`-T5P8N-7_Rz!jP?O^UZcLLy zJ@Q8y+fzV}YfK*lIiXoj@7ScKgjRtm&9AgjJl1Sj56($@_G^so)&5P-g|l6&q29iW zb{XY7uG)39`@3l$QyS>5&8A|Khjv#AAf8&)V~lxgpZo|j`Di^TYVg%+{{_-d`x{kr z{I!`B{sw3hzsH1uT0Z>-LE2}j=^LyapmQkuwFhZy4$*G zLT`jNKOKsZ+850*dO&+C5~KC?gYcksjKc0i+B#Y&4r}MpLmsQ$ zS^&Kx+NUYakJE~RAUvv_NjLA9b{g&e@!C0Zs3&N@wciF!)DEu&CP`aKRoIi-nN(&= z)@D3_eu_3K8XBjx{oi5URIMkKQ_{4bQ`CQ2n@NXR)3t(ja2eY4CX8ij|Dr3*((cFr zm#zKhGDwcL*9Gdi+PrM|o2MP3s#Cu9$Y~0H3$&9{n6OZLR}E;9)|vunu~tQOkTY6u zI!9Kb)zU&ysvW0vyG;8`5ERa8d+50+*Jl0?3g@)0bo0(@e@us7g?0(0ffuwrm!Mav zZ6dd;w9oIxSdF%t0*gypMcRM;KW#xR6l=A2T%l2?tt*00SF}acy}7D&KLgv>v{z?= zT-V;34aItG-H$NSp#6FU6dJWR=|EJI_7OGinzdQ<1l`cKQ@QJ=_V#jEY0-Wd1BF{! z8-?<%+D9uv+O*q{rJ(<(^{s=^JKEYFkaq1?w7lQdDsO_^(~i-+9a>HgNT>FVov_`d z-9))?w^s2UjP__}QxM*(eKi?I`?Q6W!936wQ~oxfjUmXO_BapfL)vaS|1zxIq=axp z>s$|reN>y@2BQzPAs+xUrtPK*@gwb*kr0k+)l_rM~OlsBii%w}5+A;lO?X16m zbkhCt9Q5|;BA3Fpvrb867#H0<+Lc^&e!oEIrhDQ?c<8R{qovG4SF6C7r*6&|h?iZr zo{Db!bnB_i=B+dSw^!-@9)+T>E@&S-_0!FzXvbd{Ly2F2PEGqzuujtpz5TkF9FP!Q zy%1xex<}>kDNMI^Hn?z|mjcKLohzMyjMO>(3GRT-?{g?b>Aa5MBBFKnqGH&N(Y>_{ ziU)Pe=odYtbFct&SXW8yxLDn)NN`7V`IMZ+>4rN2IjYEh(oNF-m#Ukn2PREd zKqqZ7bR)D}X6i&9@FGiRS_@>hZun_f%h7G2LPW0aiMODar~66)E?>7O43Gj{2Dw(K z+ep=;B3&m{$%}QbQH|q_ZZU19CA!ygaY?1RKO^X;EYrP9rGT?KUpi-0u6vORKIe4Z z&*J8v*U17QtkCVCH~oUH^e9NBZu>ews&oM?j9t`~mqWc;mzxY>jV?nB+n020F93O2 zcSr?DtuBj)iRyHk?_l$a?h0iv*L8wV==@8)ZVxSx4Z3G3#%$D8Yk+Ce?OhK{v+iFi zFyGKE{t}Rzy6RJawCEgY)w`w3Q~=qk+k6l6w&~h0LU>#E%5M~gtS|y`LCIxbEfUASGSft?bCe~1~2+`8?aT* zxUchG3gH7?BjvOMIv#b22X%eaM;OvY(t0thTTjW#h^}xi#>R9@D3pJsGt!egt}AbV za6%V;09Gb-4-^pEr*xZIVC}JP2_2$!(ytN1%wGKyblaTumMB71K> zzZa11`a`t%dFbOlf>BTX`w`&0^n3YG*r$J$4j*~z=Tk!AqhD%+&{w~0K5Y8wv#18` zuirL;X#?zfHm&4=`oDhw8>A0g2aRC;!)O@Yum6^+1tI!Xx9(2LgB(&H7Q&&vn$p#EhwY#!2UXmvlVcO8e7SbfSTKpxSrp_6rS zdb>0eGac3MNrm*7-tQ5(c>QH5G!pdKcJVstO?40^>37jjd0cPH!n`N+KOG0;q&|{X zy<~k=86YY8hyMU_O7Go==~MMVff!5ETc~_JV&>IdyqEy&VG zeh6u{K64Aq(g4{(>eVH3f|A_%cejo^n)qz{(_$6O7Ul<-a@Z`mEM)= zO&9e~(DBo1{oVC|*678xfL_vX{tm*+`rp0=vQ{tt2c%B_BK0({=r_I(a#hcb#e~=N z(^ta#>-rLkDC+fl=z1IUFHjoTsJ}zS{U*I-ElfA-D{j;K*P`Dp#^5des|A?8Rlk*j zrZzo~*8JQ0o+A+6(LbJn>D%?qbg=iXKIJI5d-_Rwx;ylrQC!riPos7~mwto_uHE`c zN}hZ4t0~Os)xSv#YM;KHR?>d``hMu$*DE{d{d=Hq{}#{z{mL2eZBV~@0Axsi`Z>5i ztUr(o+avnhw1bT5mr(Kgq5cc%vySN#D5Q9#H`A*&u78qN)Cs-X33`)yCLKYX(%*X< zW9|+EaUfm}`b7}>IGoc1;_J{rmt^;I_=~D4{tnikAPsQHa|JZeVH!1-f*fw{1t!?x z?Ig?_>X5Dk7vbRg2Btsc&_)6JVTV9k?qVGzYN*FKyiNUwqYf>vLE)Igl^Tr2J6!w& zV~GymQN=RJA&ORr;|?)&p5vt5VYLIK$qrjTgY6WDZ4?Wfa`-n4gQ*VbDkz?Icd3wzuOb%g#!`}hmnjPM)2iM}jd>)WChaV^*x$Tfl+u&V? zsdPAc&!Oxo*z9un*-jPWZig&t0Q5PmECzYt@KY(w3_0u>0~vPs>N!9s9X_Jva>`*p z1^9anWsVR!8yv2JxEQ9WF79eDQnSn5z^B@bhhgk>jCmR+gJ9F!5M2b~WBBlG5MP63 z1jhUf@9OCwn7`raJ5UTTj8XO*Xb@0!Bgi17E_JZsuN*-38xBxAA;b_uk8Y^JEgW7% z805Vei!{`bwF8DbAA^fBH1R;94P{?~#29AJhQcw!b~;iJZ@5A}B^YMy!M#W{EPt2k zFG&W$3b4lwd*U(g2?O&sG)@}gs3ntZ_+te~ilI#n;VHwMInYlvNT`yKW^l5AJ8h8A zVx4ZVQ#~-laO`i)n`ziI3ZJqJAM65`ZMYqb8FCC;=L3>!_?n)XJcA>;5%wARhTZQ% zzre79`dWpCC!WBi6&XVQds+-ODyN(=#8PfpW>`oc+nhCgKM%Ic4X?fda?UW_1ju>A zxp^QJh6yIDTrgBpSFO@;X#{#zh94%tT{KiZgxl4In{*JX#$cmsv0pNjP!a30VHx!p zY7O4>8AY98={9gz48d~fUo|*wfbg2(mycoQx}mNSq~3700$0&s(9DFv34mG;*3vN!5uXY{|dchMmZ%b@y2KA=S?)W z^ugaGW5(N<=(w?xUhNac%4q68oHU-e0o%#OO}C(tVq8RP_bFovRV7o63n_9;Gj67g z@w8DyL3p|`n)av+qk_V^OyjTH0m(AXb%K>_qw6%-&M{`vF{NB%={rE?8UMNqWWF(X zD})8c*S5h}p>fA)+W(4-4OE;dHu_%2HJvf`Q|MJ6{- zlpBjD0Xb)UK##zA<0|UbUNBZK0jV^`Q1`ORIQIy=xM)1G6v%4h#scWo827k9;gZon zZ_{O?AL=Le8MVe@swdVN|6Glkt{A^HWALgmnzra`#-cgUxNeL(4cqm`#g_nSFs}a! zwi}J=Bk-xoSegjZZ2XNr9lc>(Oi}PnV=RSpEk=)SOn=L0rgDC(F~<>OZAMc!#%>!O zsIXCw9|GP`@|UQHh$j-uE%(5H6Xo43x#}r z#=QoRe&f2s5Z*UF{t%D{MkB=_1I9NKF*aySq#$d^Xc~phVdIkvG5v@!={=B9yD^)V zkB7#`h0qu?zD|d{9vRzdrye(kC1GsBn7#)dP8xU40huxm9EIXz<9WKXPNqmY^Rd^I zO`RQQQyK%r#k7bbRaetaiY?tti{&uwZn|aw(!=!k0{G-GA;(-G6Wbodl!YHb7ZsOc#RYLA)1J_Z+WnrwkWg6UOi z_$Qk3hd`1{cMAbIZsO5zf70{<3qB>Ami~;f6w{Nh*+Ea4HnCwl)fDn4%%qv-Qs#Bq z^iL(m(oH96|I9FbN@qSYO~(g;%recVlPB4xo+n@}$F!MhB)O(Y3dHhEA5dhGZ(2JD zuE5kzt;s?Yza0uiraU@LR&08bx;AG_Sx)r#CrV70sr6NAdg~PomYLQ!!RT4j^Z(#N z%S}5eWIShbQbGT`X_9h?3e(2_x_YLUsku;Ts-k*gm1!OA@YSZzr$fEQ^a>rRyJSkB zXZ^A%FBZr;)2p=4Uoln91M;frz^nBCx38JLi3YUZlt4$18%#?nDq26^qT||Aooo_Qzk!X zT95%_!=|m*K}Jk}(rRZPHCZ;n+9T7j4rV4y52#HwX=2l|nC!U^lye2x+i6jmjdS=0Vzp!^}@oBQ4zAL}v)2&FdV&9Wr-Oh3K%kk9sPx z=32V>N6at0gt0iYii(6s%}V-OGtpcS2$F1edI`uBv;8oTY38-0e%f3{adxJeGX$Tq z%y!OsNOR4rx}cwDp0Na^&^$;>X^}b416+yO>kOc!=J)And8PT4>$u)3^FSqxR-2#N z0j|cZD8kq!bINZJ)|$7i2B|ae{})!Sm=Asq^=oD(6(_HoYsWBFZ(dHnYlFGUz7?J} znq3tjP3Ecpq6hN`?RYoLtKC6vn%lpJnHKZuwYY7!%sVLuzirOUg7A(RYY7%j^CCLk zbXDxpOHLhs+0P(H=H?zKxki%;~!T88xrX!Yz4ZewEHDkDI5_(Tz#77sahp=IH}a zcx7^y_+XZfQaih-7ak6|>(@(;aZ`z>>+=Nw{D(CrGfxKS-C z+)~s7y$H+9?_ey_(m5XrQ5GH-W6_om??WNRvgilu{~fe++W7n=Gu;o1MT(Op4 zX!SZ`Iq(*yh_n0v=!~P5u1y$Au+&hmJJF(Q0VK)t9KCqQElR4pp0NB($z8JLwQb;1 zEFN_8Pg(w?o1bbq`wX}=i_dGgmUK%5m1gZ3md3L%lWBQCk6xC=i_-OMO9Tb~IhOZm zZ_Kqke*$B97WSLCn0(7Z`WmUw;!Gb*6j^p}gF>;T?>lg3EbSB-lvwuDLF6*a{dYjl zT9$giO1Z^}LcMdAkuX5cTej1}c)@Oo{~ppxOSU&0uClm&2kAvi;0-8NTg;6xddcGU z8-$lF8FC7~8mf#o#9oEZa_9I84G zTDH9aGGuA4f!?r1VULDyqn2SItUa{!(=n$pi?9*gBg^0iFf(phLw-+M%0C4+Wyz!; z_OZoITZXgsgb5zHSUgtgZKpwDOxdLI7RYpH=jCJ?lAP22q{{!_y*2GAV!`8rc z6o1BAN3X$~IIDp&&7;=Lmtpjn)nzgCt#eeis1(oyIaSa(`L3azgkg|NulNfqs4tBM|)Gggza!|UbI%yHfXQ5UUz{;jrG@s@a?iS z?n6jxt<(O1(K>4xb(ybNmp5SSs@0kNzHSwL4oJOKCHc>69+t zw7yT9TZ?s(7shT`=PkkvZB|zWgtx8FQ5<;38vGDkyWQ&1hrzqn@>c=vu&R$?!cOb@ zYp~sA9U*7Bt(&Qu+GD*L2GVQIp|!iuT12aKzg11=$L?DfP}(|R-FY7hgI4!4crj${ zrE^Wg*6rC4j##xe%=^%)?gkmNx=^kDk=1^{6NBT{FDSU2uojS0Q&uC@;~!i9ltSOh zmQK}07u%JWpy6s;e*nbIR`miP?zX?KV$8$lOKY!}?Y$JJ@3V0!*9XnuC&;j)*+csLRqHSM!Vy1((xDr@7WGh++D~D}iB~Xa9aV>EB zi0y+#@ad>+B_(dhY)}44^_O^C59RPlwqYvm9=FXL0C&R1a|QIIO??zblWk*lAg65W zS3xn=w(csBX|~;g5T3U2BEY5FR&`@6)7DR=p)A`DcleZTn=uC@$F}7S7|XSV(<_>1 z`#=biZyTzk{jb1QMlXD!ZNuwuxY*V|7vzl1b0^G{*v9B}D7F1Tn@pKa(}kJJZ9hwi0eRWx{5!Z>o0!Uab+$?b z*!CG$Y~F5AylT6&0E5?U8@>ToZ#zI$z6RSz|J7}6Pq@P2CR_9zkQ=sJwBFpb$-D_j?f$Ot%QE1$6>+rx7_ignQh&`}vp}=O)mQ0m^A=^5t7Y*Cy zs{wgv^QL`h%qFJ^AK4P=M8kyb6cxWFZNGkm3!Jh=3!(1hIGr9OXS?G@szkUra+9I& z>i9Y(=x&bBs6gBu*>v2&)3K1UBQMAC^{}?j@kLtmy&cWj;Cvk4qBA{yj;D?Q>F=2N z8uS7j^>l1;gPC179VA@p21p9tiOLNSoRza&n$I3~}A znM_9utv=a~-WrVMI=;0Zig}J#sYRIYcm}!4i~`4Rz5*$9R8m)=*s+sJ0B0O${vS=> z9Z*&M{@*$Gvca?*IZ7*Sm=$+#?sis|mYO3?%~_eIX+b_Kg+a>ljPXTV)|3*XKQu_p2KFaL9r@+N6`*T46<@WD?50MJ{ zook?9WuHUu*d61g-&{DXw=bn!pwYgZ(wipxXO-aH zvtJTL=dYXXKc&lVvEN5oaI1aCTtwPt|A#BEPW#hhXmr_sdIMOu{oNH%yl=ntB|P=m z@5=|T*ZwOVh!5;rEui<%e(4~$^YCw?;`Yv>?5Z`^o4ysB{n1W>-IzArTwBHT=pybs~-WpwqHa| z`UAWb)DLvzC3XNf@qX|E@gVP;Z(!vR&xTeH7v2whq2S6}NgJ0N@8KCp9_AgT%E#o+ zTR>Zm2X8_xG(36j^xk{%JZXpb<^4{{mLKordjS5t@I;si;4%Hs59DpPfMgJlrI?QJ zLg+NxQC6kMov%3cn|KQ2~%V$vadDy-;4*f4v41uka$6;kbq?=?8h{JDdz6M=*b z`O+})5pU%T*nG@$rzH9b@5_4H|N3~V=@;$iNhr^F%KLE$iqCknsB9kOopFT5bDq^9 zU_-oB699&J7pXn|f@fU=y%FBMrvNW`r)c=|iZ@dNPY3v`MnH7rH~#?zCw>%tDR_{7 zmd9K^y81EHPD|wk1jNT|A1cRApX%waCn42j{!K!=Q)8F%#WlNcnH7L z1{ZjYf87T>({cXtf1z=LKSJZnll-DVU}5~Fln#dToxg%;1b^~;fJlD)b(o3bZ(9fK z6#vFiNJjImsTw@ZuUrmd41XClsABmYv^AgQ|C0lU=lIX*bLTkzqaLWo^A}UDmB1g5 zj}pxiP5eFys7ZY1Xi$^+jh{dxjsGbX_UZiA&5$|IPs;!?gTMO~Bs2MAD3!_L&!WOU zo9|0+RSthCWp@|&7bySC<&RDQ$m6#sf|t)PlmJ}fU!YCAfIq4jppYL!$BZuXO@ZIS z=oP*_RaZrPYf9^`@moKEi|hOVYChlK7mmj@74zrN`Lz@x}B&RPc9)fOwlfuNTBheiQw?Rs0!aK)l1BN8^@i{$Fc>)$o5ZZABQh{Kq+< z*6{-uK;tgoa~z0`{6^w6@ei_y=^nqHddbcFiC@E73;(x!@YKp5_5{|(-%o2`JHN04 zBAxsws=B-Q6B41<&3`r#;6DEWjjnq5Y1;sL`9IMt<^g}g8h_n`U$?JB*I^?`7`hW1vXUW1PNAc2k(gB8hu?5 zEHKf%I3`%X7vQ+y%Yz8?guucJdZB{zNU}_3;eub2fkp_bJE0gUm_^;xQv!EN0!|Bb zw4}ud*3u!oGlD*9oSqZx`V?m31Scrnh!?CaLD-3cnsi7e2{uu>kSrJ|gOyal2L&Le z37)nCqze+SK_Nphg}y|~5|}jtGi3`_kZ6t|ECkexg8l%w$P@HXO`9)>e+`*Sg14x? zC=}p`I-XU*o8N#~Bblg19o+yloP!xdvvXpo=oNJA!Qo0ICJ|8lhMtm@yNgwE{aw5bFfG)sSou z)KD&dS0EV$&?wkN^Pwhzsv4KwESO3?hZaHnSb$bRKefBs1gW(DbqGoyfY>S6Mt!O- zf#(r`Zh`5i1z`3FIM)XtRI11pskbkYDxnZ8bbDn2#Mis2 z2jg!LWM-UAYW-3685Wc$|4kLvV-v$;XR7`-2Q^L?~AVv#!(wY(@ zjG`v+Ss_maV{yVI6lj9*5X3V5HAZ^>L*?ien6{NkNQO@|(iuVt6POwtfasCNzc6{Na}H+eu)S3m4vj(F&p9V`$tK zp5Oyi3LEIqQV#fmL{TriFc*O~2xrn+ zk-NfhAMhH5Q^OEbldymignPoCWsqzZ{;Z@^ZeWGOV-guB84+Jz%qA=4q8 zJ{tO+!YNT8b_w4tgx_wVDHq_ru$~Uh_Xx{qN$VAsZGplA;ld3dJ`~#S0(d0+Y%Lr< z7A~MKeV+)|OhXg{LgpM4o(UIGYiH0T{Ans=o(uEqV01{hotm=4!ZGfUc_IAD0@#SK zRtJ%n!ntW6z7p1!z|3pmAiXgMM2-`HIf_yhaOfmbeFWk`(Va6;a29Q)6Gd*Ka5~$0 zSfuI3&2txJ?M9#;q84gGd5TPvDK;;W_rK8a7Nxd<>LdE$C&>7UyypY>i4J*y=r8J} z^A!Q2A836H6lGGq8zk~ffcg=Ugt{?DMQk-hf<+y)Lx+f-P_zA*Xaf!9kBesKL*#_$ zC2c?_MP*swg^IdNZvhPxEssRp;Ud8_U=gAp$YG>Na{|_)M2B4fPKkb^q&8ag{?|}E zEvoPUh!MHI3!`U5zsLY$MYE1T#JBSIQ@BV>aqG&p; z5J@8U{q)`^i>B`fnj$)P9Wtq+ht%sx6HSZ(NEa361DqEHP{o%a`d|kF%@(~I58FAS zn(451K~x?Gk&B`O42mi5+PE zcSSVW6X6$$YR`gsRkVg0+t)-6)LgkP8ov=S-4IRs7rbIoS1>?{=o0mmZi*h70V@@a zp`;h z#Qh3pYDGV~0n~{a=&sj`w*HN)Xb`V+AD@s#C|AFYu zLdZN6{k0ai^2Y77%>})OFJX(qjpHFcufq5XT|H613M?) zL0eXwShEfa@!~)l+$D$?)Wc|^_+MvWDPo6pz*5D{RG6oUJ+}f&7bjD;a$f90=|+Y) zhK>SeivI`#mL*=(OJ=ggi6tckBOfO_$_-Eh$$t__CdUGZXixf;dJRFgJ|>)N1jPdxi$+=OQF6xtM9#LMX>w2He% z)8C(H6PqZtZWk}2U9m&Vqy9~&cs&=OOYA~@%*SF84Zxp>bB;s5PnoPmpjk{qfgoh2veaEq%X`#G$+N$#$M!^4t| zDImH_rl-M}hs5erSn-rxFNcVizTMZB@nfnToVUp#P(S%E8(7GHUi6+015{r0X z(Gs6kS58XZ8Tl7nbM8(lF47-&Spp+QlB|fBA{h9OVUkarfiAj zN{Hl0-kS^47bK3Of#pfe{)R}t1kzSx1lH$=&yeT=m2H=*Yh!VhB$-A3jv_bL-jSufi3MN3IQBvsyV@;Bs zy}()|F|-@DOMcdX*dduhNk^yTn{Vm+hx-zp1@!wQqt`>UU-Bhovri?z{0ZWKL`<9U zGs!GUn4e2_QzvPDi#JrAf{R+esQU z1quhHi8NqwmcG3K;E;594!wV_QfJx_+@)@`6naP})2r$!&89cUOL|le%tyL#34pKE zv;&fU(s9%b3X%RqYxXhewRpHVA+@7j_@wmFK12~Jy-kl*nDkdN7B1aT0)+_aR630v zB^6QvcS>qmPyNqmsd+IJPfI_@fM|>~ArjabsVjY85-a_EIn11ua({&WIcYz=_wmy5 zGMGt_zDG+-qVzNRrZ`EepoVLT^!GymsnXWZ5k-d7jgG-&N}aaCbe6Q1wwfGiW4b6^w+767>D0#nm!!Mi0JT8+wmq=RQm1%;E7B=srbrq@S;uv0Ed9-|8`4`3 z0g9yylOR$eU7rT*rgRsrMP*W(f8ptt^p|uH%cT|#AXZ3U3UOt(rHVc%R7xjOSyW|` zz8MCYJ5n(ppjxV;$D~d=(gcU~(pKs-H%KG0pmA4P)&ZkUQUTrPd(ygR;5ADpod>Z+ zIz)50R_R76Ryw3Mvw(FR@-3q1mu{ur%rogX832RQ5qj00OSfPrFdLE<79rkYsmEIgW<=Uo4Uv~p z4ee0}WN~94=_Jeh1~w1M?3MyMBpa6k;3E4<3_Vv_%`9MUvd>LXuy$Cskya>onT}4_ zdB}bXfsCi@tv?~-B`Y}uqu#RLXx!=}TXhj4zA`1b@ROOSN9iwfq=hCxHfuLTj>raR z+YgZ)=?8W~Hj~nuld@k%A;M6Z*&slete5u22-&rtY5ou?OSOY&l&tP8fKxIj>efZe z-k>wBr)6OqAQB^+E``HbnfehF&&gOydg5ex2Jqr#lZv62AiKE-yhPa#vjCE0=Jb#z z%cgrlBt_;&?SWL8CEeI`nfv=7X3C!U(fR8vSu;I5*)onT%;d-(Q|s@7EQ%6^i?X3R zz;b2dX`G%Xi}?i?lP~+U3)m&u+jGGykY!V4Tqt|A01hw9>Yo8zk=4ErVv($P0wk}> zQt0%+HQ8hO?TcmdjUblD#$(knncbBA_eZEoWd>Jhl*#I8j&Mu1gR-o0+3Hq^R>(x3 z!qaV8=yr%y$}9p9ewA!7E!H)%>>ZG-l`ZWBRwr}$16aMxQwPu>TT}yMcV*XT`)!mt z2jj6O(x!ssKJmYVI4Wi!UY$`e^=9fIkTvHyVBFAHph z$Wxi)F<=8GncouVKa>4wg3O?-aw=>;m*pfvaY(iZ=c~+yW&PBAej%%-3TQ<3Hoc-R zWeQq8Udc3fL3}M6rd7a6et9?456WjZ0yxY6AaF>|P}kT+E~9GQRX&Z*l)A~c^T9hT zH;ty8+FkBT+rEeVP9lJ(d~G_2KJu5;R`ivJJp|?_w)jm9oP z^6x7^JR&dt2MR~!MO0G;%Ri;wTZlXyV_vgk^6f^59G9P_S;`4{=LPUi$}gBGOAD26 z{|1U-^2v1MIb6QQ8b4QreBEAXM9Rk`f*2*Yq>AyheAG$sV&rYdVf2h#P2JzKaxVSM z=j0FRNNk+^Ieq_-D1Svgha`CrHC~eC^9_(pldBCdmM%Z=E5Lbq_k7y_GUUd05lxnS z#xj6x`InS-Uz7*aHRa0ZxC6_R`=o-GFE1sLOY*mA5?mlR#K2Rbd=vflm*q+SWux*# zsUQ}~KcG8vUB2ijLc1X^r82!(9ySE^68YD3SpTLxe+$hYO68f9Q44A2ryPgNHR$f7=Vx9aqHF)*%=x4CfAotCNl}34f z7W_8J8=gbtp1hYjw9WEa)Z=fFdpSU)Rj!Pq_peR$Pi zC7-$*#BTYxw?MovH$Mh5J#r;|NYE>f`3m5H{8P&39?KU8Lgb0u^CXCU^7)i#_sb{! z0PLyUNCSWYxt|l%2jx>K-skdvjzPpUB+va3`onTr8ZPvO-1Ig?N8~^4g5pcL9qj(7{Nzp{v%0b0j6QSUui0_1?tD8Pd;~milj7}HaOk7h`3}tZDyq1k`YG~yLG)L!bb|vF6=whf6>nxk zBuLRP8lH|Q+^HNps#x(Oc)^Nq>c9(8?C!y>JfWB{8`w$3%~|jis)#=V5U$uyDQbjb zoB-Dusdz1fUX;Q#=m2K4V(K7bJFOULg-nd%Hs#xA6km@(CRQ;*RqR>Caw&-C6tig? zjZ;iXMxgPEah1T56zvNjlA_r6H{7QxY;Pj8GzC)x;(5h82Y_WLWVA45D$M99$yS)X z36P`kGf}(jf+GKCfLz6>Z7`ar7?1(vE52%gwSxcesqp`MDps5wfYA~~wh{_A72|$_ zNU0)~a-TBAwt2X;TZ*tbP%l?FQU+F`h^O7`wxW|-S(OU!B4AaDny&!vC}uWN|Fc>V z`v|esDArNJTdSDn1EY0{0IJ&S6>1_jC^l>axU0BEhdmk<`P3$BQp}kQk$Vd9Ggxa@ zJiQHGi-Mu9RjcCRVMN%b_>LO9?TQ(cEOjZag#vUdQUXD|uh@8s^3NW{0&nQ|Di+aU z@&}5STEzWOp)kVCBgIY{eLYr0nZw!>MIN;U`xL*^uhp-paeDdsE10QI6?D*|&N zb3m#48NgBblAb^(W%*H9JE#=W*IO>iPpH}Fs`Q@$JvZg$CD1#pJiZw`cjfbBU>?dn zEYv-fLOMa^rR=Az&RgmD1X1`X57N`=tDIv4b(5bmAq>|1mGv}w4p1K71BZdiWQsIM z`B5FhKcZYi#q3e#_Z}byD@W7q4p9c|gXA&gy&~|AD+6gNa6-A1nuI5nHdLsFDivZrsPC188rpGJm=mknp8n;0qQR({vB1y`vqhKXj8D0hTG-W0o0Zv!?>)|O= zS=|m|j#9b_dKZ+{%`kmYY5K4mGP%kj+E((ECq4%=U-|n95HBfXzlBDDvWhatLgkKB zfXhnDFhp@hnMqUEBBc+tX0Iwc>D16QWdgNPt}Ata0lT66#R^!lvW|LKCCUX<6y8+6 zred^I`PnZ3Wy(I&WEj1reCINvDOXxkf>NRUjAp5~l{09Iu2gnMLa|Eu`w-0BQO=_B zrdnx7T&ice;WtM zUS$C74iA(I>A2BD9HO$IzZD(|?1^kn%C5GQ-Lhr@(um%%c|Eh_Y`Lz)R&9BjCMKCXkEQ za1W6Ks>w9La#V5uK@?7^FE)X9P!*ei(419`^otx)jhX|$E~;7@;kv5Mn^FJ8O|_J| zL5EevKfI$93^HAOOgBeeig%XNBsD$_T=n@TL~%m(r!&AwRf`*}gsLV60Si;*QwkKWs%e6;2vwXErcIHmXll4dspQeH zc1pE^`Zv+42`b2(R((NdonurpZ-IA4^$YF(v8vKG5YMVMmqFy5>Zt`loa#$@H{(@r ztc1}7RXpt~iK-YnF_xrydnrJ&s<{q&DXOJ(P9as5u7;!YCe=^3ppl{4LT^>3>Tou& zELFP~uxynT)%Q87zj7gZLFF(R`WIEH{s<;lb&2|8d8#&goAXs$yg|IAnu#WfS%E5` z7&#bS86tWr^3e?_&B&VLrE7Ezn}s_H{87`ve|C1e8LR6X7RW~r(m7@$m5VF&$N zs%vzXp%##WRqxVuHmO2sTezn>VEP0y%_OHD24#9n|N>A0*168y?Bp<4>=vdYx z)%X7m)m39`Ala{)LMioA)#6TC^9NLeBT#&%3a7EyTdA%0}y?ox=DNEi0Vo+ zL|&?DsU!VL_4P9FUaP!pKy-3Q6T;ykhaG<4xj9740MElAEe3kt4m;@v`8k{l1u@XU zmbTBM4!8Hp5WVJbFB8P;4x@tsN*sF5!2L}J z?Mm=U9eU^;DRVIY6biQ-UgX15xx*mU=M@e=JK;iaJG@OPL6yT6D#z|Pxc&l1)ef73 zL9B7Gpw-S)>k$1L%+xzPt%81oL;eI%?>bb`$@Y5=%tnYdJ7m#T*WzGB=OJ4iKBC>F z&0%02MA{v?s5I?x*iY+Yr^BejAa*&J{Q_g%4$Ek7yYFD%1-%}J6;$l^I-IzQ8}!KG zJ<6H-Ob$t3!S;Z|=C1*sJN!*)>BA}#2S>XY5$f}85Q$W?xd2h> zx)`XRQa8Qa32dKM+gAgNQ441QoKep`1;4TCF-stMR{fs?VgA)A9>NT%GyrMo~3s9uq6@@6Ssypd)Lq*r`};5Ik5|D=tvcr)=+~*gp)=O? zYAb5LHmD!cntxY4icaA)s_%(#O-<_7-=Wa1K4%Beq25Jp>Q42B7KGNNuBCXp)w5{v zyRTkChXH!jXXqqiuX-sxB~R3UZG)pebqyABlUcv|F+E;S)te}#A5ix2_snep&%uj%N5iuzbyX{jhRLvt>0z3N+i#!&sqa z{v=qrtSP3!&J~TxDFkSdW;=cIb3>zC1gu!|geHC^n%ng3+|)es16Hb;Obc?ECg~PL zZfSla+f|wtYJl9)jH>{#T2qk^_cfYbb3v@ttfk_tPBYIJR_Zkw>F{t*Gkqm^&6*>W z_O@t_n!ZCAtr{C@!?bCdseo(O+@xfbR3?a{2I8{Dg@ae${M znl*_q)2BHkfv0}WeL5`sRP)Q5FgBpsM>C*jnjh9eWKh%W0h=S5?racWYGP}Ez0&l4 zL;2@xjVrAJ2ehRUV2;{e+H0J&Q|aqTSMB~j5Z$yEf5Goz?T6ur!d-j&Gw?jL&Gh1U zYNyr1ub1|x9RU8?UDTop(C(noWS};Hn%+U$<#Z2^X!B>n%2BO!0VIR9sqfSKcU;>% z8`Klpwe+i<)JBkgsP;9v2-AN5FGM4?Jj&&xwDaBpIHg^^7k;C)+s{Grw3hoJK#W%I z1Kt^J`XOMk+O1f3%+6|;T!!g$+TUnfh|_w}DiE*bQ1FhzSw1C4a;k2_)Jyw;Qikf9A;3;j&3J3XXXTFu)~$kw*S0_14hPs7RuZE!5~ zE^5nYa+a$-Iv&J4?U#!nlCKT<7kZbp>6FP7Xm`-0-gHCjvlT{*wO5-!Ezx%Df#OZA zGquu6wL5R&Cf?FEZi9ZgHo^?nDztm)NJFJIlMcMy(Y{3^ifZk?Nl>WKisu8=X-~|6 z$X)Hj0bq^VE=yodT3c#NH*358fnJMtyfyS%P1<`6aNnl=fcA_It=1KwQ`>kCqFvh7 zFA!q4b{yr+_qB(M!Ryg3(ZgD=_6~J(9%yZ*L;az4MF}Kl_9NZI2mwQ>%IjJUufSL4d#gU-$97J(k^I+!vng6 zUt!cyw?l!ropj&QgLqIkYZF8c>7r?N>#B?10iK&Kmxfh`b<6euxa(H6g6E;L`va0* zy1kTUcp|b(!bQ@hE8mEh+TrXaiK@W0*F7g#5 z6LmJU5hUsML_r~0*LVjCDY^qRK1|hF((jw5+eHa$rY@WM0$DmADps;}KNbVa(dA@- zcR{zzm-;Ukbvu8Dey(mV74LaE6FnyRx&wNE%en{A;9b$xjE2J^o!czvU(+>HYxcU1 z(ZW-)?jIJ`N_4NAf!)+uxC1NIz55~b%5>A*K`hs;r6ldPZt8yMRqAFZK%`2?8%^II zRqHbM;X-S4^_1Dy>5Lx$tJg)ez*B=R#246I-8RYv8g-+VLFArpEVW46bgL1eP zkRwp+*LBdU`BZ1#1LlCvoT}Jox`J;2hIHXCAUUj?R|t_8y4xEd@={ke3ASJ9yfQ#M zpx08a=cw1+MNCfm2g%?a)JIVd+FAb&b(UQ8)9*mfO+RB2h=)!3kx8Js>qEapq@Mch z&!O+7kEB+MkNy>Hs=oTXWiaEXe}~o^fBo`_h$28Qpgbc;e|aK6h+a$S`Z2wR+Iz?K z|4=4#QXjhyAXFdb37cX1`Lvma>qn`9Md(d*#w1E_$}U3~r}Woqz>L;kpoKX`KR~Bg z&*mL)48))W0zXB1w7^bv2Xqng8X8`WHTsOw~`f zhDe&eR0EN8{o=#G&g&1-OPQhnunQuY`mrW@y0i2K8f|9leU1U-=wDw#Oc(Tr!hl`W zA6f#Ct3OT4bDq9yG_ZXAx>D#B=>2*jS*RbD!rB%6A{qc((_gIvDAxZv0LfB4I-Pg| z_0#g8Ua9}^2Y_n*UM1A)^b^!HSgP00uY*j3{<<|Hy{o?x0&q`1_bSY^>TRh3(xz|a zL9$)H)ES^d@8t;4sbBj(+;{0eO@ey2{@Wj6`@VkXZeTt7`V$a&pwFYjnGf|zbg24~ zerz9jPxR*Zfc5DopP~J)UvEdphMwyGI1bSP{S^AqpXt*tK<2sr&f5S(`jcp+-^qdP*ZOnm00#^o(SoD3TmLj9njo4$Z^Hq4_!*Tt~-A6RiUvUI0+!sP_+uYFvDspGr|oy4p4|N{1E`+DZ?Bp>Y@!A z4kS&d4I{^4G{&$;2j&^WZ2Fsf=L}^fh$7Cghh`fIhPed*i3TqXK$2mYZb`CXTP8q? z!K6cIX@)mxKRIvUSwbYku#l#%S%$*bu$gW6`Wigs7%CcpT`;_T6}*dv3`*JZOoo*) zFmu(g=P>lI8QRxE^txf}Trh7KwngC16dTf$VYI|>=VxFy4I&!5lp21dc5|5_+6Lg3 zVMRF<$_=wA+o>>!sf536xcfaWqSD|@MO~G_CljXc81{Yvk!k}=Et(pGsrD>_sx|yh zM}z7N1{H#-Hxyg~vB8i}&-z_MdmOAa8Xnt$*JM~81j&1bDT{$M8471dI=l}JpHByP zWY|gR?_JG)|xu)!As6 z5B)>N@Ii>U7~iD6f~zryGEO(+taqV**f^GEuwXw=X^E66xz1r(1MC3G-8#AsCt zaLo9b1iX_*5%pw4jW4NQjWizK3lL?j!h2+P+IWO+X^b&%E+o$wQ&VsevBvH6`kytn zP#qR;OxOaE1Y>?I+$S2hy+!$Fl95q>nr!?s8*!%??@-c{YAlU{(KMswmjLO;<8GI)Lh_bz*Irn;Z5*PLGIxx99w0Uv{Xd0XlW|NP zB<~qt(6uz1jCqtYwiwTU1#7Lw4%)fejCupZ+_KLGR^ zBYuX+17j+cE)R_fvj83$mr;83*ceTP!4o5HEgo5;?h#BsHD25UY{2+0ZQ_H*XH@VF z8%^$CLNR!@Wjr)OX1jO-9GiXUTZkT?z1atfCuYwqg2R)uCq+Usbhh?W=ts<6vnotT*wh}o4%I}R})X51wD z{e79Fxj_9Gw`9nKFgxjM!()uy7+}Ylg?r&9iurXp6i+eNCL!o(<~;#;@r;npuq7}- zTj4E{DJcM!#02z0B$?SuYkDptr!**!d52chd}f$_u}h5N96S^HBnTcYUsbJQvhu=zOB|SI|Op7CkcNt46yBe9(=i$DIVW?4fk13>)Krig>A*UeP1j+ilet1Su#5S)1EAZ)tUCp3_nBG`i1sj{^w>XO z4hKWjh23%wimt5A1w=PCL=EgPyIKwx?rfbHz=I7*g`_7tJRTxmtdw$YZ}zDhZ2GXL zns7893od(9*wq&SThsl)mK?DC1uyxO-*24XDj0&dV|fUYNVL`Y!9s6WYZ`& zDrH?)L$Qqg>jkiKwt#xgt?bnAA^Dumr*dG3UFZabVYZSIffwu=$}>mUdpi)}OE!mc zg;(sMu~0a`*>#-OUmwo6_h8hQ(?naMAIC@yQh!dvA;<)9_ER(eBxlus6GhJ1OAra; zb;cusOK)Dt+L(esqZ+3*o~Nt}xYM3KzdN4sSThoK`W zshq>7>HJe6XYvJ5FLMG8K;sI>CkV_U&UsS2%E_ywJHyGONAEi4EqY3BaLTC-P|R7N z2eE|X%R!(wIo(wFmvVlm^8{s_=YImb#km~~$#TwfKClYTkR6QO=KK=@tdesJU*_TO zZ*iu&fcluTHwqy>;Vh(Nu8(8Q0`zmHOa*w#`9TbDfIEZc){fl8S@7$`{f$18Kgj*D z0P4=%2+9WzakocWkoT)~Yy@C4upxBeaI9p$>xwS;gz0|6qrm3u%mMRC`U0~*b3 zyoF0k;I8`=`ib0eod8K(=?c1S+%+KpDO@3Ct*P8Vn!u!SEotFQ=PLEU&U1HEz)A*p zHGN@_$z3uT#2l_O?H(7o=Wau&a|da7U&&p57#daFDYJo9bK~iooEoklWq2LjX_V7-a+5k?rHktq z3B7La7!my5=Q1^jsfQazZGc|xY8o#-;F^ts`a`a1U;<!H1U%(l{t~v80EmO!Cp3F}&h=Oc^&#%-7GT3%wg7rBxVLETHNv%{ zN!ym-g+|0>v7+`ja8XPCh7EqHZ#?0B22sFiPQ3-74VD|=g*~|j_`hP3-)Z?^| z@!Klk@+$L26_9I8mKE%wy}BQ=H<`252;dGAN3~fs^OCxWHO%xQ5UFJra6znRimCkT zVkXgf#BQd9`n2~M?OcdFU`$Kz!1fRmzyKX)f`5jn6Z?1rJRM|Hl0bB3Bh~^OV&A4J z-<3Uc87_R;_5QH!#~!9+$DbV+2)zI{@DuO?*&Dk+3}SzN2I3KROCj`*vZ^d#!ECJz z#zNRFFX8qW8)Lc!c${_q9I>5Xp9etZB8)ic(7kH=V~oKFn6YiBu|s5g?rNvGsFm9wAPC+VCzWH%^FR)^)(@I6~^x)pO=?px(f- zqRss-CysvYM$V1DVXTQ0PGjr`oR8@@c*t2!4OY`5&f`sB_HiaX1ht=YlYWDz91Cg> z3~>4m!2L50LrvI0PJjYnnA7|wcrQ4SO~4LtAJe^Xb z0ac1$9cubtIycpZs)*$u+=H1F3=L*irfh}IDu->5`{@L2;;YwF8NKFQ4ScNiO2XCb z*h0g#KPSBeW#`Opnt$!m(*pU|NA%YwcDqwE`fnS0Y5rYF8KEQd3(Z*%GO8Jn3S@3m zYbludYzuhDnXa1v(M;&SAf91Le4!T0NN$68mPvj9;yFgV9|6WOi+{(p#WTe;OiW~4G-~bE zGGCp5u{y@15yW~XZVtd*#)n?&M&=XB@0yrV^m4W^J87F~Wvboi``b1q=zAD#XJjQX z)5!!+fkrsn;Rl&0){PRT(`^0`=*O}}wEV=ebA*sgWXFsGFNN)y17a$hK!29@JexHY z@n*7qyWr^>yL2YNb+$DO9*WshXMt6-ot@CDVK1UiHerR^Jrx&P$F8b`nFeC^V0=>d4p&nfkqm}}@#_(prVL9VRC-y6tu~dLpGK;B(Xk=DW z`=N=+rIF7)<{>qdS{To@01udVPD1h_bLGEElsR@3H+7gfK_X6UENy}Z**B@R;Ke>i z3&(_Nns~Xnh z-wt_Hh^Z}pg$vDdS^=~VCgR^ZUp}~cN6J|ZxApk1apX8+o3olS&3T^tU~Rss=4*fj zU0;LomHT-xzK*6Q-#5jyi7$NlIs3nd>K1J;Yi>`5-1fx}@ZTLhRDkbvr=g#z^8_dd zn15;gbYlG9K@gtI*DIjn#dO5MwGUHIk5>r8n+b4?DVPG{amLp~vyPKYA2pZGFi(4M zLt~j;lPZA&ge0Ed}~*OxYZ0bTB?=K^})8hc}oJpp2V2E*}KWj0}1ksN5 zf;oH_*a%bC4)Buc`vm&0n7XaNUNcw!g2(|>e{kr?9_j#gkoAfM&zTMHfaD=ok%`b; z*!8p|yRt{@0o+&}o;wo?>i)M8usb_Kg`x*ra1Oweolb2xFLn#?aq%yv-(2H@TACLI

*mo#{zQgk3!K-0Eq(Z)y9eD!3 zb*%ebh}5&44`922HByV}F8etR#v0jgi=fcN?i~y49&6_b(9C)~gL(^V3Oo+9mHmKr zls5J%jo#Z?|9qI~VB?no>tq*D_q>Z8PsK?$`^{Jo@3Vh$;J1hEH3ECUenIczBi143 z|6bQ<+M1Nvbf@RJQTKdP=T8vXTudi?w){ypw%RJF|NpBe?e@QobAr9!U$6*#TO;kD ze}vKNyM2rjqC37UMRzs^yLu)Fp?{=H}2L;QPh163RUD%xS; z0P~oNn}f{Kr=Yno7iq9@nDH0|yPnL3#Q;7g<|-9e{!E=3o`aauA{Yr~M$s;GjPa)B z<|Olb1&HBH`XD5u81Jo+Jk4B~2VyK!OBGWbbD2u|L}m*e*h*!*4FGA3$Px}Sm_sN2 zx4urj;0^lhDB5r5DCifPYx-3N#rgh+K>8trZu^oZ`mkWB)eKNpZ(abGn?B9~eMf2& z{`==mKHY;s7sRlu!wvuaD~XO`?B4esI|J{S(wncST9p zxZimeF5EON0x|~})8i39N9NH%u$-9aUidi3^it*J%+yilc8D>)1>nM5rs=XPBl;4+ zjd}7pz+vVDEr;$5moh~UCN>wqlc^sCZ(ht@s#(055c(+3hxwM;SH4Uxb$x@F6fyh- zGmA_}xpAZW@*xw$O!EbHhH(mlVjS~`cAyLpUIU#!>0&;i zR#P7{k!tgPrlkyEfSE-((`#ldz267eh&wRj$j+r@*okc@gC}Qp@DI3fVfzf=d9(Z{ z|CgB`C+Vsq(>f@F5tQ-Boqy9ba=W7BCd$z#`3;+@Yf-2{gP z?B}NdN?3c^|8BDDIw4ZVZg>~O8ulr*4Qkotrgd;p&tB?=nKt%h4gzjx|Gfo`PL_}U zl-XleP2I64tUu*`{p`j!5yc2AeGeip*|C(lzGe$(I_Snxbi>$TPRDE*^Wf}k1TT;? z_7Ol3r^y@OC?}jQFr1@Y4)qAm?|CMmQJh^D0pd9UlvE^eygq@MB#!w^fNV~o3f6Ks zx4Hl>a{PVZsfe?)23RTQEjm?xhf_Tb5jJuHX{^z~DOm~dkn=|Xh|f5s{qQ@;IiC*V zbI$iPs~F;(YKPtn&a0Vp{@j~emkOgk+_xUWs2}%{95Tna7d8VN=QdKkagw{b6dI?w z-#r0{;cBTQiRG?M2bRK3q((t1cRh{Q)42O+2T12$T?pQJt{Dw5GPp}-1IyxmIRlbK z+)g@zQpy!Z)A{o|+^NsuzLA?wpD=WAD^7sQ>LG{^5^b4q?SyI@Pz}DHT@B-?;+%mKg@9?H#s3K!=%@S}@|6eKe(wXBz0NR030` zf?Oh_q=s`6<9!1bl9{nG@KP9isnXqm<{afAxs0tHMDm%rbe^Mz`IHWy*D_rx0Ch~@Ht_11 zzr7*Zz{o!bxXXxLz-weIH-p#2gwZ;KVL=c)G&A=pD{f)DzNY{GY%3#O3ZrezW?FdL z8ATXC2h&g6NheeI2-dn8*PjrmGy7{9BoDJ==0U`p-9@V{GLOrU3}*YNHaW?DNnP1U z_A-6q7sDR;10bF?r$-Q7&T|1-AU8R#IpM}b@RoV~gWGDBC(tAV9+CQuI| zgR_+u`a(|DJ(y|Yl+wa_kCS}^R+>2`5RBpoU>gTvn z*6@^b138ZgO(rhA)Xz9C==j7Sr|7>dn6r5@upv(KDu@nqUM+^-7o1;x0bX*lkHNMt z_kk^<@Z(1O4oQD*H2nqv+~HjC0=Y>=07tmN&frCJ{U|v+%{`F;g&1z{2e5L6JF_2d zO|jfenl7E?R<|O=b6m5}a20Xf(jX`%aL4=wjXZAMbbx&B_Mf3~i5vPcL<+eL-vM0X z-n<3BmE3Qr6I#WU+5oHOzNF)2E!<00z*@QOw63;uKc+dgiAAvTSSAGI!lleqjVE4>_>x18B z^H^FMTFigh2wtnXJ`3*K%$1%Xwwrs>=)J>yA0?)p<`Xvq>oT7}eXnlwO^0!z_suWP z2i9Z$oHnjr^J!-w^1%GyRS+MV4`$K(_t?CeG)ByOQ(@+%c_Wo*hG7Hix zme%!H%Va0$pS3(mwfi~C_OlR)vvi&hEAf^kmQYWyY&r^0iIziDm?v3Y9R!wadFU%B zq*xv#^;AnY6D3<|mXRW$>6RlY0Ou`vbQV9uGN=d|nU;Q3r)OD4F9I*ya^q@XIhLAH zxZVqvXAc0&wOld+&}F$&3ftY5%jZI}$Fhu$%sjK4H4WIHrKbc6LzewPAUa!3oeXfu z>d+1os4iCeW_WV7dO!{jTUF43rK48&t-%YnT5=Ei$E=(Sp>f)(2RpA>jFp)UE;QEa z(?(o&x>XdVZ0D^)ZUf7(T17EsT6y+@m}T|Gj{w>HQX1-R{qaHg^{~Eu0A@U`yJ_<4W&K$( zc;42>M&QZEdYDpUUu(TLhu^?K^KR9k=i3v9MpKM4ZXX8lGk)H|#Ljv@L!YZqD*`c2loGl33R9~OY= zX!G7?1m$G&cNmDyHdCvB`Pzu++`OMn_&YEYU^C`hV4*f%bfO^4CM^M2xXqji0FgHQ z-Ef#_!+#9PB%A&tu$gSLjn?cGo4_;>(`+Ul#Lslercnm0z{XTeDPf^a?o(L1Vl$x? z8s#nluu2tu`$ifVJ6-rTKG*P3|6u^x5?O4fTGT zMN}3&wP~gf(SS`bRU3mg(1jJGf|=vCg`WdEVXLMx z{G{z)Nze$j{n!T}%=Y~}fNP1A@`p_gW(sq?IK$Puk3j37pCfZb^Z70ydg44EN zUjP+U?+-wS7>!J z-mvWj+S^{({zH4}i0x7#u$Q)LZ6Wf?_M2MjzrVJTiZt*-Y9qneUgs78U%0=9S zgLa>dfkS7zbm}J_vYV0&qb_!>>A+m=3TdZ3Y&X{d#3(x->e8LE6Qlv0w#(JRN~)dV zI4(5J?zjac)9p-pVCU_mTY+WTC4NZf&x`DY^x|E$J5Pt4uG_7qI@^R4p@6(Hzy6m5xensKzwO; z!4FZqvNKTA`?cMl^spTmRWS;f!r zLW>-=#|7ZjsA#Gkl16Q%wnDOL)W;tKri}WEI((_44AcNj8zuM%So$c#-_Sol>TjCs zWQ>|XgP_b&>soO4vi=`$Ujbj$vHZ{4IrrX#+$6XrKyVFOtR(~jv;;z+P})MUV8tB@ z+z{M@E)qg;cR4u0-QC^Y9sb|BaQoix{rdWT|JTI5voo`^Haj!B=fp=+411l= zY}%aG`y8OxUN-nNq!)NM`jjHOcax8v4V2A31y6z?$)`vXP`3DVp)-rEK8eAQaGQ^- z3#q&9KCRP%_YR*Gb`@0d71o6)A{ZYjfXKk|15}duLNmHGpD43S$+}R6d)0}zpg3EO0tnOrg&T!u8 zg~FN65l?|S%b5n3OVn)V3JM?1ai+|MWUlkX5mZ0V`D1&O&3Ag=0C0hG`7Z!2bRNut zWRdf38dSL0IgVatUg9j@7`dg+aO#9*&TujUmOIzb$4^!`i%$m1N@w2>bpEi~`OP|H zEoV`3tJXLZQlb@WoxB{Fu5 zb4FDH@VK)CHR6PGIz>=UI`8E`UtDqelM=b=e3XD1t~s+!1kiQext6Zp+;ENwLg6jv zYYKO~aCUJ!=FZ0ypM2%a`7Nq=?My*#iy(A`4fOKzRu=A>EnB527s}?1@0l~>pO5Vfc;#)y}JRYzi&ReqA|cXZAR2I z(6{@~kZq7}3p!jF?0d5_L>uD!_$QPN^DUAGNuqCA(%I8|Ytw1O4Bssy!E~|jbUJES z;ya3i*)rdZG|4ykew7t6ZS;+$J!X^d`+dl5_Puuotdo3QHz-)K#aAk1xB31=*7ttj zH5mas;M?*H>OJV2mcrbJe4FG)?yzsx4#*wxUE_u1m~WAeAUN;a;RNcv;2W48z)QXb z`vCK*fv}%n!Hd8d=~p%pZHn{z zIRQ8m{DzPpH_@*~cK|2(U7|y)$$nMdL(q7?Cv>xPieJiuKuPdhEs#w0%R*+#G{5j( zAXw(toecctelhittnka-7z8W*8j&5i$}cCyL00>f%0clj%Wqo*c(3uhNg_xnZMK|>Gty?qJbLBH1&Xg}l^O|O9*_B%mmF-QF}cq6&!=Syc+ zm;8*wD7)-8su^m!;x~{ECa(G=qpM)o{7y?G*ZnT!q5DTS{ZckSy)XRsGzIEQzv!l@ z@Ri@KZvcGlH!L?$-}nWN0`RS0U`eof=QkrBHNE%C_7$Y~;5Up2KKgy4ZU2*BcCuSz z{Lhi`cn>Hc?UL}vKUpm63){|%&)X8D(E2jFb~5&clp9RFRdP&U_p>QK})-#^=O z0Jr$_`p9kdA2%KZ+x)lA0_*MmA-#aP!#@q3OSpFWzaNk6F8_KI6x!ooC_9**_75rq z5zqLKSq8$h{-@T1@SOkqlmMRh-`^QbFZf@go#&$eDcU41`BzzhXHS7{8) ztNy#(E8Tw(>Fn$NHSPg;!~Y`b-dp|&31A)TN>PzC@}v|84KPhe;YZgfr>1yMtw>Dq zY9h*}rMO-Y$@CP}$a$EN;v9BE_i=qd-KXfBQeTs!U%KoTFfUVtK3iATAkXLPrH>%W zH)l%#UhYIIunk$B8Kt46_W%-kFc`mU)^LR*Q)_Esi1hvB%V1aAhhlnl)-=KIA4;tN zQr&?PlKxn3HCX)AApww|{b|+J+d?L2{nu$xO;q2lz;BR9PJhGsbU4-c8d-5Y?#u(` zuZ1Q7-t#%#KI?_Q*n|1OGM-13!I%;K1xPG3i{Uy36c&AeBD z-&iJXNXD^LA;28ZX59eh1eR+s_)lcJb|RU?n$nDjXYEfRH-&Zm87K*CSpy`A?C?ea zr?KP1fij)>WkGHx>+Cv!>SwV6X&}v9b}b0J=dspBQ11d3Mw{F&rXxYWo7KpOvOR3t z2ITg#@3te^&l+_G-Dzgd2Id)7E)H~O**Xe|ontxZ?%M^Hsz1u^uw^~~-evb6B6pA7 z`xeaZvuw?2{Xbyy=)mkDEA$q*M=Tlb-%nV6I{A#@t!TFP;dg37?pS^_C*SC*Y*8<&j{%{AHbc3&eYwSWedp#ZW-r`l> zqwqHWI0{wV;c$J>U;Hd7-+O#6?H%`dU0*cx0pGqBz=ymXnct825B;gT_`|P}yyG7O zK=*;K!7Z52i{x4?;I*5S1xdY}SwK<$!4cHg;Cph3Te?E%bfaT=14x|?1mf9wFlnc* zeq`^&vZE9v>dWl6P|}|{J0Ka!PL~6lIF_AmC5~nVDuP2i+e&L?7Q0GS%w~6}Yv!_> z6Cw9F*KU@ZtmC6>1RXRTW7Sdt^*B4&7ebt1mk$AW zk|n1-|ony&5A$Ok*nE<9QS%FE&y<&>qJb2BDodn7omi+^` zyk*T6L&A5g2r0_<%&dUi2Nyd?TInMjMj^pZ?A#ro#_;wENi1(l-7=21p#%K!JUN}( zYo3Nq9w+eLq~Is=I9jTc_=!2F3055$SX20sa;Sa|W($CG`5sbV^LVkZz;iy&_cL+} zc*xhNZy|S8z$GLckbFn`;$q(M24q{pC#*-Zl;>`SU_xa}3u#KyjRBrgQrf{M7`oImzEO2mL8Nk!Io<-kCh2bNuI4$ld3@n<2~t z?oEe85BX?1EO^56jX~=_@-@YP@`O&hs<#NLyQI+spcz*j;1z>)cg49{nMd^Sao|!hJYH_JRRd3XM z!0VTG;2HH}E5I9+K8I(EkI{H`7>tO~=k>634+QzHAB8fjzI#h%X1L=5p4E1e@f1;e zFn-tDO##HH&`bE;@Ze3bZ&ZVvuOqFXm2%9nk%*L~1v^+F>d(j1)3GBxlXz?UAq$O}>vFoIVmavk= zz-B3nrl|IEmWy1CjqG|$5Nu-Gs-f1+>=mtqB=%hkAB zHyI%1ug2ncg*3GwR79b(psLZYJ|K}Xqzq~n3W6k2m-hm*!P6i>8rmo%^Gl|$0B<#x z7FX*_9Qa+^Uk7csDA!n^b}twNP+yjkoRxm;*8q_8XM@L~jsfh|eY9f`OGBZ%p)3U~ zg3pp)Afvuc7ZUS_MP$;~-Pso?KURqYyve!h;1NBb2H;H}(S`Kp7fS=ussDVGc3wog zL6?lB=>BI{9}0VPo0bQl?iVNw+~XJ89Dg0L9~Ji;OD;gKed)luw~bDPTwyfIF>GsX zaO%S*KLxGI#8#4XKAAO!WKgL&Nb62v(|UtV0*j-7&QvyRID|-KT{0jyjhXYnbOzfs z6}c7c<}Q%0WJ}0OUBwy>MzWd>B8z><{-xn!AEQv4hQ^`vg1L z6N($`Vrj^%-p#txM!1I^CD(N?JH89KQ*6;n$bFjqKvyKruoo0sKg%Xk^zIxhPsZPQ zw(}VXZZjK&?e4Hv^T6yb`<|BbJ(i~qfcM!PibXtN2UfU%`jExYMXN`wAH@P6vn_F8 z`h=bO6=hG^iXA|G##+)nqvvct+5fLtJ~{|~%~DXr_zlZSv7)z(K6M2B{*b)*_iW5z zlzm`H$pHMw9_<48Cl+xSNemApebR^DK0@z*$MTP)qWbbf#{uon-}oapnYS*5Ts#jV z-Jifq(DD0Jo=qV)jhi%Crt=wz0M6t-PXV08uPg^}4u9Ye;9UN!9GWzr-!22-0v^{6 zxkX&>L~b!3K+Kl%Ls2yUmhqQ#HFpJ%Z4c-MelY;e-pDPAN^RmjmV)3i= z1Q+>^(;#qN=4Dz!wky1}7qZuQivma<@qx6u9`m%LLGgsYq6pAaelsu1p7Z085ENGO zc;sI4K^>92;-gCg_=e}5gyb!6NBhY;ez_lNde3Lk9h8rJln=^2@q-n>I!5qukg1PL z+#tuHukfpgY(H^o3kv&-ND3_s5L;KH`az<8Ra8G&d}@wdys*y((EO+FiDbI^QBWC}s?W+=s*k^0<$P{B(EXlxR$b>}fHY*8LeV&<`kQMVAZ^ z`<(ElbNL%03srbijC~B0TcTwKB)3IjKLGEDwiI>0E7H0~gWElkr4b14i=fe9^FU3c2d@Po~0Ok|1wH??z6^)Lf?3pOD6l|W0z}=|uh4^|oFkgvTCy;wB zTHgUmtc>h~q_2Gb6lMJ+IHP}M2?`($kR$KX{xeXn$Oblp6Q$P%lueQ=$s(F8y_zG5m%>2V6q$x@;U&m1 zWssXHN0H~6D4#?^m}&CpCc1w#UEa$M=nVPk41}5~2lYqcEcucq&1~6|JoY)V`v#QF zmF@1KY@S?C*Qe&oLPf!Jft*S~>BTb94^mh%TMZ;@?*Hi@MtYjXxmeUAK}nQTB# zpw42sPJ{hyHZUtFmawsr$Sq|dbn#~yyR;a&vr*5xxji-$6sXc=;-PadrL0;Wi~M$WmlLP0p3^Hlp;v3u|j#!h^Or0bZ~jb zzG)52zPwm*VD`g3V(JUtl5PZz;vdM!9?fG4fzCCC515Uv9?NIYWsPyXDg{2q^QV)5 zs`=aDpiktZ+`cpSt_r5p`HdK0&fqi1f?3F4l1a6MXHN&F%lOfXNLKLrw5zY;zD2>z z;vI&8ZY|G4hnMU5*N;KBk+*yZ;AR)E{1B*Hcn>y2cp@R|cQiDE|wl+6=s$zYi;s*~5YK-{CPbCJlf2*A~%8mS{obX)|2HDVJT_G}St zXcO2bX1d5m-y!Bwq+^%ZN0!b}k%R6do)9(Mj)yqV29jP8{)13pN6ux;ssgt??kC80KON4qLF+Mm4|}MN6~sUP=?51HOc-M zCNt9=lM%9hO>m2ot&54j2fUJ_fWOyHrNbPJIKKs zuC`!&}TCKW~wP~rQUdXLcFG?a=tGdw4b*)psen57;sz4!~4JuDjKsT!9g^=5%My^A$ zS+%0bS(3`U2;y&1=57GDs*=}$xlMVKN3>mKUWs~lsI0BQ>;S&z2;fO|jqILNDq;$9 zr`6L2Kslp)DMol!9l8y%&$-mu9KboR#*v$K0s0j5msNGTl5<5hC13r9@|~6Ji*6P4 zPFz=KU6A&?NXBPhwy-I12C$DgK{1q_u8-VkmVe z~lMbKS_+pHFy4c=iN$S%Iis?&>v&)GyfP+qXcq#0kb9vjin z7+#WG@IL(QAz;Sx!V^H(m+!m=U_V|6Y940wK60i9@SfyN59Ez4kPqVdUFiXxz!M51 znaG1^)=c8PNF~nXV-5m1i!Y#v#cV#BBCm6J4#WpxUhgC4VkN&=3&|>e=n|6EyxkPk zWbr+8wy}ms?Lo2@3LSJidFvSP+{K$w%yu_#Ovh<^c#B1(Klbu#J5YF%ztqT`;`QQz zbDDo!8r5Ir2DSbgA4~?4<12!2 zpqxeS?I5{~E>;YazmickTxNU>%t>-CA`qXgp*1tXXbCHP56K2rdOC`CvG_#L9A-aH zCHv-g@2;Etz(=IF_|n2Cyv?0$ z(Fu2W=rwS=%b$!!O|N)t39xz1vnyb};hSl@>nrZw06{;IMB(=SqAtzMk)j@TWt`|v zy*5g?j)2Q#v5%sn@uH4|6jQ`-I=7u85{iR-u1f?J1^qlRokHU)M9>dNR*DCULBC2A zplctS#e!}CCW)&JLAXWqJcXL}32Pl{+Am5iM{+GZLODxbBelClK6H73+g4fa3h1}VjGd@4vTI@B9F&`91Lu&eUIoIOk;7;Tot2^P zP>bA92Pik>U=sv49)>mCz zk6b^sZ6V$N>#yFfLlpzmO;WJ~)iQDb#;Czf!F#N#QwFHx)CRIc#;b`JP^MLRx}!Hi z-KBWMM74bsm`+mr$t9kwnydoK6xEbYJ{PGU@sfvHtiDY`4NLGbD3mQ#S1*I-GQ8?a z_Rn$^MTYSTb@K(-tW+n+$X}(}e**n#HD??MEj9Hna%)ufNu;T<(}B`UnDHq+v|r%sZ-zpv^HLGnOV zEDFqrY8@F|kJS9>VEtIlEsC-yst);vPnC;S_%ro(2vG2`jONRczEHWgp>U*8>;Wj^ zj7V|=M;YTt;L*m}Iw%`sx zXBaW7A&jV?8;~D_llYGNdbw{dBc)l`&>3P*)oTDR^cX zXR0GvV|>*HY?2J$fuP@SOE*Yr3(|M3_;g$Pa8MZAUR{~{Q+gyj0I1@ z^SZ%{gW!hI@;t~N8SkP2d~EzgOXZ2-%5?$IcgEqhsOh~iwI*cyVAQ8s`q5}ZN1a1V zgFL&T=7>lDhnYQ&fcJ2-H*K#Y%u@q_GSb`{43sz%n+|%-Y&{8Oqs?z9wlc=FQ`~fl zS$QkU63w+F;WRUIYS1k(oi5tQ7n*lyMl3Q5(nPk*wG_EuV^+)$Vb+?Z>wx!mb3}Kb z>@dsC15e8ydCi=E;&^dczz<+saL|cr~EjG8f)M?zUOC3xv62j-s=m zyJl8W{rAkeEkJ(X?2s1Z56rUkM#4k$5goriGRNHl@UdBUEx0@}jcE|{skt=)z#+C> zZO{orZPUil{fA+;mKQ-d+_s)Jgb}vHAfS%4Ihz3(Zwm=P6;o_8&w@>Y?H$!S+xDO> zfOBjy6w8`x+jA8a&a+k70pJ4LJer*gZTHA9Tx6?p7|CK=seYhaV#`MQVyUg|86?YX z=U|e#a0z-!auBYxRecH6Rkmhiajdqj=!ps~+p2Kn*4i$0MY7J;k(Bm&+xRy~HrVdc zz-+X2+YGu*wxq^Ll5F*9|GQ`_8;jf}+n}?^UAEP^gbJ_NoWlXUYO6{&JFeMwkPYa% zZtFwAkQ+7_0O)mF4GNUpvgM!y#M`zc+NAE-Zj!pYYwLr6EiP97@*{xvZIx)NdSHuv zf!qh%J35c=Z$D0Te=y=4@5j<6RT4=J?0a8{Hh+WSocmudE1B|tFUWe=W* z#>}v%ECT3EyKNr`XW2WG>5SXfWdNLG-%}32xpqa$WS+g@*C3d0|A{v91@?;r!F!?o za4dj}?6t@kT5MlP+t?C&Ia(@9?d?_}S!Q4J!1!VlRjv+xZO%}H+0`j95q!F(t_ zEO0xLzHARgnEJ8gWEPKL{3y7MWR2s2AIAzkMQ#+UL56TV%dia+PGQ+Ip)7$_pY>~$542VUnmaL^L$}{NO6JR9E47| z%~uXVO?S8=g?yL43q^8|C#y*9&iNuntE4i!!l-)uqk)5V7Fz?>mYP$YY%I9(3} zu35tWCuC=fBINDO5qIed&0LY6f}``q!!4kgFIwJ2y$eLH5G0m(L>v7+;S+=0e$j|7 z@*EJWi-X{xm_8g_4v7x=kvlAA&=JKEF^>Y;M@1_-7CR=^P}JnO7||TkpKysgv_?;g z5B0(Alt`Tgg{Q^987Mp>D$v!abD})?XIDj3HlW-U5AOi@TBwWwz7dVcrFtv8-Xr%; zwA~Dp_af(eY(zNWRsl^@0$yqa&5Y6;VE&By1jo=Ucthhe zQFwYl+n4A8Xop7>`t4ZhHAL?fuE4B!>tCqft{p|wVp!jwz-=fyO0l2etXX3uBiX52 zpc%zZ(T$}s>>_y*<5}=F0OQ#wI#o?zYw7$Uk@a^K19TqS)f8;zvk??^S-_4UX62UT zV%XSafLson?TtFGhTWsqTo2n_AHbVoN6B)(9ae+Rw(f?_Mid=H9Cz`OnegynRxlB0 zQ<-E+kxXQ@o825J7ug)97i?a!S(s*DFPy)L^~_7yy_KUzBc4onb7k0YA4b@ctJE@D zB@-Ea%uOJW=4JP@nKyAs?lc#;fA|PntmtfN6vKS%v1CuS9f#&n;1S*)t-20k-6v}Gec}G8jvzdKA(vQMH<}>$?BH7JP zp9oSkhiN6Fb`{HMZZ+vg@myw#zPlas9sz ztLrZs*MBjr(le~C|NOWr8dvmWfdJ3226~1yi)UD~dWO}N%`>h+o^drieN4QsyNhSl^8tID}!GxU#pbWdRU{B3lB@_E(TJfkZ- zqsu*`YZrEhXVK#J^5;eC+e~#!ZW%-DLjidrDxHm@+{ia?nUdus!iirwP`)8Hl1hHruVGc44ze+(KEW4 zJfoZ0Gr9qu(GB#BZWhnrX7vniHqYP&eI8twD4yLjx;Z?fo6|G8xjdtr`*%k-&u^oP zasIMsG0uOtXnUmiyI~bv*-{-dlL?1VW$vE9$O|tQdVkIXz?@3iZeGU^ALGl=jSM1v z;A<-0YzN>H|CP-=M^LkQc6v^6KTGCd$%L=ntd3L)e~0qIE1$_kaw&z6-K>YWxD-w= zmGa1bK+}3Fqu4q8Fq4~iQRD(vIv=wr{Bvmzz*9_RcpuQ6z3qP5aub6TPL^fYXHY$0r5F@>#~{5xWcMH zJ_Ic5T}ASo)km+>otS$_J~2U!?avFKA#S2HS*Vfu>R_x@{xaxERiG4l$fXKDq;?nC zNF7p?Q9-eChwv=E;3%FY4p4u5b({L5WSZ@GmYaMB&yY-1P5Bp8b?7saqvDmbc!oXQ ziD#u%7#CG#GV!dM;TE3Jn=rSOYdZ~o*UoUM0#k$FA4 zLE>&;_A^K}vN8LRY+?~8$K|(X#4CyUkqBE@G|8})6~H9J^`<kBNT59~Hj#k{zK|#Id?WFp6D;P{uUox{mJq(%?U;A4dFM8u!`aJ8*ML<5u~~ zIk6IEXO@$-F)<5jid9rReF76b>Li^{^{!6=^9k(Cd`#%YYy<@%lUNtr0x?dqB5)31 z|A3G$CAqy~sA1ld_T!m68FhhA5t`G^0BW3XQ-b&{A{_rsxA9Cdjo>MlP-mygN}ZfK z34Dm7(aVSOajZMmzcHH4=U7(>EH7rfyLcM=(ry=x^p}R?)^@wS$lc;SXf%Fr7`}9a zTWE`Apl)8rw$t3*$ZV&PB(YeC?=JT%e;t5SS4h0d)o9W*8Ar>j<#ZZ>v9*N04mdiBz_izaYN*xDPSj&x2`Lp37bQ3m z3CTJ&pXScfwg4w4skr4Y;r5i{N;QKq&#Rt_js_?LSPZUSgLh8Mv zssMHQF?EmXJ+3NI6(>|XlIf({MGO6uYDr^oT6H>%6a6Hvi)Q74q@#-k) z|F32dS*iOpr%ICe)C==Ohsuf@4Bw##SMLn0m<`U)- zmKM_BpU8trYFKxEQ-2iHLJ4&iN!Qk|A@s>&%bAns2@HsFOu*h zyH4%+#EKJU43DC+K0F^aHN#IX{qUB% z`Vnf3m`A+(h+ZV{AQ3{7YOokg6Ap(-ggRafr=FfK29l!QCoU0izo*O@gdT zZJHtbQ18u^7pU77$de@65;=j`ER(fp=#EQY8lThhDUJVmxtFHOWtof0uFHosrEkm9 zl-!poX?z~bCM0hk#qZGki&cH8`mt&djll#phi3m|+-F8IMeU<5nWiL-=nPevnl?vO zAerVXMl~%|KTyvuRi`Ogt_IVti zJzm0ZuD!$KC3u;x-|_9eJ#NB3@$HlU<|X+2%}a3pgO}j@FTDh}4@mZh2vYESQ+Pat zlpYTumB+15?Qsy&cpQYZ9tR2O*QkLCEZJ5CS|7LZHjzA!PA* z2&TtF$m((bvUwbYAdiEP-QytS@HhxLf5$;E&liL_tZILJgt4o;6iJT!2b&$=65x}0{idx zzRdsM`7pnWV#$|&@5}uEoe%T7U?l(km-+uYALhsU`|Zp8+zV0A--1A;pw;Oeo8Qyw zrm)-omsYoXw7TQ>Vn1HLuhd>_Y%)~-#)`LYVK>&{|#~yYIN=Qcs89!Oq(5|-z^Uj z{Fiq?QtdhtqxO-+qJxc|U6v4|?u!ZDa}Z&=ics~jEF1-&LoolBm$O`d_;%J`|8kb= z58uxE>tD`t{o&hLfBnl@u0MP`3%c>)U;A+)aza+d24-_H8$U(Ry<;oDh%{mWUdKYTljE)e~-FK4;vw&*{7I}6qS#V==( z|M}0~&cdPnU;J_w*54nzo%PqhoaOq%x3m7kFK2Nh``;N@H4GI{*@de(e`jI2&&28M z^k4bS|0%cG^>6ykZVT&Qp054t!!@`6o$o(&x~4q7b2g9f9OUtxvwM7J1E=ACbDLei z=QpqYnk=k_(I2rmnl4FZgE2J!6Mna@#B5(|o6onW{`}=B%>O@ed+N_$o^t)E+f#7e z{^aE;*PpsQ_2(~7!T+j=k^MJsPyPAJQ?5UCd+N_$o^l1F_5b$msXu>timsggliO4L zW4^!Bmf>(m?RZh;@08{LImq}2%F^}EE)e}EgN%QmEZzDq=jXc!|5{rTqrWLj_>%?x zPeI22<%#|+#^~}W%6~h^xIOf@GxvYv4=K3*V}D5j6Y1ahLkh0{*k4k>NyoqOhZJ1& za>sw{die?U*fmOPgCW&>?1v4d_&mH{}u4Dc>+H6-wXISem~&z zTfE219q+;Yn~lu-Zvmg={}Ax;`GZbQz_FA-R{s17M_q_jG{<_@lx9X1N;kO-C98vB+zrGAvdQBd#u$lK|->Si{p z^e3K&>0Qy%S5LicFSTm1=)!bT4PodOd6btP86wi@C70}muFk~(y>YxFkM)#`2f!($ z4E-42ZqzZg4MQ(@#?xDurAQF^=nYj!`{uDp-Nz;t>WZnAuWr7?Oslt#wEOG9c2U6@ z74?0M8c|isSE&^h6<8>l&T`C_LVG#Hdi|qi%dYP_M0Gv3l_OYJP#})!X-a)>wQ}mq z5z?@Bdx?3545<)WSbta0p3z$EF9r!6c%R#Ki>V?z4=bSq@#k!H?>B~>Kw2ovES%r6{h$_{i!rL~9j;c~k z_xWhgWI3~ow%iO4j*JQ`AFi{kR;jFnoT8JVx1}-t^swnhI$f!}>C{aMh!;Afr7e}^ zDk#>-@g1bpscWG2q!MO2{XpE_=%10Wk`EOhv<%t8@7t>p!0=_!TP%wMzBuoEik0TFcdfI zsq{MV0LH^{)$XvI6~ruQJ*q4wG3}q)md4utt?0pJtqPSRt+L@_vCst^7`CN%?O81U z8seGKfy+=OuVrMmR2?C>Ju0emi#DCUit3~X)D^Kh;jlC$b&k#wB2rqmA4Mr2z3rVy zWkj^?7#LjAYS~;YW;(2;h}XQm@Yf?BLzXhXh$Qa{RU?zRyR~|SNS)XZa@s6gavf30 zuJq0ew)DDZE0LfJT@=dRqE)Bp4prK;=wwxFE#?}!^>|_G9#2h&mA{iX%XO}5 z+Lp<($B1%V$CXF^Sq~MU-wc8*wPQs^U$=}AE!u>Hg|=z5y1_Ont$riKJC^)&n`?D%7adi- zg4H{Y7RTd!hLj=YtA|?|#)?R;+b%Mc3@#KItgrlJWTawcZ5uD9{SWGh2&?e~F`5~n zA=RS7is~aa5uhX537f88z_3}>CW*34U%p_I*4oLUm(WWKI;5^wP-NAK#V`mTd)iX# zG6zI{Ysgfwn(0-QMJem2Y2u23`A{p;Dm_;~?JOOqOr4{kBS;UQFKTCWSLGg#YMom* zitdmM3ofck&B&+_4C0la%~ZO<7<6Hbl7>#cKvdQK1w^Q>yFj$mS9=wT-m02G?Xh>eOg5G?<474&Y5+$WhJHU}#A201l>1kHXEg7H}EEDDJjT^S9)uMHa zHqCVA<>I^^6K3SlQ-_&`m3@WCYicW>%&GgX6U}wOpTJ|?GFv(wyxX4ID!5*Z5c+yG zteexDL^(rOnq~LVTOBH`m1c)%Z0h;@MHd~jMo6pm0WnSJw__az^b}k_w^|$#Bbg3o zGFZPpDk|wg%drx^IVLJ%k?t_0NyAtnx~Xm-YfEVzJ|T9gRFR>fQ6ZJfM?`mM(XM%D ztG11QAtgTkoERl_xx!dYXOE~XdTA0?Xa36~`}n2yEc&vql2+}@A|o^1Jsey}hvaeu z==dw>LcYkzY2~{i#`x=UPq_4PcYk=pj=xlCQnhVY>+&m6Ub;C*_Y%P;C#BNmJ}TvK zW7Z_?VD(}$tI}}}mCf2>$N|Et>X7%CJ+fPPbjOYjn?+moz2yL>Ewo`9fI2p_{4z@P z+4fo3KTZY6nfgOfWw(|F%3Y?8Eum8B)%|T5^_X0;oDP0(FQ8p@?aDfxOAg?U&l?ws zqFKC5rNwJ(T*LCp!}_tqX6SYCHZPrXr;%PK`JginpOjwumwd9S-oHlXx31)q8Kq7+ z3F_W_X-}>T21}QYe`E{NiL*>;9SD|dne%fEq>YnR!7i!$7m+#i_SyDWN1ZwqDn*5c zMMl+eYh*n&$YJR8%;9aRqH?}4Dpm_AU$vrjxr98Wpc)L_^OADtdT*p%&w6a8wbhKM z5*1c8q>^U2R0eI8l@s;+twt(6a=*x6wfkD8F!Y7eDv$14LC)1d4^yD|%9H42mclnxHCr_$MqD?c4jRhHBbmWjfatEwDn>O4~V=&>`Fpni?F zC-k#C(4ogN+Wd9*W-^@~HrTM~QrR7uY_zFGMtxsJAIV`)XPs#VTAyx>+l6*&8`-UD zbem?Knp<;P;x39FeZd?rB-oKfZ;q4u$8R+; z54vIdTQW+v(ovmZNUY8+{l;|>U+D~^x2r_5tHmr{rHiQ zT*o|uI`kbYZ*!e@J36-AGsD#7wLGBPErXIjQ^1kIa!!!DxW0eO$Zfr!Bn@U2jF*b( z#oXqt*UwfNt)f$8SFX#Zw`aE&OqIo9x-76uy|JUnptH`w_G?U&H<|8P%$`jLJ~vY6 zPt#>)-Ss}IJFwh_?PLb#+=oIkyZ-r*Osu z$j0KcSy8P7)>5gH!dvgW1#tqWL8GtOAUllDfp))3Zo@`X2#2LLD}+WCj;f|Z!fh$X z-{a6bX)(hmUpM`&#v3JQVt$2+I9S>=b?t>Pblwj#y{z!9^15JO=^CR{=JCf&)A|7) zvtc?Yqdl$OwA=`^vhS94-8KiuX47Y~nc14NM~>k-;%jVhC(;>C-D|(hqJQXZX4B4k zSOBN?%UR6dGt;WHiRjR_8LX9#`qzWNbL=#8>e~lpyk3&hUYrLP(Jb77HXX+NS#n6u z7P{6kBZW2cm@FXmH{p)9`e6!38h!kfOwe&pg-l-Ey@z^MNBn6Sz;)DBQ`sXrM>L75 zP`yqyYu#BH#&z!xMg~h>kXwY#s!V_VsUzCl03TGf9$uD(O&+kb%s)=L+=?NGFs+iS)b`eJwz63@DtgQd3Ow}5>`FDVT)Gf+jg_w zJ(UN9TfylU7hp0*T`*JYq2Ebg6R?O3y+S2HD^Gu~n zOSMkF8DRI*>0k2z{X(i7I*Hk{>OiG_bsHW|_bw9BxKoQR4Lh}H+eTkFDbnf+ZSDTn zcKoF@=@%ODeU%EyzW6JVMWRCWvM_sUo#--6vtrdS*z&=ezcTY!=N)(*P4{rw19aO3 z(zJ$qD-7+OC?mUt4e+f^Pld^9(A15rrI!^qQ|QZ?G5>wjt5h~J1f|cH%_<_gO%s>_ zRzy}+kn7@81vD~V+|qGDDxJ=^)0WGc7o?Ii=Wh+J*{MV4#+~%C8nzVH?(8aD>PBfD zDRte7FcGkiD@^+*8Vjmq9<^9EH*DE-#C6!|x3`GoR_46wuC%fi!e{gJjLzt{?`xY* zRz&xnAyZqoi>jO~O~W>oqC3@W7aiT?vx%6@y@?mreKeMP;7IK4^@^+JLXXLdeT_!N zdR7u2-%;Pg#Ve{0m&H#1aRzkaighr_GL=y!X@N?8tcxRq9@z%!1Y1~gt3?@QaBbg# zeu)_`(pd>#D`>Qb4Q&>{XUqjEy74xzfxW)ts_-%*Iifspz>Kw ztKy^0X7!p86)RSNby!V(#dV?7wk&_=8-;}F4iW0SKK#(8O!wXuQcbrTVx+Pf)lfaT z{^o^HqE=*KU1Oz@xOt2p=Q8RlF9iX35H7H6yJ@wehtCJ@kRft0y_7L&vO? zrY@&p>BZMmK3RoSwWL@d-bzBFq9-Xg7TLO@G=2%f$aztb*LD*b2RX4i3;yCzI${R=;}kt{MZWx98JL_l&d|_stbppF#dO0w zQ03paR86Iak5_hmHb^MI+BL>tPWP+e$fL_Wg(*L0jEXgNJEx(nT9a`XUcU}8^XQmFWm;*c;C*x* zGT#i;mtBU_x;a}V`smELZCS0ct5k&2mj^rSdVhH%lY5V+brv43x73qq#=loeySkdG z5GFuV*Q;MN4>oe@m~Ua6Twbr*={)CPj5TNkx1nG@Gp#jr16~K#ecK`AaOu8q=z}Hg zX{@Lu)k&rKtOsg#YTK@Si%uPrg@uPkm9G_9L?;Y^&VQWJA+16?)k~QI_Td-R{hJS= z{pvt5H{Yk`>4iavZp2-ISY4kY)=<~W^szGT$J^OD_^v(3+I~>^3wKh zsRd7KT6rwiGG|p#`tsGQ*NXZfGy1t5`Scv)ve&%j$O)U#23v-CJ+!4Hv)O z1$9a1_@J_W+2V`nxT4q?hh4EU&vc})+PuPh1~zg?suT__qC=L*w0g-yZt$XotlV$$ zMR_lpb)oRysz!$BCk3!)Ts{nK-06d=X&BXO)s3hasqYHo7u~qM4I-_C=ISf)cVG3n z!S*GhO3f&}G&lOYlg&scbfNP?vM~MlB23{18DUpn2(pc z@}?_ITQ>u?jw{j4ZHf{gEGC9A*p}pA4t6jvByPeStuyO|*7x?&0 zFqW*-_CPDBs4>E(8{}2K*6A|F6Q)OZHws#ZzBY<6UA>%fRxb^P8Jsi3*rqKnte-T= zRSNwf#HgtE53_%(%a=C>YFk}2<&qtf`f|L@W<4oyOcy#+Hz=I7Va72UJZXz^TQJ{8 zSVJora6jFC)4Q@*yq(U#a!p^wC}cQ32V#m8j_PDpsc!UQI$}O_!qW)D8*Uo~*Y`7FPd#!WPDb;zp0w_* zEVy_S&0g3Z9hX-4NFEPXmnZ%z8J_7{xxXz*{XvHr+tOb12vt3o>FY zG}gPe*dYm)q1npkEO3flVi)E(N4YJzp)(=ySTu_?fW>#y~bYn1vqPi`QPHJbSw_49M zeq_4vNqBZ_woy%Kv#ZFWt1mFR`Btl4B{ZsL_l}*STSrEBjP7LZTwox4<||EUerc7? zwFvE;(#sKGja_6smpa!cTR# zDz7y5B)3X#H8iu5Z#V2*hf`oCWT&x-xkG6qf3RoL8Dms(J-smu&%-q_V@m8cdT>>- zTc;{b^qIZJ5w{ViH%>&PbpAfWRBpXit7fgpsPJmK!DQ@+Z3|+r81lfDnHANSC)$H_ z!6QavYt0d(FxTaqi`@F|F|^@IqReguA2-f2-KDDtvVu<hgz*c7QIm{Rtvgay zHL|>(b_2#pOhy}w;I{@G)vG;aYTY*&)9~wkDEZ}sk-|YnWQAzAy*~DXu~j!;3>7r! zqmh^EV?MB-$fI4g!VHq6XHx4QdYKt?;oJ7&)+uRvOUtmEXZiRthFyDyVlc_f)gPZ> z>7?~G<35KM+-l~_F0A*bG>__Mk&Zlb5>lC5BYf(u6DW{?%~R^6xmaoCx(T~=JdL@D zTU*kbIk|4}wc(}1d#a2&ZZ(Y2qWV%Bdp4_3CUd8cR~3rIAnp)R`+M!T4GzJ(yk-`k z&*njS_`Q)0J4IVz`OI8gfBYnKS#1iKcyIZ0xyPw%U&O4&$4!S2=_Bl!e{-Xs{Akat zV;(AHr6_J9lwIf}g4Q8^*uUpxRzB9P66RH*%Q?(cmMmjFS60^Y<`k)SMnEO^3xmxv zWSHTvT_zS)no1@NG;F|Wba*#gX1(Grdh+LsFmZNPH6_z$(;?b3$$`+es%AD~dSpB- z_;TOEg|@1j)vO{BW@&Uvc zeEM-iP;R(w_tuH4Ods9oM{~1ItZKJwYosl!uKp7y>fu-=t%X0Cy=^HGNUmBnBsBE< zaGWu?4@HU;)|H!>=XLBXM_Q{|wAmB^+6T(}^I?mgWlLv0Y-(0v?$OYVo13TI;TU}{ z%#lLpJR%BMrCXSdl=J(B9XfqkyXCugiq`RM%nF|?_HJulGu<0rVmi1C&X+>z_qpvh z>wOn9KU3l12zcun7mPIen{H+o9hVj{w51Pav_96&?4_&U!gM(CD|%!1I*CJ&?&f_x z_*?7*XWQWLVNMS-km=T4!S`u8(lkBI5QdPs$}1y6LL+rTFLSj{)VBQAuf0tnbhS>f zTzmB~GcbKUUgg)@V$JsYu%EQyP$W(umNcTTIZ#in3ac(nKXbU!=UgJMJ}}s<^tshT zPKY8_$|2@T`8g8x_7G99DR|S()iNt&!($(z4b^zJ{;+V57N~>6Z+ec=3K2q|f%j3E=9@rsn!$O_*fu$~a7`)>aya5lyhB zrjE2Ly=9v@PM18ca_U0av9aaOg!opo?WRlA{I-sDWxJW1(TYf;EAKRy>cm3A7*|So z>1iFIoG*GAh8GFyS-`J$nuf5l?KKma6|mp*<+_Bo%;i31vWguvqnXaV5;p9lLuNhf zM_#6{-Y;+(lktcNDbSm>ejQFo|%1g zp*c`>j?T7hI9WAs@${Z4QYe|{S8y`7haIe!6_MF=nc0r4JPb}P0%vZmq+cb%Tk8GF zJgG|*6}hZdug$*7O7qd2rK~%`){UFw8Ptp{svpMS3~s1l^EdoR5_d>DG!pwnwJNoA zmid?&ZIe5^w0Cmbz0dCQ{RkLiD+f8!>4N2yvI2c^kR(7(a?V0_algVoO0 zmW1f@ID0-?4c{gDA>ah_Z+>;4&aHI1)fv1`%XO z5fpIVf2+Der$68L=6m(gRGoWo-8y$W=iL9CR5d;iVg25RkfXw_wQgK*#b(PIU650h zMRQ~TfEx0$q@SXa`H!$90rgp_a*N`xR`yp0+o*CJ(9<6?l=BWcUT%+8d7jc!(qZ@% zDw+XYr2ZZSI%WJ4I4CaEDjjLKpOvYb^OY}wz~%6cW@nQ6MxpYmg&rNFjB{${Vo`3E zE59JEXqaoXa6QjTy5m$1!TG^x_k)|{P1 zo5sOM)&G7)h6`w_0{3rFm=dAxovy4l(_IhRqSVJKl#`MM8%w~H7TE!k;vJ#tk{QZ& zGu=D@?R#UFEl^HM%1EN}8fC@u)ll_q9B`{=)+%QxbO99Oe&Bhur3ospYNqlrEN2G8 z`fLrRFSavw`g{WUA7mM@&89Hl`ac3E9ITj|MZnicZ;tI@deV7@-?Ti-=k5MIOux+VRk#Mc^vDy zydH++GT|B&vk#iYx!vZZJwIbv9p8kO&j_?cs7Kybpjl5Xw+7RrE3oOj+)9>#HytA6ZkgLs%@dG^#}!#oKmAy_ zPmt_gnb_57~+}G`cNW!WZk+rm5A{IxL}of(Un=iG$-!BNN@Uw@&e6z z2oN^Dao&Z7A5>lvZViRT&I581_@xpcpSn`C}%BU8dj=d%mNd4RacizDK9H2uYqr`2y&A0?t!(jFb?ID zzEvcNUJS;LDEYK9gu1o|P9HG=+k;g$XwA%h&*)j?#L=R zgkHX&45f(&F$ezs8g|A37nMaYXhviL;@ELX*+{cLhLkJ2to%$tePJV-+wzW9$mDEz zM1nuXESbx;PXeE+*d<|o_?zC)Gziq?b#g_I-yHy4@2G7h( zXv?k}wrDEIf>`kjVM&(kB)2*#goQ{nwiw|7l|?WGZ`DB>Ea(W2i0saW4Sc%`_Vmt= zFbTrP!Yg!1h^>OI>^8O%F@OrObF#Q*}{k34z41W#NM^YF6^*qdk?l-k8K!z7tF)QJ_nHcK~J`m-wC-; zU+l%!NNQ;+%Q0K?Yl?G<)l+>~XYAa+1wUh#Kwwn$!-A3qvJ;+Q3k}B}QCOg!7{qo- zw5ZbBLEW9sddO6seq261;fWeN)VAke% ziPtOHC3>$K0V}s3wzkt{NfHHog9Huj+BJh6rF~Uwm^~9Ne&Msfx0=0gR)AKORKeLo zqd$=2sdct&rEQxPMF1~0b;?X;lX!K(EW@$3=s|YLPQksE&QyO6JB|%?j9F;bS(p_~ z^H_y&?7_{DY6+w_yF;lfxpJ_;klL!_>zUK)sHrZQl57(Hr>L(z#Zn|1(9RM?KdgXw zxcQK+x4Lv8>t_xsDss88L(6g%6uPsDGV}A`?>ccG>qMm+V70iOW@lxBKguES>`?#JSMzS_t>tVQ)Q+$M8s}TPQSBOpqz%f4J-2=Z+hm8}hEt^@RL|lytfSQSj*#}Y z?q6HW4oGrN23$5TzRV&mboU=DF>2*HHr{I2eQ%kD51OlAY+x&}GaUfiWc&{nJDq<9 zJ2BxYQlH+)swK*209?Y~V&6+NZyLW_Ro-S>#MTF|Ds+u19H#D2*={ra)PNp;PKZezi+W@OOH>)4=1#M?Tl zl^-(M>?b5>MnxHrP|+;4`XdHAX6GdcpdPQlEJ*LE1k&QaL6@k1Wh%Kg!}KZN!!F60 z!b>%7FFS3fb)UlVxPBiD3IUJPq6kC{&H0SomV|{Ki%*aRtGoZkrl3*3VoJoCv5}42 z&)iB;QMNESo$A{COt|&lw~kiV9bhv6WE^5uG;1NetiRy^wK#NWuy9@knC{b+SE>0Sv34qWh_Q5 zyUr#{WGR5zd<0<#>K8XynuQWWt#54kDscWEF9s2T1?}RR~c8D!?PCJ_)=NZ zeNqy=H5Z2M6E7n=C)&a1(W9TpG5YkG{T*!H~ zd6vTn>B}0CPn(w5gAhTYZQrcw{%~GwQAb7afs(MKtd`N)d9*x|j}?I{A+#X`h+9Y$ z?Gfy>cyqcW*TqB171BZeXIcBuy2_J{-m))Gd9vpG1ZKfTlb12mXSchV6n$zkjQ&(D@9WuUYeY zoT$*(w5cCV?Twk-&ny=MbEmm|c^viY0?)>tZ0@$|hLV`}22jyHm&Z!#reXYNbAS=C z0)1CiBQy?$oi~T7m-9F_+hWg4)vI`v3X}%^)jp-2 zpqr%=IS1Ji>5a)4fHk>Lle?dQpjcr?0Nos`%xrm+s!D5S(>;>_VZ8J%hU#)}Y}5W! z%*!qL$!@h>2`@IoV`QnLhocXS%xqPYys2p!Zy z83f*|emY$Y#>?rDU|PUoTR%C7?BzU{Hjcr9)O?Vm%K1Vwz4?J+qo^m%sO1;+n8v7CkjM#VApl!nL&*2Vnfy(g9_aMp z9KPE^?>xp=(*tMNUFy7fe4Nx;7}g%EW^n102k2-$wjrhAmj2|N&%dUpKf<_w*U)_Y0 zs?SV3R@;J{SjihSqu!2i2#9Cs=Xd3v>Q}3Ho;|8;Qfav*hm0C(9D>}*z^;{D9Z@RA2LdyNjB=6eI+66%qWJG3af zs$@oON%`d2^xSv6bD#)7>D4k_{f@sLAl$_*lP8>k)En8vBRb?EBl%86rpoElt1D`& zCYR90GdzcOH6gI(UrjtvaY1ys;e(-{&hV)Ao`q%-Lai`A9hKzkceOptyHo60zO4h8 zOl$E}lc)mHk4~TE>qUJjT73=Q^9gOWIg#A5cn0k}4`|GGj&~9*PHB-#;pccs6k6tU z(;bG4;GOKd-PCxFC%6D57MIRUzJJo>nu;n@mfJl7ZXEDGI6nt5y(T;&xn=KZxiC+2 z3Pj)6R#Wmx9^5${;dolku#6GS;rb@T2y3mmc8-5S(lPYf_Vc`_4auU#SI+a@sTtrT zMJVy)mQB$r6Mdrx8JonAq}&-2`uGA5cZlvnO(s;LcZ+n9m)mN}(5NX?evyx&^~ZU) zFprW+@l++qyxd$=C~m~o@0*J}JV2zPS(>`67ITPxy~wB2=o7pvt;bl?)JwcRMjMir z^G3{$vfAm>HI5W?GX;%~>fo03oPD0=t=Lc6z};I%aPB;QOgzQMbO>fTLlWsS_rC@3m$r57PO z0DlMqC@_gqH}dE}LU&b1s@bNx%}G9#}}=husmsQ zz`N2-PW;4CL$#NAm{nZ1qLsMB9|)REx4M~>ZaBOAl=-538NHfhhS0MB2^LeUh%JWG?2h2uJbOD z#(gzDF|_YGZ&zoO^{z8B)}-L8TP>dXk`Eo~L&y2h_^?om`|)PNosp2TWPox_(-i_aaHlAnXi?ZJ3 zoj$baIPZMl@}B&<<_G!~_`L`EKESv9?=?U#k9B3VNF<0UCg_P6(sB5kNZC;EeUlOh`9&9FCp$dfw0OXO`#@iYeQAv$F{cd%d^!BR2mW4p z-}`@yf&2eIUGDn;-#H>4=$UInuJMowMneq+V9?%--9XPne>KlVT#DDMdg>9B$&`s7 z^zVFW;>V(>r>wR-Uk3RCHy5LvU|7&SlT6A3 zxHbfUsKe=?1T1LH?x0yW`9!rQ+>vCdn~#p@>@lItCdt$RWh+7BghMhV;uu*Q&&Lo(spf2P}@yMZzu&skb?nYI^khKg+Mpa*U6%}n02Agr~cPM`X^dPVDonW3B`J%j& z`b0W9N(vQ5I>G|NV9$JjL>F@K(gsscdK}5pV0tyukytkoxoOFIQzYaI*2E;z4}a5C z+_4 zXh(RRxCI|%ZoUOyW^FzU7K9P0C+d$B#-lg=RP-HM)He%;qj$bAB)#e9@6dHx8-$f- z6c82Qn{1xL--Hk0HXjBI)j^=*cNHOh;8p}}=}uwKEz<^;Wk zLWLVQhzc%y(V_yOvAy$KCD5Ou9U*mRb!MGTWa7P^+5qEPkT@)+>&SQFpBQu_AD^!9 z9R4N+c9C%yjTKs2Pt$qb>qQG*g!c91>%A$Ik#U388(y?%48ASvIs8o_zwtl?(!=|H zA)35tA)37DHO(|W^)4O|C*+iN_?x=_#sh?S((@(L0OTJ4EyR_vB;z2ijC|1mA-)V+ z)W6t=PBMD9ji<|#AoKLY-?WQLx;y$~y1(4rF%YMJb$296{%TO1qfj!hO{A&uj^XA7 z(X=(*@u>Od1nQgMNC4_wn&1dCUrC^a3623~mPnt9b5jEGL`SH(DV|~zL2rwvsfmsR z^ROsdg>)&N-b-{;m{%rJY?5Pwc~UGbOhWMh%#I{H<%JmfMbITt6q1bF=ETsDWK{D) zA}vgIG?@EDQb-EQWyR9e6vu$TaEKlussk}sF5nBhy^?5a3b?!$PknnhHcH`iwud9p zoD-#n^mHIdG`hFrf=x%Gs7NbbqQNRK1w$=*KMhOK9ri za`b%WrS9rtm*aD(?s;wZtaqCsLtX%BT#KAmcoVfr+d8)__h;X7pG&50ci*|wxZ2_= zhY{0`q#%na$)*jiQ%ev9#qT4}Sxdx!6FWF)e}(>*=ilaTMd%!!xqit;} zFU^%Mm+hD5@uhNoWLuaoerT>JTczjmOLDc2-;~Q)Kv5DDCE6{NXy*zgX>_uZbSc@n z-nruKvuAIWBpvWVJiU@%T#wJ1yG_jJZ$!@ekCmcIQoT2NE?ds}Ny95CNzY&CxqK=4 zhcDu1xDK)Nm~0d zzEezNTkjX~yJBKQ*{k^Gd2^YyQmh}A9N#x@(R5vs z9T$~cTAj=tojElyc1VujmAA1_;Z;j-05YaCRS;fL&_M6nUmK3g$LG_WOBc8UsWv8=fjr+t?q zauv1N6(JPO7E4SKAQYP_O7O!fYNjcQO(_!ic(|1G>m1+JzqIA|{`b7sbQu;@uV>x1dHx zZAZm-Z4S$zN-W$C6N@FzaEnMIv0DjYsLjB5!7~6t;p@n5cNoPhI?Ry|X4RVN# zi6_#F3nFu5ELbxLo3JD)__OB}0%j#v&L>K#wy4<9F9pS~wu`ZePYQ}yVS65%nByI> zMc9=5iB}4X(W=d#KlE#HF*{;Pe#q)?7a46fOWKV5p%NuT@xUAw^iYM}h_18n{USD7 z;-QS9fEsy{__0FdX&$agQvQ%PD|{bWF!Xs=QOf2l6dIRR9Jj?53jL5xl&~EtlsG$^ zcDU>)hMobg7nngp^@}iP$iiW<+D@xnC7Y)tGE2e}lmk}d^rG=uXN+c?!3g1T# z#r?@#hthPQgVmIOvr|%=a5PEQ6q|77UysD5)xF0=f0JZa>?I1QimhTCNNuXNrjJ0P z_gMj)Q+pn1N21plV^dUB`=&z|mOZJVD)v%f3fc=*lU#bm_L`#oioD~!)w&{TvQxPM ziiFkp)N`>%!B#ylGG1g9=Wo$yk|OPpWp`s0M8Y%D76v$inRk)NM5a>6#4uA9nIhyi z+_UV8z9r}w48YtwODKHQT&Az1rtr~nnSaD83Li%l$Kvq=!=(u%gXTIrv_ z#r7$p1%NL4wY7SvWjWEyUICfQx^R}`GYP4-z-@Tf(aN?CRd?C~ zxmotPrPdbsfl^w_GQTabbZr@cU&z8pTc8^Y+sMKwTi{QM`BIi;wFUaH>?_-EaAdSC z&~roR-3sE9;9I(=t!=a&UM}P)Y`>1~u4iX0irVQ>ML$ia6tn*XK2)~brim6SYwUDmsl&5zdKhH)vHQzLsXjJ)yL7pLsc5*hd2PkWJx;xD5VWoS%*kx z!v@egLXt)46#2TMC}xMZLd7bHj6vy|v68WX;+{@1<5VXG&ya7oLg@{V z4`S&17E1NTEHEN93T?`~8F`3A&6#&8_CMipz2!X`=G8xcNyA!F(HE9s-Kgkm=53KV z$oC!dYGh70N$<$KBk~qy|H!;E@+yt_d4ke{iY}1hS6b|ftU!j}nRiFNX1+TO@F@0| z)X=jp6q_u~-~{zfWOOAWQlHitU}Q^@%wX45BHQ2%np#=BV~Z#rI#d~sZbo5i$(BLB z*v_ick@tQDLlkdoa0>6QsH4r>51*Kn`h(tC>yLlvo9rb0VM)IRpF**3Crz_O|IoB~ zK$RxvWGX;a9#QkuU|v8G zQAU}a6gUbxjIx%%Qy?}fGOtEXhatuX%sV49K)6wjd3R(xm}b;v-XHlc@%5RHRP67P zxnWnx%=jU_Ctg3N{QLCh+j5{$^e4b9MAZaXk zL_&X;qU0z@9Y4{Zwh?V}v_~z(&vb@uL|pVVkk-U>yc>dYia2|v_hWL32BMe}y&zPf zs>mc(g_>0r*~PZdPe`)f0(m=-mxo-Ee^8s`5UWJL2`#NEGTSo8g!WezQNdg>inBK; zL1pWL&jz+1VNve7G_av4gwiBwp~IsnF*|8tW07KFjF(kyBD$f6*haLBDM6l(#7ha* zjggLMDjj1RQ9DL@qM7udZA7gY>GWp8U=!Fz)Qm10YF`c2s2=K94b^BEnuR3muTalw ze210Nf2l_A(8X#ZbN<1g7!Hc@7KN=V2Jn%=Z51vjFl5;tdfhyWvbO$~5~bAfkVTOm>FqkVqFuK}zgEpiiRm zvGY>OV^1P8oYg&>Qlyxa+s%tHT@H+ul-y(4gN6wO+m}ix6A3pUHKrbo7$G=h+D5dA zcBAwPM6XNH=tf)6>7u9-9fh*{C_De(%5sW^U{+#FfP!Wy`M9bT)hgx1&}=n^pY~Qq zIei>kJJhYF$R2#ck<%+mqfE1xDW*6LlD)(Aw0(f=gK8DX$_-C{SA3e@ixE?&gr-RQAOMOpDh%&JB%2ZcaORvgxMRX8LFGa0jzXZ)*bVziXs@GwwE~jl>PTRViw)HgA zwpMEkXj15#xX`C`Ic@7{rfsd+!+&Vnlq8Luwskpe>nYQAuoe2D);0r*Q^a-ojHGhf z*5$OVrgTkrjiXM@&uLprpp6bcr)_Pr zRA5cpTK1{mq9nl0r6^JtuToXW5(%;BXX!9ogns`}d}PPYGIaby(W5k9BIg#z7SLo0 zzc`K!woKudMe8au#X~nAt-Hva(yv29>xrx`xqbhBtL(Ka>WPistc##Ty)p#L26av2 zP|y0}+sGLsY)UEhot>IeTFp6LS1PGja(z1&?LYvVASBw16uUmW7<*2V_?fErp z&#zhgQ=NN$wc=5hTiso^_NRYKjO^~3wf)zu?Z4C7{%h9uU$^$Bb3v_RQ|iL5bT{+Z zm4-IQi5zxx+0d0Z@oqV;%x#^74wpp>S1wf0)>-5P)Lpo^p|N$5WmAMJ7UtW26nRmm z*j!wp$2Aa9cC64t-!%}0Ye zqpUryZIndDnB3#qMoS>pKnat3T-!Jalrg!--O(^* zk87JGj>C#LlY3m-WRV1*mC3i&Hbp!E(8c5)H)o@iJ?`vAB8P3$me8(7VzC`ziqNl( zMQb~{LFhtbQKB&Wk8O*i1@>XNzTASHNRb?hPU;Y&9Zp#Ha+wSu=ZZa z3>_dc2G+CqtSpXJ+!KIaIY4Cpq%F~p<*=$ctJG?!XiuymTaRVQQ)|f96#~yB;MYeH zcrJlReJ+7y2}J491YSrWtKMQ;sMtUe)wUIpuO%{8UrOMO1PbYi1l~%ZsNyyVDe807 z&vOJ_N0bmX^C`|YXabSoO^;GBcL5M>CE_|>Kc5Xz)0KP={q0eqr30~W$cCm&*NDV6 z!A5RN_$kF%@M6N%{waR|7@KHxX>|)i7}%7sON+s>gHUv|`5my|p>w;I0`w`7e+Z`{ zhV}qPBY~o|K-TyTAIf$t>hKag#^O8WC5St01?vux5`UL6DoW)%GIlBBlPS^4a0pWk z9m8Ase~5zq*U+boc_P@!$R@&l!IlW0s`ksMLI%c^VLH4w8=uDwzjtIvw1g4IWv7EfF#$57*BuT8BQ64oM&^54c^L{Qs@2O`&096T8@@Aw(GImha8%yTu#MkuJe}sGu=IeT&5nq$} zIPW0lYcb#0d!L@D&3rQiaam9&3x|#!?80HiF1*u&80Q^U@WRXB zg~JM7cp1EKSiuV~gBOmp!3#&jDiG@NJDQ!O@CYb(G^cXK>ty~D=2hGJanV{t^qB&1y?r+)0=s$jyp46@|BW zm!un(N_UEMQ&C&Lj8B|m$$o(8JHi%mhB}i8-asQ;U|DERzcjUU6Tl2!+HscM%06OL zFyd1vDvy^w9U>Kgu|JGd>B)@sQ7J?rAXLd94Os(qQBre5LDd*fGWJ6oi?1b7v?O{> zB3Y}i1vwo^Mmt6#W-V(NvZkWN9o}EQc&iWoewklG((Y2^u+A zEKT)DV@n1c^5P!Ke@vtHVycWE|E2cFRJ9%G!(nMyA~3gDl^gaimSL$Z`5y_a)4O8* zC_B=;uywuYsCn`bfhQLTDzg1HOWElFi-g}(Wp55M#j|5=woO2}8?IC`9%lcJ zRHldjGU%Z#7ypPlVlSq0Ig1-v~xzly{_}9DLELOD3z+Mj7Fn zS5INGnzgz;tfvcE+NSCWii8hSdD?~*&1VJLN=5agB9m2&ms~Il*7ZzfiVrhI6i56e zULI(CPo^vkUx?`v@f@#}#kdfRnWfXGlQF0amkL_Z@yDbB%g>in6&wpI7(*8wv(wK@ zB~wY(DBc`DP#VW#EjDEVW_6^S=r$$0l(=<{Dhq}4CK zOQExB@tqoO#2}kvrW9?pdu9X~t%}e57t734mUd)$Dp@QC*H2}68n)&Z>-|)vgM%fd zHRZ@NGL6PB!+<6KPo1q_Uj&7t4uMmS=RsL(8^S&@B?~ zr}8`u8|P&O9!lF*OWStI&dRJ}IWGp$PRk9dBc(%6kiFi)3Z0fr*&c=tcMJEjs4$tG zqM{_(OG#Fm7S)iltpPnDRd$Uq(=CgJva@oimNQ%phwKg*&zEojZ7W4s4nLeKqOBvC zV&6`-ZCk1MBgnv8K=0j^T%`bugvJN}Ta~&SR(!~!q2ixX72i!!oaqwla$kxZi_%QL z&;=H}mv9p83R;MlL}Vi(Nix*oP?d2b<>y8b1}r=xA(U!EZau~DLF`|-b=trUjaB*F zdbsimi>pczR^|7lil|BvLCm|EYqLeGN*SxEI159-qJz%MAPO$ffCb9aBT8+Jn;oR` z(^OZLzV9GevF^EtdWepaFA}4tk};8PohsGy#TN-YVzRtARSF4W+Ltn>rpQpT6rrCs z>AbAMzp8jd!q!yfnHoDI z>HGh>Xp|=O^zSX{|6xb^A42SjXwh(p{Qx|Z8e->OGd09M3qWd!on)yYb^@s(cDX+d zhuF!J8e(V3KOuG&rH0rkL5A3W?w%52pVIxG5IeiOSDqhgRbRu_|0%?N5;Q6FO>&}{ z{!@sZQHm6rHg!nzzYnn&`iHa&m!JRp5IZmOqzLUjj+CFEvnYCgeBwwxghcDt9e9S} zZz4?z!?XK_!|<$MI1FEhhK1wstVlQxFRe(4!%v-og1{WA_=R$y39luts43}2NF=k0 zE79mFW{DuP#KK@0>Rr?BkG!V?S7u-k|RS-Z6Fs9?WJWt=^gY%0~15?llD&x=PSoQ0U|QcNi+=1c?7 zT9QhoNXj9H#xjyIJ?0-JTWuOsPZm>>LrT(-Sd!{VNhKudt7m%XO>f-bkUBn-B~4Ib zsrc+)Dn6GghDpBPsp6;qqM}=>il3yQMI_Dq5K#fqU+{h<+fgJe0-5ES{*p|@W!V^{ zZ~CXGQ!?`qMT{3SQh6?=@{CXAA*CaDs3g(W<;Xl7AE10^$0Oog!VifCb}6ti23Qem^2J$nRMgpDi* z;gIaFEST+_1UScX!a=*-mj%%3ISQ~Ns1h#9UJ%V8-(d~;Ill%qAAO5Wd5ONDA`BZI z+TCwnqy!sLCH@u9hxew;|C5nDh?6qgf4k%Oergku9GQ2@kyO2jST{y`8F)0T^HvuFj|H2iTpmdC)rv&8Vha9`y3^pc=kB=;GzUjZLk~gQ5FPQ*IBcDYpl`ygm3{ zvy|I|YI7SSNYQ@Y9#mV{Y6Ivl{JcG=wzM?|v_$yjQSK+UZvh<=0p1=|!?y?hygjIf zZx8xq#58<+(9he0YWVh`pNDN~`1YV*-X2uLw+H<)bQ-=r=;!T0HGF%}FN3FF**LRB z+x)manDgV5+ki{Mo`ttz{= z8o{e_nsrq!f>-6VI&3aS#4gII$GjfFt8!Wc=A99|DW^4-O&W~QD6}a7GlEy;wC2pG zT$R&Wj)Aw*d9}}{(=c9@)2yp<5#6ZBx+)jJt8$ukRW5>8 ze^^V=R#8%fV&{cBZEa>SrccC+Au2iK#cLFDE)fZPQIeq!*UXjVs=q!4l8lXCrNWpQ zue0<6$v1$*OeS9E5Mz0*Jxa=mb`<9DolI;r#@{)h%5oLO523>VA}cR*Rg1xucbt7> zyO%%owuQ(NlOP_J&6IVOp_I9 zg6*9psh*UygOcviLlz|@)u5l_B;W4{TSP5jB^t?yV*GuLCh-!sP9U`FewXGuw1^tj) zB7jRdBpr1vX#o(xc-otINxoP@@ZDaIsXpcWpAA4~nqFj`vr2TCz3Kx$QF zpk)0JqixiE+A~;kZomm~7H}#(@dhML|6HgdxQ4}6^lXY0`Zt7H&UqNNP5QM0`k1!*nqyxrYwg$RHYF{;FJ?;Tp^Mt)T=P3@^5ZoOd@6fwP*|~|LOY4|9>ac zndGgM7BKF=oltN1LKLp0ZB8eQ8d;eQ8d;eQ8d;eQ8g< zeQ8d;eQ8d;eQ8d;eQ8d;eQ8d;egEOqI~J|!zhOg5^A@Jk7>`H@4A6jJZ%H|)`mi?~ znJy%;9OZCk8!-|6LgbS}3`P=gtp!cwYzs@G99hDr#`)zsq!M5y;;nFomVSl!pPc4v z$! zjLHE-Q@Ykdd`LJO37Sd;GYNNMxdm?ntYlI-P(>&XXXw&5a3YS~;0-w|UqQKL;9Ci+ z(ey?kdLuj93-@5~h=h-^=8e2aHQD84S};1y7A@hrfR%{z>|OOG+i#L>IN9=3TYX8k zL9zuIl4~Fl7bM@Ow70+HvpO7?*pUsWuD>LkoRi{5vF)cwvX)Xjj`@|yGxYToNwx`O zR%ND1_>yE>OX>S1oAs^+dA6US9_zSY(s6t!NHKRLmDT6Uf+#Y8WjnCzpsr9Z+VFx# zy-g$RGs^mm%x_a=2MJV`O4o8dblODM0(yNv4;nOzXl-!3Z9v2yP9r z50$n0Gu6NglB;CyaIG$cxgxl_5~kig)xPVg_L=g;==)SAQ-{iS=FjP>*17|Yz`yc%M8Z#4C`a~XOShJcSHNiXwl-3|8iQ9a z2W$SNgohHA3*N7!q=$f&%&Xt%q4w=X#zOf?smpKu+D3FqFE=wz@hMaW@__Lmiw!{& zislI|X)p4A)r~LGXjvDli)J=y&1^kgik;TTRTL{vtAewoomD7K+A&vB{tj4)xK9u4 zl)|jHq6ydU@JpzC2a&aYH~Ot_H{dio?2gu0?C6S*=*wUqj!e#-$oQ08C1_T^S&~#G zX?K!N#YgUx#qkj(RC|zU7HT_G%uJj%NbImBdWMN2_RzhdB1Z^_2B9ny#OTn>ks?>9 z{75k`gyN{gdLi+fJ@N06!l#CoOc2o`CUkg$i1?EBhQIHQto&<n);qVWBTp{yBQ7Tb2N{UNPn+1Iomw_E6Taw{%g}k^uG({(x`vnCzR|AhzcaH|xa@{oGjF!R zH-X(Y%@Nz&q1c@sEyLGeX^K6oS6>A(RX;61KS%FV?H->#Q)aw&eHxByRGlYts^+-} zA*y{{Q26x=h_R{m^&+6<%kUR|slvWNq|@CP3RV4Ge%d$LBeYoUi35o5u+Xxfs2-_h zf3XB#waA@b%Q15oPe=yi0@Yr&klv6PEw1JoB>EYNjd5t%+G03F^;9jJU)CnCre&L(9jb754MT&b zOudC)s!_IJ@1QAVusqk4mR{xueMJ1Zw)_-Zi9Z^25xCn5J4>ZyQ#(Fqd2IL`?k1-B zc(N_qL(I4er2KmvF_UjnO#$4=)9^)bQo3;=M^jc+A}04#mNsrpdhalnI@VKJHpH1U z<;W0XMz$w?k!o18Xv$ff>}bmNPe`9hmW@*I0-%0thH9t#_u?3N=n@zTS z_->n~^hP7VbseZ|K6;p@T)D%1xs??6Ar3`>-$6``Us?M7e&)MsLffWu<*A*cn6h3&s-D6d^_Upa$`m5-H-+8sd-Puv$|}RA4@Y+ryf&P&#&z zApLxNQASgW-6G9aEC)4Z^#Nk4HKLr6-w^ZV4oVB243KDp>;V_@5Y@K=F|VXoN?oGp z&fRFx(65+(R+XjQe;pNqowOxr{ zdXD&YLHuX~6zrmCuM`wppG0Hvby-c>pN@hm)gevUO=KvXo|I*a6Vu>2OLOlf-hqi6 zYI+jW`eWv2;IgxZ$R#oPq`7DD?H%|}cHXR)$(7?&kjZa*Sa7ZYYrnWKt*e@m>VH-F zS^ogV?ZdAm;VtMunh`kA)|BNVnQyR#pR0z_py{}n2@_vZak;^ixdX8T^nA9oasz7v zbj%-!iNM)Bpzz@u0wpI&xt9B<))?_ zTuJ=hWJ)L|Yj%ATxmHY}%Iq^)y5JQlkE|tEOca5+EQXGHsJN=G?>9No#{<8@fj z=nk28V%e)HC2{!%?|o%jeX%R^&1L`JgbNwyu!+PJzr_5te9RlaQ02)c%s-h%E}QgC zoj)o0)hilz>jV1l0SC08(y$E+>~pAW>kQ^6O(OHiy2Sk0nFI^XNH#?wUrkYGx%(Z~4YQJW0t1N6^r9^2NUSTacvdzNd%= ztx1{=v$>|kJSFMX=M-`1J2KQ*#8TrtF`YZ|^SkcEFXazfDS^ZM{9C>k??q7Ncqzf& zkp8>9ozl|ddMoCdrp$jYU*=04@l^-3hjhy)^H{K;I*D$Cq{{64jHpHt%}%kjZ4F|M z%wis2XT#^rU#Ym!XT)6gQE}8lR^UZ5md?fwPE$5x%MS1QD5v3CexABduG?Ge?Em^E z0;OJ)xl>LmcqpftyX%RmGnmY;0>pQjPRd6mq)UghG_C}d#p9qAFKsm{`?V+YAK6LM zt06y&JRnW&K2*H>F{PEkom(_S2-1Se{BbPqe~F}@W~G9arztvPYcho7T-UM>g>ElH z%2Bzfrfm^orpQ-ob~aMlq=%}sCQa5zVs162%)ez{8SQ8O)p*K}M9323Cgux8<@}#* z^?Vc-aV>@5Vh<_;Q~dM*5SIL!BVWxu+fZ725GUZe4|d_R-U1siacgSgvtc!S$yjSF zTA)Usoy!KJK96mQ{1;y@MgGgsUgW>d^at`?ldK<`6rGq-9>B#aP}7SJm450GQEJgLq!jcG^53!8%V~8 zvsr))iH0%da$>pKuyx9#WnY|^z0NLMBKN*6Je0T94+!BOP*82= z2^d!I?|-T}Y#M2x;|BAb z%^qVQDgCm-(4Wb_8@6Qm@*}pf=7WuSo;iTe*O{(;r(6!ytk?|1V720Iy7|4!oF(7? zzMCEXuQ-xEui6v)GObpRPgA-|#$jxOY^~V@xu3HI@}EQlXeE2}2VKR?aS&7MG>4)_ z`4=J|k8i2~7$rgYh|OG|trU@%h=N+8c)bYv zH;Zq2!=^2wY**;oDlT0Ha<2#kK)O$~9R{WQMX5&+dO{RB43d-Ld^1QpB_;;JcU=@L z36dM)+Y?~8DQe=&8CtTa{4tUjqAZTGwU?s7JR}2bzgGdnKwD&Ym=+vlE6<9Kvt@Y* zY2$5gHUOGnd&v$k*VYT$P4wR*txz`K_Cr2Et8GO%b*`~}*bkc4+8(w;vfFm6H2C(| z1~mfTUR!(=WS_F-Kh1 z{rhVuTd9e8NOouq9;ft&g0t&E-)ejRyufd=D;!vQX{Aac8K_OVj72^NnBAgS5>!7G zd!s@9To_}ZGg(~3h8i0F?gHvJw!yiO%(ORJjI!V?`*V)$bL_wCP(Rn+uLnry+jAEH z{{s8NyP#QQU&bkYvAqgs#HIFS2nuL_+M94cu)^-g_r0{0_D7tKSJ?|^2g7Rn`Td|= zYyX<_&^r65j$qheZ^$uXqy1(JK%4C!ypLo{(0-X+bDRB_y&&Cgf52CMr+wBGAa~h& zeGTLu`VBSnSVDG_w-XZ(@)qy;0uUJj`xDb9(4L-gR0V_`0KjqAO z%KotqnP=?JHUm0q@74)r=j|KHA-P}=o;ry1lKo@up)T7G3;_RC`;QJl*X&s;1G;X% zyaIOLuwO|6@|JxM;_KRN``cNNcF#VtDUkQ=M-qU1U~fi-hxP&j43F*AaP14ftnji6 z)IYZm{Sk_i?IYen^3pymfaH~ZMz9vdys;OojpVJpDf?s(wPgng?Ww*hfTXv&O@-_} z>dfL0(@!m45m0~i9bCTC2C2{K#KCGaz7a#!^)!2!x}`qKMyTVvgL0&L=K~lsO8qz^ zNJgs$w`?JG@E|A}tFBtjZPs{o%OzkZs0~|ybfP+cG?0_jHthUU)Y{)b?^Jd8NI=uo zx0xV&hWdtUg;{DdE(B+*(YSP`%~kJrgZg>u=r%wuP_s6I!iDN%t`!!m<>-VZ>i4Tr z_NTgW1Im`EM{i;Mp{-PXxLU6zs*P%(aJ5?EJUG^oQ*UtRxLyt6%A~eQ-NTn| zvwD+b%a zk9Js%#!wv8j;M7%fcm3q+cuDWT)p)aq$kwR{6OAOKl8wfyXv~nP?n_HN1*J1>fto} zNIk%cK2~#a8hom5zYm6I>d?g?NmiS1`}{)9$3}mpR>6s-_FDZA(KRhzTg?G;ly=<& zGB`$C%uq~7yIBt$GZMyRR)_`#jLH@r8Y;jp97NM2|gx`W}RR+(e+Yc2T)KyS2;V<5VRBbZ}4XnH!jJVVmQ z@pUig?d!%+YiQ}j-PTt%y3721~f)Ewzo$T?|9CI@F>Uo z9B9@%e)mAi21hfTpJ|&M?Y}~@*^z^LzpajhK49PG7&!#c4o7m38@!#4AMs5iZMS1R zx4(NFIlhC?eU7H3!MNWstRRwuj=V=ea>!An4OIN)*g(^cI9@LYbj;C(UGKQ#IbZ6N zj-O@#I^}p5_x`jqj!u)I@T{Xe-_7%mvB#j{f+O@T$}TyI#|0t#vSU_tfL9%-*P!s4 zWAH^l*Bx{30=nVo$BE#UBhVcDw;ct}Ld9Lj>+Vo-&*3cvWRl|&7rzf2?P`PJv7^`@ zV0hw)xd!BO$HYU>kn9-$C6ZT;4?hI*wPPtw>#ipo*nem}^gp&jT5tVnQSkTCm)3`h z{`%&}ARVAjWH%YC&sIS)MBh~jejcWeTZCk|zL5K&k$QnaD2vxS7ez8!-^1P97(Fi+ z&13aXuR!KFeNt&4C+Ne+fgwSk+YZnq{jVs--zMv`HbKQy{oq9?oTjgQ0h$?ld<+;7 zzVJZPK|R~|AUUKf^vn_cNgI$H)d%f>iWB;;!$ERVzi|NUr}a&Jk(|*NW|98@uBXRLtKHCBaierwZ(A1%@93X#7kghn zRUXJBy-y(^AL`o}_)m<`Djy*hUaPxZr_K>1v+`Xv-5>kU@}da1ACoc&5aHxS4- zdS*r)-|Dd!z}LfBhNE=PptC{`VEZ`NnbJ^?h?Ig0UzA$WTj&%k zkt}i!DhHdEIG^+cOh|M_tpak5b9YfBYn=njL&JLK zWSo0w8=Rek`;ne?mL3g`bIxH=5PHGc>2J_nbk<`}xa{oh1j!YrONZP3b~ele%InS= zl|gdTxq*w8Th4l1&EIj(W-#Thv)5oGNzP{6dp>a1J_M4-&codPKXKM%bp4sL_W&q* z?%X*g2=Ik-_bh07>HOs|#Jq9({{rJ%=dBBXdKkYIgr=TGuw8EE8r7kq<^rwl|5F>2*U*-+yW?%ip_4RZ*R5yn{VXXA}Ee5Xel-*W%0 zjWG&wxe_v}IYBedxX$r)yfL3M=5iw=LqIEx!MTwn8dEEwY?aZIk)k!mZHDsK8mEo` zT5n9`PH2O15E~S2ld%f-B(%-O7hJz@HQreUl5NJIs*tk7*vs9=PGhZ$`_J7*{(ivj zF}5QdsO>Y7cfjub#;*s#f5_EII~FNk*%$K=Qzt5Q5N0#t$^~vGEt@gQv#E(x7~1tUHRb z7shSer`KK@b+SO%Ya^y1%H9}FqLFkrht2>=53?u(f4zd{I!2s&o0D;+N}FId!0ua1 zFo*sDp_9zntnXyA&>)aZH6L-=FwGpmSjP;rRyCB(G__)2m~GB)gk+9ctSHLnnW0^P z=9~Q)N?2$HrvX}I4vd4sCFX#eNS2xtK7_DkX0TydfXmH&oGMqEcd7%MXfC~pWVLyc zX%&~0I^=9Y%NH&=rIc#h;n>B%0JU8d#S1hz-Golhyyf7QyLh{mVTLH-{^BDJ1 zug$Y9q3Mm;A|n{yn%Rm&QxDfhzEwS4>DVH@Tqn3^?CrYK2n>B(nbV=HuWKc3>gQ^M z?X}k5^_2Z_kZV>VC5O8eubiqq0_f)s+n;7GqYb*{y@H}Jf zL{QH+q8ajBU^L_)x6qi!D9B>tF=OdVj6CguTxx8`-VLv?b044;Mxk#&veKAe7*L|o zg&Un!MzC5ew5>G~zJ%^|#`Yx0UT=(Q0%(Kr6{CwA4bd1vHyJl~gK@LbJOPYbjA#wX zR$~TZ+1rdMPDt5qtX>7a9Y)U2!MD@spBW6hjFs(>>^6K{TIS>_MYm9wD3^Z_{H4 z81@yQQ^u^pP;uJm&89kI4rIR2v1Zyw{%mkbBv z5|@pWF|gu_G4VYlSB>ttn2KRyJ`X1THgeB~(Cfya7D#Rw2Isk(#z7uV+%no-2Xx!$ zQ6A78!@Usg;WP?17X%W~;4$`kJTLG5*reJjjh{e{;JD>>#uGw}1wl zL2mbkn8UdZ8ER@C*geb)?uNACX6}`MMwrjoA4i&VH~@||tDORJjJY=!$dLIp1EFKh zte*ni6GxQw_r<&!?!|v(kSGPek-~9MK zXcm|=azo5Qb8R`0EHa}$f~Ljhinb_QVs3l@%BAK@o}K(@CbNB(nVlH0UT(G?1JNtY z%;UhY(#+2#Y@#{tYf!E-y==SHL9@oYNY|JPG)P-(X5vA~I&;NfKZ7*Fd?=+`16ScC*+#sNZ2OXDo52**^y;cbN}4 z4DU8wT)^)!S2qB(*9?xG4RD_sRT`xG%_?CY-uCIl% zYo>>hg1^n1pF;0-)6PlvhIwQ+kT*>~JMb-Y`Z*wPo5m85+%bRbisY_2H6Py!vtxQd z_sxk-xc*5pvBSY@VK)5@&_nZgw)P|Qudl)X*o>`;vM1)@XGor!TiZkSGjl7>O)y|j znGY!0JbDidFU)uD0(xn-cZ2VhnX?m;*XC4qy*K7Vhik-g#y@+y z2GWRgt2N)N+E^;-r$W^62kc(ZJ6Ok-&)oO&YrLLU!kSud0EP&AEuIgL~uW>+<8D{a>mb?V8pWOWUf1BdAlc_yf#1&54!SOL zdOqYTE+Fi%D<{|Ef4Njdx3wd#z6`}2bwzXTJ?6Up4){*GDii?asi5oIr=U6QdN~&S zXI#+?q@Q)=_!`MM*E6ob&$|+M3E`rv(l$VsTlMsmm1FE>QrcO|cfp$}c{{|4m~*B?Eh;khd~;XR};T?_CVliC~C zATG+@x?V9*+1*`(JIWsJ5qqGpm-`-;t6Fb&y467TaX-orX?@+D_Mxo5d%$Q&8Q{jh zKEe>{zRW<|M!HJ|c|;fQ&ipNCM!B<& z2hC`Ai<4j+<8F5jP{L=HcV@%j#qO^dVp!sS`we6-b$2-ll0V&D@f!fzGWReSge`YZ z`xy#XxHHlEmF^6mgCWuVgiFa)?(#=r+G=-S9?q?Cua4sWf4%$FP%v(Ak6r*)-u{w_wirBzs+6dV^D5)e{da?JKWa_0ov&?^?(rp&9B_~1*65(S0k?vO+?Q}aC8!;C=l>fVf4M)1hlxkr*KUCH zsJl3W)W_Tr96pY_t1+l>!o7hL*hzQ&J%CQRPd|bB)9!JL0G)A9;vwNV_nEwa&b!-w z4)zP~>_q@wbl;kV`d)H(tqPLM?kh7P<%)Y}ap((Pbq~n`(rfPgF6jN+-MJ}9ue+xe z2ICEP5}V?t`!3E(wOj5rJO{YzzLEiDN$z>?0ea+K(+^^vx+8f)m+bzYH0H}BRuY)Z^Uh5`vpowIL-rg`r)6ND?{Rhl!vaq;Zci6_&T?v9 zOPF;R+89rO;67S=xLoFvR8X@ zO#yO^r)LeQSnIjTpzAu1i_m&c-dK=q@Z_O`HhS*u1?48s&v8I*_Ea7UDO)`i7`Wc% zX~{uoyXV1Hl{{$rPC?LA<3dj@hS-QyXnA=&E*Er-y39{giGbY0Ic zQ$Tsx^W8l_e|h4of#HZJ_5h%xo}alQIp%4_S?Rdv8qfYuc#?5Ws-5z*;#Hf|o`DM3 z&v<@hxa6!S#~tvU^OOs4{dvJtX%ZMOdY-ic$0bi8I{&h#H^Tr|JVQSO=~Yh|?ozLL z`tAkg-<~RUfxPay$=UFxrvevnw>%5DN5AbUz5hL;7?FE)Vui+lHpzlC;AayeK??z-uis; z;=OUK%qXw=0Lf@?WO)c3>gvi_gX{!IPWmVx+ZuJZA955Z;yVkVzPG! zmjqLS-lyCwP4!k|XPxFf^ex0p_r7fj#u?r^eEny6xAJ<`Z10nDAerMWs34i^ox%0% zJn!5L(6qqYB?~AQdROu=Yms*a1G0;~Ex!kHiFXtyLCc|T~Ldy|sD znC$J(>F9-bRbANq(mQAt%3gctQ~}2u?>L_1zx95{HDY&PPo7ox@Qpo#q^EBT=kngZ zE*!l2`08`-(bxC;5-{}hU3>zP{yv49vjM&nk0EogFE5U)gW3?^&GRT6>RS>Gj^Vy~ zaVQ+&J60Q{BYnflpe)`uh2zF3pS=^Ljq&y2WE}FH;J#+8uYGwW<9tVsgJis~AGi4v zd|xexumqozyU2;Y1kM$ceD5&4Gufy9iDas;#)n`CPV>$D5fz>8)3O3P)Az#$NSozz z^9*pduj^(=o9k=EbFX>6mQPVO-*;&gpoPAfV*xGlZ5$2u#lFP(koKo-Xf0gg*6C|sB(ToeN3HolFfQf5;#W^Uf_uU%^XoGJ67d;z& z8Ct@oO}=7BQMTD9cvQR1cYtR-+kHEDQE7*77Z0^|`aWjpXqWGU=YV$m7XAc=J-$Yj zk?i$N_!Tzo^VQFo%6bI9~nbd-M(pkN9pi1M;Y^IbX`- zzGV!op714ep?uP(-bdLf-vOQxo$)>J0D0Con)BB=U+z^XJMVkVMdd}`ycnpz*S@Jd)qUezo)cEQ^<8ZT#_s-g_rcNAf1)8cdih^* zc<=3>n-^t${B=G7Wk3HhMyC4vKV;7z;MX%k%0T}o9Fhk6_iTfTA%4ua=-mDZkw}L5 z3$;brNdHFONsIR%jc5FOl>go+2p#S3_Bjg2_*L!(L;m8e0FCv3+ZE6_|0YD^vOb>4pl$y7AAoYZ|Edk@ zclgV4b+^+$mn6IVyQ@R?ZvW9apxoyli^!$6-(QQ9_yPZ9PIU+UzkG`1kiYE;AP@V4 zJahaj=>McK#2oQIU`IUapU2Vmn13U0TOIcws{@V`{+C|?dD`DL5A>e#|L`rKv;OG8 z5OdD|2X8f<_jhL;@d8`|zKj0viUYdj|B1cis=pl9+t>U>dCd2>e|cB%UH6Zl4U!xF z;CR;lrhn537<|irlS{AL{v4NqyyqWCN8R_|lU?3_;XW82_=ikD*+ah?0_7wB{OL#@ z`%iX-rYHX2l2P{De*sgpmh6w?#nl)7x?KUi^!H%w?UjE8cQ>#7C+kAr8~=AfzGH9w zjkrGQ9$0V&M)e4M!5b#M10OkIcb`D6IB@h0JYNKket|DC0ogyWi?7;%Ku(U90|S3> zTQn$8Js+UKfzs(vHYD&nck;sni#wuhMBpVwj|>dPSLL<%z&WlTM+N%441#oY;OtB= zjtP9vp)eE}Wdb=iurLG69~WrEHFZLu>-R_|2HtR#pA<+M25FN6aYcZf5;%MU$f<#L z36L@^u$?#3rUzC(MA?kMmy8R{3}j>%m=!R%IGi2u()u|8Lu349ZlEJWfAa!)&VXiq zpx*>Q3j#YnMzS!leLkcu3XJf<;KhN@nt}1pz=6JimIV$DgY4yjgg2n2RtKJb4CI=C=Qh~a25RvN=(<4TtDOJV2iAW9y&D1>xl-O3DAfbW zrogA)gJW}`0mpSUwsx6SEYe~jt6(`+jI+MRaM?r_7&-~q1FDVQ#B-*igAjmXJe zPLVV#x}9Rbh0j|Kr^X+__BiD|fU?(V{3}TNoW7)G_Ks5pwF&y27JUibU8iX~pu6W} z3xdIb(_a?Ez3n=H!?-2;WbfUZTUer%qY4^Efl!rmSN(W5!bu7e;y$Ag+vsdttGMaWoL# z_A(^BaI>G$_6s<7M&GaCJQ&+8Fz{q7j)xa7hD!+yycx$LVD7^h@`cWi@jM+^`7>Un zMnnK3+o6SFAj7u=_`In6Y#s3_}^~%bO#xX=fFiU z7EywKh*3kGo=C=(Kp>+TxhL_UF^m}kAY&QdQS&*D@%>wX#53NfF56*7wFJOrsf<9ndXUD* z`Wm{^jKr59OlN#en^Okkr(7U28Sl^;Z5Ct6dRXwq7(f>Mid>w6fvAKpgYevdJ0@IV|jkb1`aNCa$P^yxqv8J1T;niwPW<~K8zOv0nJ zFium)@*1P%P55kOY7L>qGqfc^HCVcKIWQM80=>{zB>wxJ98>6cOJ|oG@U$|s_zlPi`h5_hThCy-vset zPAvk&m-+N#5I<($N(cj)M`+(Yz|5j6ib2fH)GQBXe)utTA7G_!L$xESV*Yj7IN+(S)@IA;65 z@D|Vfj2`EeARbMPX#Eat^A zAhVfYSApa(zoYw>XPA>@;BuL>w!rQzGnbO^Jm!0JkLVn8KV{$f%sq7Xrhr*b$wMLY zhc{{d6*2#&U#6UA?pg)=VrK0rKueg5-T^6P9;(0y%b0J|zI}no@&)7~^95>$lry{f z0jXdfY=Ewkd5QM&OUw}Z?k+R4R)bVAw=ab53Uh=`)vB3W?!m5xY5f_nt%1o zozwzoU{2#>Y>mtvlqNMXXYm1TX8wE$_N`211P0v3j2MHP>&)NcVbIQeoenxXn5F5^ z-C+K(0LV@z{n?etH~?)5~&;sd^g*J{3||U}qmLQ&Jm?+f zHY%(7nV-<%>s@9Y-Q>B)JVQUkxzFUA02yQ+8$$RY<}u24hMAqTVLo7XQ;X*z)AJud zMwo5X4SmG?$O7Rgb1Oa47;`5T{o~BqPau54ESV3VPnq9wp>uYyxMiTbS@E<1xv(By zfp1rq+cJ2y12nAPKCVR2Up(St%_$#`2~%N+QeX*aUinSVoM3(42+T>=k~6SKVlBvk zFqyT6cIp%sa|p;&tY|8mQ(5oQm!8J@o0?yzS&UclFzKwQiO^-SYUl~`Sv)#cE?_my z!YB$^pCkZM#8N(l-Femq%0`P>{y$LVR>Ioe4rwWibrzU1R^)H6xWM}NA-r8=S*Qh2 z$@19`;U(5Tl$l><$>{*0iWNeqAXivNT@eXMPC6QiGn-xuI@X1y>1 z@Kcu0F8Fq4Z=x4sH@hVc&Rp2(6JW51z4{Pzd)d!Y*UF7OmwNU4*j8$^ z?q^S=kHq25{)y~8**{RR3`*HiPz~IQBtbaPjQLQ-C?lcB7Iwfh`Ne@Q<>MbP3@Yy8&%u+zi&xFHcXfgYY3v zKFNNvAHpQ|NDh$6?5HT{PO-Js;8NMaf5B%OySfFVKh0jC0GGk8N`XNp+mS;vE{pwy z8a~2FzJ@K5hGX?3D}%^VtP-FjT-^N@W8bAh=mOiB(xY;=FMTl;Y+pL|tYkC(fcYi%1P3+ys@NNAU~z^0UI)fj z%|5;a!W#BJbYN1)F5U(=SJ}TM!*@MVju5xDDYUusOZv#WO@UgpElAW--$-c^=^M@|>B5DeCvmev;(8DhI1ejj- zF-qcZv)O47_OU1afid;7$EeJ>%U(b!#Xa_$lsylyU8$-YV*im15_5(wQRb{c(mqwL%!*ga;q=287O#(rZG*m1U=s^=%{p8r6evX{{`bms8s zDA$FvjcPPkj_+$AdpJ*Rfb8Xr6hY_4G13vmJ`lv+&l#aa*`2fT0|-4hU6f~da*`?e z@Zw}ro$HO?iowo@(=Vm@=g-moiRTUAc>V(t$nm@b%mEIQYP=xMceI}bbH1W3LkMT? zHh2r=^ti)Y80SAG+#KZWssb{C6UqiT#4+;$iR4WG2zF7N8Z(5^oFhA79>ZBhFKH}i zlv08?&WrS=IgW5XT!pv^9Ovb5dX#gY3FR?PW-g$KoUU?k$2lAN06D>_rhNM(XD{_g zk~o#mAw&wNCI;jbM@e;KDrX8GPSZFcbVzoZGnY!2bk2EN`ZG8`Zi0Cx$Do2Ri<3bDD=Y4?BYyxL_ylkxmg2? zTb$-o;CeV8(2a^-&Oh!D_HmYsL3oFAgSNqbP8D^Z?s8(O;Jn9~V}fpg!*d5W$hlqy zZiusyruZ<&{TR3hob5NDd&rqJ1~S62#zHvC>8OOW$DC_hss0<|_)uy-&aoZ_<_YKJ zI>dd-84tk|x^P{4p>ySGU0}F}>!CvUy<9P6U~XLZ12EsmO@AIjckc3Ef%M>hPqn2d zH;WP?FK*R0@afGxNcpl4ca9le{J1R26a2Y9ETZ`rz+J5dG>|Kr0qFs5d^ETquBj8= zLbw*%6hpaZY9S2c)~-dsaPF>9AP;hXOaqDFE~V?Ok=%MpwxYPRUq!%Z?k{x3KZYAj z^EQ@S{u|=PaX0)1XGgdO@{+)9Q%}JBJIXzu3HBIw57lvrT)zZhj&r*rAUw(ai&B{+ zZXLZ)$=qdhr6Gk|K-pFGm*^a?f%_%3Xqve3>k*=v zd+Qa1XyMK}3;S!_wR7RSm20PC&+FWmqG8a^^`j)OgZnk@<(=FhISg)c1=OJG;?D7e zT{rgvZQHlFj)Syn_Hf^`0(zUf%N3-LTTH3>9q#aHxasFAo&)4AH%0@)d)z+Sj_z|g zZ$UT6WmEw<#0_c$8RmBH1mpqt^m`cSLvBwGhW3d2CC%bd?msucJ?4Hze+pxaJ2?V@ z#<^pTOhBJ-pPdP^o5$G-r3>$?{{VI6*$%+l9^S`P&h6#7QZvYn_fIE~`*_=EOSOQBwc2QR%Hkvw_xsOa$GO`tZLH%~+Lxi4>QE6n|PchjKr=k;6x7r=z)sz?(^L=SALcI*@YSHTp?H1+V^Rm{;;DWq@4b z3I2ufGH=okfK>4css6jdOUVVKn)j&}BGmBaQ+4F1<=vYH-*vpB{(#o=66i-J4ZQnQ zpEUCFyC7`hl}rF>=3Nm%*usmWzIrQ6i^28$D3hzfS*HqTo9lCEkXqID_6m32tU0MTsXgB8XyPx z<|r6M@crmrJ;dKet%pdy+!?wkKA#6+G{1r7PYmCs1|*ihi%N(%eimg=@%*Q_^Eml1 z{|uc}9O1WpK=Utw|6LpGkMhf?)H}vsa~2kf{4Z`J{7HU;9wCzW9Li{t`MvWXOyOts z!Qd2MOgnrkzj7%I()gRH6L6ZpYcC+_{J;0Wbp}7W0LV=KA0I%N#Xm*cXEuLHFMQ_k zxn6Yt{tSPd*2!G{g*mV|%irOQQRMLt?}NoTepv*Bh5Q-R;Vt5CYz8^czq<$a#r*Yi zU{}Jwp9f(n|2Ik_%lMtH;4bh#rX}xcfSaqm-y@7rT)uh z{@nersN%o(7woU_k5Rc$&ENPTpf!B85nL^Q`vyE(J%0;riVgh9e*@XbpHFv=oA_&5 zFwkcH%njgL_`_l#uklaPr`F2P+lCRg@k73W-F5!@aKvrr-#-U~4t~EgTsv;?C#?ad zlYh_#%uW8c)Pn2c*CvDO=Gz{?;ub&P0U$m6m#8Py$M2_7?GC?)KGJ@EVFPq``KPyl z+~dEx61o9?cpkX>d{Fg;U7Bqf6z`a!vB=^=12Vb zzrbRYuW|)>%&!we_>@1g0}yAyx71MBE%0^5)4K?MrKHwX(9#CT9>I!lAlxgcS`XqT zI7`LzKEeBL2(e#qnRb77!LwBMdI;X0j_{s>(m3e61dh;R*n0~$Q@6lJaNr9#^%cBA zd9$BjQ5OvT1^H*;EkMvu8+D*y7u^*(AV{P-EJQGk9yC<2QV6GE0)+?c!UgHo@N!TP zG6Cihf?p~?4hg2v*Ayve{uYoZK?WuN(Sm0kk6{=iSoJofv4YQM0TUOKyC>-79vEC zU=bw|y@K7J19@AJL%B=D+{ma$j3y9p3C;ess~{XSvMR3P^|grirX zbQcbO2C0YeIXblR6f&oR^Ae`N4~)0)-)~^(BkZR4!dH0yI3Rw)xioS7g)i*GC<26C zv=jyk>)rwKfbiAB;DUvJmID$Z{O4~#LWR{7K1^8sJapkgk3$e16gt?nS49ZjyFd;J zXQjd-QurgK8&Se-6M%^pYUaZtMmXnt=wgL6boVe$xR`E=#tQ@ILwHy?Ogr=u;dZJI zl7uHdLZoD&D=q6O!m?igIVCjJgQN=oazL0SOr;(6v~azH9yDDzS_H!kVU-u8nZj>q zY+1sQ1<+*+&ujq65lTEj&IrGtL@ZYrJ_I*sg+8A^m?zvsyZT7k`J&F?_esm7e#OFwz-g>Jc8H4t%fB^#>qt3m5zf zU7zqdI;XiKobVAK{X%Ut^F)iJqs{L!{{7OxQ<> zbgw`dEs|XUGDeh0C$h1kFX_rxoG6GYuXxeymmoYW`i^SKBcgmtS`tL~7aZ6?MCD09 z9uqk>(4W^x6eX?(=D6syI!I55I%rm%6kR(7VUj596<8#Tw7)}`B9h9%rHLjj1UW5g zqy;KnWIc$08KUwffMkl^r|v|SXmcVU*`goD;WJ0Hb~Cs$qBGR5%@zGO4ui9zP{(Gl zd7>S(-=7oxL|<&aNK7Aafyjfpi-n?peu1|l(MlP(^P=$O&=re*r%N#axh4Zg*6P4*du2isB|gE9ys>=!jALU&hmU=4iV6HWXD+<<7z z1G@X7v$Q!4iUwvwHzeAg3gNKGjrx}lL^&4d9*Um4LGy1!^cJn%k3@rXE;cGUNR#@p zDAf-ZW1|1)$ZcG-!VTOL(X9#a_EaRK?uN5CgANXMi+}wF5Et>AX94jLe??s!Pcg3* zKE1@vl+bvKv#C(^5&P2D}E4-&7; zgJH1vb?U8#h@YMS7b=#0jZuV&gZDugF8=O4gg7XESa%K!|b z#G9!B87=BEzyi)VzwAVcg!y@pJ&_ZJv9}TFs`%}G=<3Bk(FW8Ywlu)5QQSHmPu3*v3WTs(JS__bE#lrc zVQ@{nubTF_R`IPokT!A7+rV5G574A;7YES2s7`V6Acl5Re3pv4E^!<+le)$CH^Bau zn6(5N9xV=i#OBVi@V~lM}fR2PNltkK3=yar(0$ zkHuN%5n@a{NGC$$;z4SRJrPgbj=?+?&!F|(MZ%`~%~jGl0bce<+URg@ujKX!^26NtS#I%zjA{oq4%S=2C&~A(?*?LQl#3Fc^ACbkxfBmUzZM=ObaFew^$pNu;ib zpJeSb5c*4IQ@+z&ifszoq?Q}pAIuF7iNe8`a!ICtp(LyAzzJL)rLM6ieP=-nV zrXOa9OTuW`IVefZ0f~^TjD_xyWL+n?1j$)SQ;tgZ??I$vk|}ZUohbRDALO_sgWA3) zBx%#(^rR#!3CJW#-63$v5?qqPbddB@mpe_8NIUFl$==TqFWn)DptIi$NdVPxnUbl` z0h%RQ=L!34Niv<3O54a-9 z$X_7mCC^g{Q7jP}5TQg8)C*E7X{A4AQYJaP3!@d6A!%iU zyCj)SdEjNq(fM#wCD}}!^ed8#Z!pjr$?`ftY9%x2wXT!w<$zq3OrslAjS?d@HJc>i zufkcg#FdVMS|t6o5VlEH(ec!E$#c!%+9f+2?}F`+JnM}?-IRRK0M{jXl{Uw2iN8DS zZ%M?I74}H_jw3{`Wc30dZ%dS1kUq%``r9#gB!W-D^-J8s;PkF!%g5mEN$$Q1g8|8Y z`kL-bPCmsbh9!^Rg3kw%|EOE`P?DcO)BlmAod@Wsr1CXzk0r@3!OfUt{e6%p63qsX zr;;PIK)FcY{};qnx{jvk9_i*l80?iUqY~at>Q2W*`=k~0`xZ}W@t3gklIrNvg|{>o zcTFbyNEe<1=PPB?&K@A``}{e~zd&i#I!F&lUq1*pA<~oNCRDnOuHS@77yN{wg-e%G zQF%zZ^a>!6(zl%O0YypY(7PHf-5(A}oRs-9Ao0>AR7o6`c0YsgN2G;B5~M4?gfLP1 zfj>rZT>3!{T%VA#*VFI+lBJVr<4cjQSO+(!q|ZJNH>uJe=yqkgbeLX~4C#hbu+Nkp zqk(2gUzr2$jMTRhT&`64FSxVPwBLculTP8o?wmCJ7Ywve`WiLFi=?01p*t`A=>c@5 z(&fLwpiKJieZ+HIkUk~f7o|(+1guheYcU3MN&1jVn9I`m#~@WwZZjY?(y!@My;gdG zdJc8c{`aA~DxE_)SEE!$XCh5fpPPu(EZs=iN~`p=4Wvz4e;bhN(gX*>w@ZI>2G=RQ zw;TpH9nxPG0@EeUuLS9qE<6EDuk;!nA>Wp6rp2#M`ZK*=cccz{n3L~G%Y#4$q_uRd z`MxyvH4J80`dS)B_(1wR)k+Vg8ZjUv(r-B69!rb*5NS+m&Vq1U+VmfgPo()T!rVpX z2wegDy)rE|qugW-&w$$};~xQZzib;-vFcV=+{-QRhr|dDM3tqAd`@wn3Sg*sk zk4#F{g0E~A9YgrZ?$JdZf7vh_BtUkFF4F|cOtcsT%bpHF7$Or-L-Bx4|8hU80#2A^Xq^&_gml^;RQgDb&J?k_}LgGFmo^dJZwNJJg?!l`-gQYn<#m z9R?FGdxP#UB*^~$1Hz-SnGAS2CObzfaiYvZtL$-E;uCNuWK}u`yq%O~c*7z|wwZ1s zCd;P111?3DO*zOZS?V=FQf2Px(517dGS!TXbO-c zvgBSo(j(bBJwT4iOtc$5cF3llhwm|&hHit8%f7dPJdr(n4Z^3g4K?uQEWdsiWVd{A zAmX~n7o@<@RX%?VWRLtXm9~53bI8t3o^}Mtee&JZ3*IlEz5$WknnHc)PVJque|`Mzg$ZFi~xBKofrnn-(!J0Ab*7>P>|eJ8y(x%xfCOP8Ch zH2*T>GP*XKDeu|^OqTpzs$H_>7RtVJCN(i#+)`KOoP^ zUG)f{8oi6}cB%ecD`g!?03rMm27S)C&^7c&_XsLYrdD{QV`TElh31X zv{k(9xhfWhH{@noi96-9>1^<(T$74OUGj}*K)U6- zWzgM{m(p9(BTtKjd9VCTDO}%{fB7<8_sQRy0o@&W=M0!T`sEF@gx{5i(u}<)pEUsK zp#0)VjA=+-LZ|A(avkL`59GbHFg}!5QGz}q7ySy~kK`_a;6~+nJ%BuxCr}MOChvJ4 zWL#cx6b4V^36&sE<>|C|IV-$r@!PEk{~CjFaVSROz`801B^cBm#Tzz2_bRf7;nYnb zrc`sE;sX5uXuo0+wa48RF*GI*h4BPJcq&@Zq@3)fSo{Ngdn@u|;M7NPp$2xoiXU7Qj4Ok+K#fMsZmUWUPWo30$1w73%!QD<1p=?y%yW zT(~}>*heQi35xUMFh8nDb<{z6OmT^7mqbM_b>faIjz%Hw2}LL!o1RqsOILZ56vGS}~8>WSNSM#}O$@5l-u4wjzykkQ~M1 zARx~uj?zwR5bO-5E z9HfT&9mN}T)Yq>Vr2flYh0{fZxTo;{3kCy<+}FX~S3FCn5rYa1bqR(P)Aqu^F|4RP z0QP}m(he9tRJ^qtkwz4OpF#Op5lSz_nBqmsTE-P4Ga-DU_^uP)o+`TOWX4(9{2t7A zD?geJ&P92Wj_O>Mfz(FXql~Xdz`e>c+GE_58>o!ir|chuaKG|DIzM-JC|l3L-b4AA z(q~Vl|3|R*Qf{Wz%Ujtk0P#^a(D3al{erfR(Xa8VVsgddriDDqXT0)tTa*ic|<9p-|;0VCs4wBRGIh=$T8*1 zRBI(FyXd&}xN-_j{}W2%Ja8wKuT%Y(q+Cm7da}~spdmtuB1`$z3<$H8m!<=fqYMjzvop$9sF9wld^!x_ zIpv(UK=PGY29N?}Pz^>=sC;cd?242N=2883UMar}i((~@D)SQMLNkP=%IDv&y*c^~DUSCt90gVZZOpk`8oa{Y2xG%B}fVc(?uuGPfF# z7UjNw5b&BZoP|-eDmA{)wJXcc!LCE;M3ux1rF0nPoyzyTFqoUlthXTSQo7M#x|JVM z?sH4|>RT}HQ65FP>zLfDT#^K*x0Ow65TZ}{?H-Uj$}ABu{mS*UDc)7irWN&`GWHil z8c@zphnxG#=cqX{sCDbjp8}n)%7e-= zKZj}xr8)kpsr0f2sEQ^78mJP|LUTaH*aC|n)xT#!f>j>$CWNRWss9`iPR22-QyN(j8LW8HCSB)r`Bai&72K(O0x8C>!x&R1M4EGgjqj$%6E- zs+3;qBPxG-F%negC&2!wD&+t|98*Po0H=v6-Bg4)t~yP5{t4Ar9{_Sv^)>a^l2n^B z5Gh%;k_w>|m5DOOQ>wjm7?P@b<{fZps)|N zDO4@3g|J9fdl){?tA>owm8t|i5SFRlqC)e6DuCwuMb(Ucnt$ag&JIW`RPVfkkyff~ z7I2qTyZ=Rq%c_EJVOXUKZNl)cs2EgtR;$9D0I5+moIr?L)dSi<>Qs9+0eMxW>jPJ> z;!t0pLA8q7uZ^mtt3WoX($_)Qtm<6>XDzDUZ8ZO`sRaMPuvHaU38!tUm*~>Qb=4Ss z+wCg+HG51hRdyc?Z>ZiF0HjkjbOYq3s@DqNU8?Kp(DkU+C}Gg6+H(rNZ>!?xLD#3U zzlg!yQQh4GVZW;Eb0F`k=1|N2o@xQQ3y#SHsv*kB@2hrF(|b@geJ^|usYYW#hE*5n zu9SGrmBP!&d7?1<_TtzM5*Mcwc=s(Nk`kdIaEOMx6yl|F%RTs1m`5KmNp(n9f6 z_2&|B&g$Wf(Ct>&(R<;dPMrt?hpT!c)jWIDQ9D5Ps`pSC=%zm2fJpn)r3t|7SH~B@ zji=goB_esL|D{UCTm7{QkUr`?Z@`h(^!ewWJpc=dT&nGUOmxNv<$EfWBlpbn-o z@ThtNT`fJPcFdzA{zSE;1MEq4!B-$j>IXDqlhxea2$-Vo+XQk-&E;Ugsp@Yj>rYeX zxB+rn{bo0~boCe?Btw0io-kA0Ku!KEbq6h8+3Ie(h?S%6&Bl|RQCmL4U~<)+v>%*R zzvg%rhI#5N9iZpbOHu&MSAT4Wut2@yHCPm?(`cnAQuk50eO}!bj1d;A*S-#}ME%t& z7?i40s7qd^enLHx3+fFSfLv6oXv-*9|4hTKP%ruuy36Xblvq@$YiB}uMIBW`=MUBD z7xuxTMqP3agQ``>(dkW{+PM(Eud1=kVLGWNPK9BEn)wIZG^%%e2!ked1zpH!RwvTI zU5k2IHO#N6x7>lSRXzJHcxh9A5eS9Xe+tr^@G1{S?MpeoU^|e~cKReau?!fm= z^>4ILb*VpUL%?n|ev^y6N}X~Cq(_~%83B9MF|@MXR?ng`uur{q3nJZ7H=csie)S(x zco|Snq)+y~I-DSb>Jc`~htyxCKsT&DOpEmc^~rib9;yqwss0;LD^~#XNc~zEq@(Ip zQHbMA=UHLb{KUH(+H<->EruQ%r@QpGHFaP@pEiAG!mYq#TeS&1+)lf;ELD7*mL*aVoe_&ASR9!!*aG zh#Rgc-U05Q#!ky~gywVl%Z!IKE68=EL$h=)45KtF=^KsKgj4Miqp?u8J67X32rf?Z zClzP$nvs4$4r^{~1v#QwYldBd#zxuqQB5}W`j2ViC>2T6RNe&SxaJ!xxD%S`GH@p~ zSKf!0BuzIZEy)_554aSKV_`N7Pifw61twJ^Sp{XfMza@Oh9(ya|O_^P#gE>+i73(~Q!2@;MEM0b#zTgIW&-nt?zd3pJn8 z;dYURMVEHZYg7(u1r%$1=or65bCe1DQcaf=UXwD7FJ+t;G{4eJzo_|*wzG0gz+ylu zG+!{GtJFAOg!v`SjWh@^Ywil*yGm1K2l9&Mc@-elnqC$n)o9$ez-O(-Gz4M2ri9v& z4VuZJ(`WlK=x|hnL+)R z+nVJZ46#r1H*IHkG)Xkleob{KMsZiOinhvonq}`oIH1X*n)bdXE)m`aHKH?s3~6@J zdNHi|fQpp|nr3I{9%(`;l^@kCqc``lW}pGWF-`Cxcp2BMrjGIx&5r96F#n!vmNa09 z&f34YaI;(cqY{QLT2UmtxoUmm0o|i5x&X3Q%l8J-P0OLhZ=d$eN;uuG?e_!cuKkY> z0}pKn9nN`bqp7;`(!Oek&|8~H6}gYLoqEu|+9$N2`e_-okURXf6JO4CDf%Zi@iz(D*z6oTJcK&iWJ+H+92xgPE{6_>V(N3W(sZ_h+ zItdI7V4PU_9C2jGiu(+(9Qx9mB_RMAo zuV}Zd1F~8>dk093HilXywc0r=LF%;mhY|3q_Q;0_QLpu-jG{qnjtAGMRX+e}(mtl< zezSHeom{tQf1+ceHtm>*`k&XepX7mU*G5p%)S=Cx1IHWM_IL<8wbLlSxvBk`a-S~k ziwPJ^xAse_X>V!$X!q{XKJkK=UhOVwxZc)YSOH<5_5p2*ceLkdLG9N{B0%nH)9%9V zo_1a@AOqTko9OpP_qA=$BE+C}|2>c)Er%{94r?puNaum}YpP@(YA?`xF{1sB&RHL6 zV=1K=)h?i^_E`G~y`5v)knOM=*RFpD+!O7zPoZtbg^=%u@)0K{8&@Huck zy1Edm|9o}D8zJ@6#km6NuiHm?et>S=1(-nHr^$#LtP|3t4AcGmF~UddKBojdMpsVD zU94`k1m^L&XQ?hZtZSie_YqwOT{}q7t)ok!$8;wufK1c{(+Y81H<9jhoYaMAA#^0^ zis=$VvM#e0$`oB0-Nieldmx5knr_WaaHn-mN^t4AS<}H~=;qPkYo?AvhZ5Pk4l@Gg z=z={UJfmARfDz{EUY-bNdAhD6FgT~1=Z{GFI@6ob73zBZ5U)sA9|z%ihi;TwOU1hX zsBSIMy-XiXnJz9G-Y)2L)Pb+iO_GDF)Ll6RU6t-Z&>G#+W?ctb;U{;KG7{)hEeR+AEvV>7yUQ2Ag=oGJ|Oq#m2}wUrvIFJGyC*C z^P$_X-x&m-p8BQa+Dre*XCU7Cb&ui9NBXMy5BqTamJU4HL4Dyj;3D*OJdi{BUwnX!)JMJm zazyV-4bueuc^cDE{ogxba7;godP#};E0d`od0hYTF+@6{f1U<&Qvby&3^7T+`XdOF z_3x=6Owqsj0+6TlUr{F^RlmjrE=_NW06DGy?=Fz(dO1zz486w~%ro_ysdmrO|Ad!( za<=~4S%Bo|?;i(uMqf&!$kmrDqy6u!KJRrrVV?fIC-8Po|IGj17QHJSofYUSx5Mzf z{_a9NMX|p1HTW*kfA$ebss2R+AZ7YS8^{IyHYa$wsGmi{F4rF&0jbdMmWYZ7!y$uD_Me;GMrd#B`hRxKK^fQ!3 zVNCvp69SL`LnD<{frjOjZyzw^QJoxQxJh=whPQ7cLWqI041)j8YFbs9A#KYx4WVZQ>aTGW8mL_FxK!!E+WMlo}r%+ z#v7Je!5ubeDP=oi2%};p!4UL3bjJ+G=|K|>?@`uq++cbF$O*%XQ7|}ZD08&HcamWh zCCteNPg=WE3~BRVamuifGRIUyZzv#XhMHE`pElId9+hs$pax2YA#@EOnFbS0qb$QW z&%k%K;Y->Ea||_~19`@9q8G?q!}Sdio;8&Hga~zYz6Y7;pr@lqTF!vZEzI^ z9qpTy2H89yFBzUW2fNFLW0cWX8Ftcax?(VQ0#a=l{DuDhZjE8xhlo^b@S(HCI>Qdy zqOTgJQAfJo;C=$W8w@8e1JY<%yat9%hI-oCn+=POgR~f)(%+7}W|&V|aI4`yrE_ft zr#^(gZdgO@{C0!qbm%$^rLEa@-#0YT<}_${ zk+Q5I!=_>Q95(EjiSQ2$vp)lQXvm}0!!cqQJqL?NhV66@bJXz08z7GjnnWna42yop z(~lc2QMdbvq45X|pBm=%A(FGPJP(h(+jx~uc3g~OuYkB3rO+{##lm`MXu3c2D?H_(rZ8jasj%GYf45yEMUvF|jvR-=>_zcyobE_}BeKc;M@!}yaL2EE2l<^p-! z7-xm9&-gSN!hU1(Rv6qh{xS-3<A{x14p2I#_#r%A4cftTq}1CZXP$v@!}^)Ws35+J@N)K7S;O>;km&=F`_L2Z#>(@e@Z zLrexbNDDO`_!!(FQ_c)<(WdRxA&N1brZbgT(}Br&ia66(Z$TGt`X~wk51Y)iI~+6l zQ+qDSG&BRqWYg(bAX82CpTj)O^v%x@W|&so$CxrrpHqh?$6-3|4SL2@J`Zm4Osd(y zoHOnA09R<*Q2=O>Y28^s%1xg%<9RDgrz+s|l4<6z;4Yht`OsCF2LFbz+7$mWNR7!z zeX3g1?Qdaz)%0o`ko6|bD0B^`E%d!MnqL1A18p)n1}M2~Ha+@Z_F(!!3+|d}6J3dI zHSMI|-M5)OT7-}7x+#Kc@EfLGDG+v=&{f2uX-aT{?{3o@bSdA9B?u-9~#GK1Tu zqz?ht=BGOs~>DGGNMgh(Pa~?yiCFK~vg17!H}LXwe=v&Ho6I z9++O<0?0#CQx-mwQPZ!#!RceuF%AsIO@(w0{=}3$41=epg)#8G+x+4-cyTeu*FooM zUQV-VuX%|JbZ+K9l0f#Ehi8E7H!pug=Xmbs_rgIu%!^jQji-6b*NEh0ekldS+dQ=f z;eE`$R6qKgqp5KdU^dbmJ78wfnRAf&1Nyjv&8^gn3N@eUhh3QYjo;ub+`MiE3?j@6 zn9v%=}$DAc^LGY2qC>|Fr|;g!x}8?vl(A8^I-;-=Gga#q3WX{wecnI=@Rb-=IDD zw7Gu{km=?fScx5zGt8Ou;VskL)&WeGIe?P?Z1Zl)9COT-C!srI4q1kW$u+Obgf7qQ zM?aW2XWsH74D!trH-RfKhtoG&Xud`lkskVf-d3g2u_p9;Gc^Cx>?cg=i~Hr!V8eL7TVGi!Z7+Re#RA?$FN zB^C_ohWU>KeB7Ppxg@=5PJb5Oy37rQ&~=-?q}h1O{J9Xi9pW$RpUrz~l-&ZSxw)3c;mSTZ2f zEk4C?onaZG1TfR$N(D)lC7;r{Y|F26DgQiU`QkMg=34$d1aD_8VbmbYv&7S#k8>7B zK1jah3vW0ruxypTSBjZE3ud_0$FOgPYG+8Mf@(v1xqv~tmPIL zTCOTA&s_qt((*5DgO@B*_f!AnvSsdUjO~i$(wC4{Tju`_r!|&8zkq$MMSQ{ z-1V0Kz5}GeGVgyq2a9FEbCv?{>+uy>NIxNAI8Qie^ zYXa%C42=PD)AAYBC5|qO-#dWbvh>r__gEfWMTlOD>?@GlmMQd8&OXa_UywVN3R=7S zE$*~R-?h9)7s&2eY*e=1x76H&!JtJ~f>8`v@Xx#Pl3PNuA$(v7nvS?5ma&QO^2icK zz4}p0iaT_V9hM!GT#i{J)be;@8B<_TPc4OV*gIRN(C6%Gjhq9EJ=Ql5f$X(1X9MD9 z)zCoqSyO54b+>M#lQR$N^HlM9T0dC`p_kS3eQ@5^sgy|hT0fyo$j>^#j#2nqgZwc1 z0IMV6A(Vku7y8}r0qZ+d{{>l}j{r2-x}CaaA=XFK*$T6ML^syLt@S1d4_d#a3+fS8 zZ(6PnS+$;s6lHz01YV-8sgXd&SUn0sVy#&g3_H$x`b~`Ku(g>Awu3~6rghyuj48_+{{l$1_1}-+EXT^ATP0_#&q@Hvwa%?U*t6E5MKmk(tTA*} zmv3D@4Wz(&@{5D6-z9P3FAS={Arh)*Y0cm0EM@rzmCCQcAWiSg-RC>7sQG zjj6)=a1kJt)*`wicFB4#5y&gnlI`HCt>22l)mWV=!LGILb)(<^)mazKg0kMaU<2GV zSly}1*JxezzrL+?CML<`X6wtZfLybhv!H9WHqcaSv)bo_T(`0U;H}+yk1i2(Scldj z{0-~G#o#)vg*2IOTGc1Obz2*Pz}>PcB0zeq)3d1l>$P$-U~$_zgBrbetn&uJ^;=&J zhQ(d$W;&d^XDz2hY`_{#iOrxjrx%bRYZ3K}hOLuzfQ(oxsqym2x|jlvTATla`IvR~ zF+j$xQ8b&LSZ%b{JKN;+BDvT)s1f0CwWXed{T|y-RG{y*omYam*=95$#D1HSsv~!s z%OZI5u&t#v-_!P1HaIVvfNB6A+mWL{`r4f5!_Lokp$MOazpeNK_whSc5ma`RJ zf^DsSunV>I(Bc|2l{wg)d_ObNE)a&Sj&uTa*IXwyE;2z*+8$ApBE?qY zjku?5x)3-^wK?7n!q`sR=9NI2ZX2HpHyO5-v=nC9zSTpQV+#(1;Tao;jtFyYZ0eDm zwUw>~$+HP3z<0jw_cx#`uys&}sL(clCwv##LQ`OV-uC_~kP_Pqlvb767=?h8+165e zbit-`oQLlU8-Ea_(&kwMH{oh_Z_*j3w| zixAe^vPjopdyaOqM%yiFu{PP>%SXUw+vk)EwAx<(4P2XzL*MIl+ls^B+HHyw2Yh$f z=IjRMhHdU*jI`6XcRz&Pwr$j|zJ(CrdTeJ`!?4$u^cazD+r|o@>$5HR0Kz-A_ou?H z-!}0v$X(l_nfML{Y)|Ns?%P)V1M@+fwh#tGwk$n_!?t>AuRXBoCei;NduUT8!_A0o zMFH#|*#>lgKDJ3{5gM~O?*w$*_H76r`-#n22lCVwK}~vR`}WI#?6#kw(#OT_?ggQ% zy?Qyk?6qH|Ip$^$r*&?h{VZ)<`|bTFfpoXmQ|aSj=S0%{^R(-)!NSX4L-XF-K7)36 zKl@Hfw*2iU#2^9oX{X>O&|XZ7$pQOh%7TOJFVmQU?ccoxgAn^$l;_VfGgB-Ri z=&bID{bvu51pBkJ)g85qJ_C2mzBV3qiFPi1&ByI)=vMU!`xmdkOOm~iwyI?NiwTI7 zVn0sZlvDO_Y7(Z}zYl@yH2bZWfIRK63j~0s+dFAKWY|wmgGIJIqYJ_uyYLrqXY4EL zL2~U!C=ESpkD+2T&+dN`!gKb_-Ef+3|1TQ40{fZ@kRtoaqcA^jze(5qitQi$2vTC# z(k5PNZ$AoBX3zZ?7VmD}Gp;j5^$2hc}z$$p7G(#!S$10q$~e_aA{ z#s1o9kZSuxN4+V8vtWSu>pUWj^o{x*1Nu(uCE*l2g7ueZtmD|NY>?JR2G zx7Y`%lXlJC=lBBNTJ8EqNZag}sh!ec|CScd8+Pw>SajNheE_{_|Cn~JF8kY+Kz7?1 zMIg8AHackOvA@Xzq}TormBfAapbtUr*vpo}b-#TJ9VXtjpHRW)J$pA*M+5dnP0-!9 zf1OAB-=N)-PBDk<&y2(Hfqgrb%@6H8gAk6`2VB8DvX^i`M(qcwJ^t7}-T=EXdktMN z9k(+AAbeuKM-$e0`torIcTa!eHyF4~&sd6waGl;!4dI^Y=dL0A-s$t{=9b&^q+Y83 z_D%0!1;hQ*->OAG&*`RlF!Y)(p?gZ+(;v~b1E1+HE(gSS`U$!MczWei2t%eX*$omp{r_nC?trT5_kYj1mpv|2Hne3{+A#I* z$=#YOE3+&|W;rTT)6}%|GMvbep)zC$2#V}2$VME1Oa){Lh=8~lE(CtBr_b;EkB`rO z&bjBj-_Lj-b)*(}Am53a>_Pmc2O$#7U$fT?@83!O`<{p`gg@yi^uzd5C>;#vTT;b$ ziXZj`Km`AjD=-tu52L|u6kibt$G0 znK-_AAJpUdX*9}7;8(s64~hJCF^Hxlep@uC$^6fzLnDn}Nttpw-)bXdGWaD~AZGHj zUqCdAKb=yU^ZZ>@*k|*nZO2vQ@Q>2y>H`1$N3fE|cS;4w=dY!QynvrVhyM!sTH3^m z_{XmS6!RZa!=;3uvluonn)p5|pi#=zsY<>j9lxpKf7FP0Yxso$AlCACJp!?g-`EHB>-^0QAl~5n)4Zjg zf8r-#4gBT5BZ@}8=~f!3H~G1ALa&AYf@W>4{3c?y@fULtT01`|9&z8|Km8KcI`|83 z!BZ!Hmp8C3{$W}JZ}W%xAaaL4lM1&U{-z}8_3|Aj1N8Bu7r?_^{=y9a{rt~q7ITlk znI!OR1`+diO=_|dfQ4)9meCn1CUQ&bHO@ijXDhWXeI@N)1Ekirvw!a^uK zR~Xm|?@K_q3IJ_1ilB76lmJ_FBR z@HJIA#{_d4z&kD|(tsEsu%UYqBsjhsAXu>QAE=)c7`&htD%eaWgB*o(~YkMS+4wSfzr7Ex;}bq(4LCs^FyzL{TRA>jjAAf}wD@ zuMjMyMdX@biWpdx;4Qk|YQZN|2G$6kR>5YiU{X1FraHj_8#ufn=%nKV^@1IgZZrsd zXF#-3;Ia$En}V%BL$q07c^IHYQ2G);t3Y)LF4_d$bXf70;B)FZbO=_y0njP<@D=EF z30Bko*DVNo1mYb*3H7OZ1c!nFdIkFV;N3L|f=3Wdzd%5;810~=c5#~^D$5S|s+NWN^SF&KmTgYAqI4XR3D|o)b%ZCB{gxANQ=P#_I z&$^EZ`_xbf5b|kY5h$EvNo)T`@4u=uKH(m!8 zDHOc{#VBDfZLz0?`Lw3Q2nP$G7b{dNVJuGgCIy-xeEkr3$->P~;4oF#OhcnI;hWch zr3-&w3SOpg`xVMqvxLH{FnV4nqIx-7c#=|}B4PVNxF{B`iw3bom}Ug=qHq(fUZuj= zeTeXqkSl@uWntta@U945zJtP5Vd@NEWkPS7+LQ}_rDl1Bu&*2*t_cs!0H_pJ)5y6> z`1@pf|Eh(q*I~3q==LErYK5=y0qTT}zW`hp7Oh64H-y8qGSv%>t3hlKenYQeqi}RN z^ll1YrOLWV7`zaHHVbFdS&cc2kboFP!%W z6z&N(tp)ME(D5&T2SVN~5FZM;$Dsa5$ftv!!@`0%C_EN&sI~J%_{tQ-WO^#>Zi3Ng z!cuC=J{Q(|KxRaEojzxHAr#PVI4Zo64&s>brz)5k7cP4N1!vLhH-Wi`3gvLLV1Yl{NcgVBr2j5$V(JK10rwH z6l%mD6=g00@DUYxfaojgq4O1fqC{#C`-|ME-aRI&Jp|jwMSCT{PKerQ?F|r((heOc zvZtIWNOW`w)PqIM#Sl3uIz}5%i0IAp;Dw4_cLWwDGHr{5(QuK+WT2-+zT_}Mv^Esh zB1MZ214M~lE(bU*dTSvRqeY|c05Kv3HHyxNZUjT&tf(XaBC({ z6IFbfqHpM>&KAw0Q-3+48Sg{kg6K&yL~=!c+=ob>D4J@Pd{JpJumaIS`k4zw4DE(R zq6M@-6^oqc&XkBIPz&v%DBRnGt0)yUCW3iM)HDnFmqihK#k~A2|%r=uNGG7M5WZWy)LSy zrSOKRtrSQ8Z!#xG9RDyWS*9`Uf)2qBh!&T11=a*=ZH6dmFqq zQA09_?V`zTaB)ktk+#?lkt`2Ubc(i8I@cxIV}#^wQG-9Mbc?*O)tTK9U7?X#kI2Uy zpjTu-=fY&xFH)+Ze@}FuJ{r9*ie3lN2clOe!`eg9glG^Si9TBeYXhRcegQTpYR-em zkf_`lQ4EVpWl(=CdP3caCnC514$6t%rTy!f=(Cr=doG&v5XMGC-Bf0eiR`_gJ}$ES z6qw0b{Kr*@x`@v_hizB!S(;nAiI3F*+b3q|7~6jF0vp&qARf1d;z99mu24KAen7eD zVR0E{qweAeIz)Fw{NQIOc!)2qg}SGBPa&|Q;#}HVeZ<@3Ao_~ayP@DGuB9Z}-z5Hm zev4ybhg*o^xY(OcVw@1$&x1yQID|S(f#NCaArd5xr3ETjyq+?~lVS;VdP2lAY1kDi zK1-ADF!9^e9Sj#M#Sl3q{+)t}5c@v`F;ZMa|FTAu_)ah+Pm6;y0iwmGS~`*xBaT0X zOFJXZrFO_!@zO95W5xTv2X;;zNLyB%*lis&;>F3%Fq$BKy%|Om#X3(AQ^Y*F-c<2+ z3n-+Cqy7MvE^eW0B|}_8=|-m5=}*|q5}%>I+IewbKdfYne=%KyevY{DbASutS8qZw zSNzH|fIRUaz0~<)XUYr;#8>_WC=^ek(y2(S`w8HpcpLqOrQ&t(LE(~kG#l7uu{s8x zu83DtU+t<`aRpY&#QoG!E*IO;V_7M_@DQO@i9OfQ`&TWFn1yI+#5@{C){19ufqtF% zLrYxDb@7*f!RQU~j25_P6knr8;!W`zb0FCycDV=jX7Na^m4U|C*FaJHgV-` zD71@HKZd7UVlUbhJH(I3bf@@r9zd7)@pjt(Zi|OMg=n|9iT-h>JK~F$06pTj*Fy23 zxM3M=KN63;3;hA{PX(|&DE6Ywcu4FY2s6Xt0V;hSi)Ydl$jkG{(gZQW$lX6rV#dE|Q;nL3EX@p@P#* zqMiUx`y@_t!8<5Py$x%JBu=Z~@UX;#UI%x{qVq6%MDjhAaUK$GHAFlm7irD+k`#JF z(p$3a7(|XrTB#N7BMG$z(c~}rhCci|CfP^}`fMPyc2+(mUL3q5iR+^4IoBRlnT>lByXfbz}?d6yQ?B#G@XK(eI&AgrZG94-M%l|+~Uq)Fmw@|G?ckilAp z zE0UOWzu*oPOA=p)Vu@s{Jwz``F4I|{Qi(YoS-B+X*TK_O$qy3&DkL|50jQEV{sqt| z+58(Mnz9N2;P`P@vSC*6bi@NdjD)l-kiQ93r)) z9X?dL-Uji8NnfV-K3uxF0t%<31@A&6QmUo|E=u}7wSP`ar&iJTf6>zMpCJH7c)(md)cCrZ2M5N(n)iAvKH>5)AEsnU{9 zVLMa$7abzclHS>bD9%g2pmi!oT1hF}1u2&*m|STx>Nk^FfplmE%oIvLo&ai*bhZ#0 zB~oo7z(uKnPScc1&rsHJMSA=WB(F+y9{`j|?NcC9F1?%%tU?-1Yf+_CwFjQ6r0e%X zuUcx;4q}b;0G*JlmA*U#g*xeh91yQdi^HL3x*?rF6>h!scX~{2N_|_Q*d+asIxfx9 z89C5sk$U#PXq!|(_qkm01lHyKj;v{S7k@q`wXTeI%Vq&*h-hmzpz=rO8ydIx2(vw~|F$o|S$25Q^ty zQIzz=$&#|*B3{-=k7a^v0_AFnvZqvCCCRoff|X?1A6`&Tk=3LFOO+MUVVZPVH0>i< zvNe9tJ1;Y4Q1YKGJ7EhnN0v>kzYDSzR1f9K9BI+blQo6`ygK)drWU0TySi9_Nnqu6NEeo80_pd|t z=P7vVl)X(QNS91$3vgRz{ThsR%ed5Dzaz__mApsRM9*rk>~TD-^~p}wfOuDSC>J9A zG9E3u4`fm6fIXC{UV@cJvXE8;Ga$3x1LB};Q5!^tWC>KW49hZ>Lf!OOcE$}dPh=@? z!}e2|;tUj@$pYmdK9_avgUpD`gDRjGGA+HLqq6gtK^&9)&<5hTtRNYBuJXq_0o>#p zDJ9=0KTcr3y!|VP9FQ-gYW<)*@htQX$@^!6cUXRWC3x;8`4mc@kH{Y<0eHwC(i`h7 zzfEn$qw?T~zbaiE}ugW(h0eV>fHc&I_ZW^Z}reX4TG%y-2KW~Sh>y-SLf1nW| zZ%P3%QofXizR~jbQ{ctOJ3?UejQqx5(2tcH>1RGCH&V+mPA;PPY@)n?dJai)?=eUw z%a1uhGEL6RgRyjZ>K1?u`A0?&Gv(iy-b6I#@Ellal|RaZ-!}Py7Z7Qew@`=nmi%uI=yk{^ zIzgmUz9Al_yW|lfdjD?A-@O69-ST=mY;{LIovN!I`N|z2_R1%|24bH)oO+aZ<&$Wu z>X(bY0Jtar+!vl6%4?59_ibfhu zx+)e@@6AoI(+>&<6mQbXbWrhP1Hd7L^E`mV3OO~e+!c=0KRKcpp%cWOifhNf^HO9n zP&auiswM*-Rcz*h>Z1sHh$ws&akQ5CDSnIt@K6uP6))2wbW-uzJYXS;k!kQ0s+j8q5Uz-!6!ny1tq|84p_oIjcBEoD-HX#E#S{AR zq7~13AQPjw91iLkMa?*5&MJPTDmGRzk4}@GQB5Nf?t|_LA5L%@oZayxpN^zJD@>eS+ zQU+F|xJtWOtzwHgMCugZmIAx3s9OMVL-7r@!0Q#OOoNE6LE%AH(WvzOW97Z;@&Y3`xML0Lh`P{k;>T#n+#M`9SfMMqdvV zc2pfbQpl(+IG~vG4A`KehQ|LximnfU4J)i25YuDDbUFp_MB%ap;HkoiZqPGDFd2KU z@JxpL5k&<3J}(r^T9_VHsEVon8&iBlztgy4e0;^s2v%hylDw6Qn`dmpD5)^ zt6=4{vg;-AOwr1(D6xrA{_Ta>&M5WfFmqP<8I|&}%EblHIH&aXfcrS*lS%L!ube_J zP=Yf5PbefR%SIrQqzrI?m1O18dZ?!J|Iw{EIf`VE-K%ku1cx$djljd zDTnFQ&}HQ_YNK3H{=FU8RposPU}Z`vl{MwcowQ6=C{xm4^P2M527pRs^t-TGr8G^w zh-j*nB1%wdluK5DTB{7FExJw_5(O96m7z~y=7v(S8=zi!oXXDz<$79C8YuH1OJ$`Tvb;l#%q+V~29T>3w**t^Cy& zGTlm_BT&4f9Nq=nJxVpTczTt5I-Ay~JboYGt}@^tMEaH0v^(5WKB6YnedQHeG#@Ct zsB(I!jHgWKk@8$Vz<{#l96Ssv#gPC*%14ceX;}G_6nc-9?=GVE?}^g?9*jO!9{mF{ z&y?>`mi1g|83o>m@+mbZUnrN*36fEz_XKzvQ`V4+aixyBq0Xwk)(~+~eeMjNtLmHI zz;jb=pyS*7RBuwC`&A#zhu;ILIUfKVRH^BB?;(}YNb~o@s$Jj1p}WeDveF}}HOFAa zLp4zWMQ;^D>xQpN&xPVK)%nRVdR&!Fed0jX=7rD;Qbp5fGg$TIY8XAKI{Gg_h-$qD ztc0rC=*bOJjZz8}uF7nOu~Vub2~0<*<|36hnMJDPv9K1UN_2wEY1J)C!lPAxPleGK z)#um2JEN+}hPAV*tMrP;s!mk_oKxMm0*F(+Mek<3>fPlqnxJZx0!vhVwg90esZPO811+h@o#zMVFb&}>h#i}b`!&8YWfqI-5Rr!2~ zl&Y#KAaY3+L6hsNDs?tMg-NxDmiKF_ybyp&6_;M)DwRK-WvEu2SPe5ZDl=LkYE`RF zA+$PGF_kyhRq@p7yrEj_4>R?u18+dRLG`a4utrrCO>LT00ZOR1sIsUh)T*kW)uT=I zC2b4ss)VT!xur6FM!!Xe>be@%I#s`l0lHM_be{6IN=cP!w`%no7`>zV(iyxS)!awm z^{UKJJec*V8Z)4NSG9yH#eUU~?}B(wmE;e}`>IK{kbI!p``=JqrL~6Spz3u>sfSdW z9*7L9xF#0pW7T3>4W6i8rPl6qRV2L?BPv(g8(*l(x?y`%^%2b$$5g+32i~}f;eqJt zG?RK+`<=d}6zGr>{w*Lpz)sq8(DQQgpc~}llokS_zmo%PpC_EA(*!KY>68J)5T}q9 z6ZFHKav}gCosLm2D%xq+4KU9-Jv4wA=X7}`h>1=iR4->bz56zPm@KDy>KUAO`fDjb zj?;n~5HC2LqNFz0NfH5Ko>LO-90gA1f52g()7MuaQsne;60l;Y0Rea=PI)`1|8mi( zApshtP6nDPTymQKJwz`%dDES};`Ct2Ehz}GRoir$(O=e9_+ImFO z?9}=us4Y(8bh5qON%%WNZ#j+9R@dP)%L)3OPE9u;(&hB+T8P|sN~hAa+o>Z9;EvN& zcMyA=*tIa$>$IOXw>~GqJ?Pza3ZmQG@AS(hT+#z4Kk63^I0?UkY16RNJ%Uf2Z0T_5 zh?8?YWJaA_Qh<#)@o986?zDs!bQg6ly_9Zh86~Cr)b8~A>{p+swCtd|b~cEI)w*&3 zclFzprW{d!kOl=$^$%2nc&Y!Tbiqg6M_pK7^+nnb{7mZC=z$7WbEm__N%b^=_;>jh5GQ>IgsFggCXyO94;u>S0RM6VzT*StqLB`2ZS8>H+$U zDOr7mHq{ihHBG)#)vIVoou-bZoibhRwGAQ}>YCN?o2ee4)GJGUj;he}YEN@Sn5|A< z4apq!Uy%^WRnJL*zdZG+Il%JO-gSYr7OPV!!7ot)V-rHR<2&+22iE$Oaif5?QLy!&ZgnBGsqd&a-a%+RYKCq}ulflsetqg+=rF)t^$9wO*su1Yr{s~kX)7EJ zsN-lMA5)zjC&+L+p%UVw4+ zuUmmRYozpwx@asUP;k{mP~&C4MpgxIK=XJx!ak_EOT&yqns2CT_SC4qgE22nMF14M zHQI&=c>j)Sex*X!NAup#5cSpkaTb`LCWZzV{u;E+vD0d_IUojUtUiWfu;wJCiYGNO zMg$Y0dGs{`4b@c9oek5>r0H~&MoGi<)0(&2;V@crn^wyhO|&1dGnz3MD4f+y&4FoC ztfrTek3`KVB`8Un8Frv1YhLq%nH0?qs@hXEzy1l3rWtL8!*tE+<=|y$+$qsMuUSct ze72^ZCX_jvsSkk_XnuSID}|cL-$A5E(@IV6V$HrcV5LO!GYxhwYI1^rm6|k8O^EcW z=IU2K%QV}^p;)dNpl7E-6G;Dn*)`1;b%xHS-|(j<`W>zZBL!MmaPwHCyB%@R7_ z+n_0+uTB~@W~)HFsmb<%l_t$(>KU|auG6vATN*dYdpk4{G|1}InBI;CwM+B%0*Kz$ zgi$iwtr@||K(k)WQxW3s(}>C8UCmc?gZnk1I(T}dxt0Vo1DXjEm>JZJgn>Auxoihx z!63j~X^(nk`FUdR(J1`9s55J5dGHMax?S%vI}0 z_3lBf;4z4YvGC#>DpD>U?xLbkO+{e zy-ZW_ENvt`r02C;9HEe{y?F{CM|=Amj9$gYTU#s?T!u5uh!1Cg0&j$ zC*K0A)85H|wHw;%1nAXkk57g|gLWG|K{vIn??R+SJLfU5R_&j3^V+nD)R?}dokUS| zXcau@b!xlXaY?2w?Hkpw-L3uUFu)yc?k$M+XiH`w#9r;!lsETjqpQHXs|{7bTEBJ~ zRloPNE%c_}*Zy7t?15HQ0;7+#ebnk4(C(!v)u2|N3NWPY`2xmP&6G3`8>kUHyL-U6d8I*tNyyXySuL3Gp2Uk{P}x;J+K zJE*ItR`em=J{ndX*17!y;I3QJ1>O;zcrzqDb-tV7!As{&+qSpPdold_=sdST#8+pk zr$ZOVbX&z>9@nYq6!{5V9&Nt?x=?CN2kHi2h0!40^|>Gh>n4uF;Yr=IMIeUgexnK~ zROh!E>S4N}w?GWnt%^bPr*!Y!hh&7#(-t67XGxu!XkGsvNXF=9{{qP~x=a&oBxiNG z7LbY6*->NeoX(13iqqA;1&8svBzlk&bhl|Dl&Is+=40K1^uIRPTMy2d|gYT=ykfyGzq@0n>`UC^}5A>(f_~MpyRo~;Z5Daw{Y1_x^vy| z)U11W5Lk@3{3>iWNjNV`tG3yNL33zSaY*72SKbnAZj7YaSPZ$)s}t2;n*$3ETB zPJp|*o$JBt*ZsBv;J$8T7py$cZKqG6AL<&g)tJl%bk!%IIH+6x5x|h{=v^>}b)Qld z`&jqomjKUngU=AfbKN&35E;>}pp!wPy1nnf=$I}f3q)sq6xD_<`i&ik$yM*24xXDn zjC#=f^j6eaI-swlQQaZE%Ud8G)_?p4h$eUa1py-U(65{ceNX)cDp?b5Yz>IV>qx}{mWE6=jrQS!`0{Oy&QlQ=%-Xd zu}EL|2$IG65<1g!QNNo80GIWptpH{ExW|yZrpFJ7Cs6O01@$_8^Fn}n{WTTTZ|XPE zD%+&rVxl%%v;LVCB5l#z2LiO~Svo`CsXreHtV=HtK=ihL$9{lreXJ|M9epL8Meoti zONM%{-ux@r?$bx_1a??Op?kn^!WmW$8j_o#aLBNh)DIhW+=rgK!E+(~`x8eD^Or)y z%TOtYhL7QOHDdEMOnnD2`57W8r8sW*Z67pF7#@BIVu0aIYNZ7lPSD#NWUw9p2r&$$ z1B4o;{sdl_p^M6laD$!(9;XZskAWCv*iA*He8MUI*4AE4jWEcuKSA`0p>!^oR}E7m zpNE=+~|>WKs!VYq0nh7g1+u zn+9;*(3}a=Hw=BVAyRL!p%zVpAs`MhHJS`R<%4v)pf(%U(X-xScp3$3 ztp?Le;I$bd{2|$HP<{vOmf-+BvK@v-N)9^>_B46xGSs{bg*yhjtH62;bLds+HKb35 zLZ4x46Tn@=&JQ5cZ&1ApNB0b-&;rQZH+X#j@W9|c3Dk#%!~wW}WH@{QW(Ew(Y`7RS zxc5ST$PoKEuwlb*-^0vf!ve~epBU=1acNHtA1?uTW_Xi6#e8nqLtns+7_35J^M#>= z-urRGf>MY$8;j`%xfs1ov^}^Q*HgLRW-O&8ZJ*KG0R8>Op(l_zV4OgGg@eYX6|i>5 z81ydm4;y{yhjll)QSbYR@!UFK9>$3@Wb-s`pbgi{c#!sMZ)4Id@Qxb4y$eYn;~eVM z`x@n+faqr|`O*Yy{>H5b0FD{cet_a}p3#c`lU^LMYibP|#Bh-_O zJ4CeqB^y7^LEI_E4oZ4bjsMWHlVK`+-RJpqwCqt8bW$u~v|KrAqp+W{*yez_O!i;S@elz(0{ z&Rh=tQsZJ;MJ^fRiy?E_XrixHt{6X`2+^xXXD#&0jI)=5S8lXCjVLON&c8wAn$bNC zyh>v-9Yd=!uHOSIwZ?8bDRaX(*%QQ8G=K-jU`mf3 z8r`Tccx1Gik9#p_T>dg7hm12Q=NdLv(I)=HSWE@qb7R)W&ExWLm*6mD&PzcM4V@D-9r~x{Y^OeR#GC;7$R~2nqVK<41@uph*9 zcSAIo>D~%Ak<9Itz@nJtZzAZ^OdubU@ysvoFq6RWXeO4(tSJSS#5muBNHW9FB9+H< zEdnv0si!jo1xz{pVuj4*Sa>LA?o!*ZlIi47|E-Fdy&PyYvswX-8YW`}{MIp<^x!lz zuN?rfg?Vik6kC~$Ot^1j29hAs&b)f1w3nFaLN-(ze~Li7OZ+5yFbtbmSD9b$Lr zfgNV+sB!1cR?Y@E!b)=?>B0W|DnvY4Ys$I3*!zcJ)SGo{M=(cO9S!t-*q435^JOhQ zfg?Y5BibHj{_HFo%pWtcdy7Cl!A@%dNMKvPfMO#18P#%0>=I1q%(B^_AK)p6-H7jH z%`UKCdO{?Zb)?pB9{V>Xw)yO%`F6Kex66#MaWG=HlonT&JpQk|dDr+GDR>l@lqrZZ^N4e28_WBwqRaYG;kIBa{@4u_F3F$eELN5=3v# zkEZuv^e9I_TcQugjvA!C99w$4{5X-+%n#ulrzAg=6IBEd#%ZJ-BAjD38~W!sGimdS z1nvw&_&qlyEM& zLgONb7XW4{$NWPmUgFeK!~HVn5$80_+R7P zp#ue#oR9wkR>cVofn+sj8@(|#oKL92spTAt09MDjI|;^aaDG2T`OibnmNQU%#Mw;A z+yLhm12D+>G?N=cL)I*=*}EX-aMyl@ z2y?lfbYqv)JVHFt#upoYsUfPO8v zi-z}gTsL=UT<7M^1y;}fn;M)A+*gmoQ#W@BJ^6RIsr|6h!?lcnUN6@}48MKcR_a6F z<#t^Fub(TY@!~!123x4#=XSmhkq0L3fC)w)a-XaNbAa1^6Z(VPF**=1#63P6ykTy3 zHq1Qcvd=(#!d2}D@hP`|CG?+hm0iG|bB7C|H^N=D1Xf;f+oIrbj9cpi;y5>yUVlHc z5^8XSn6-Qdkr=c1G+_At(Bi)X@Md{*OrXR}hJF3N75g1e+Q<0wZouUwCY{=@mziEm z*ehpdIYYLB`Mv=G++doiHmhgE^pZ9(8;?Pxk*Q-rY+^1^`PakzF$l?C<~a3f`@dVXxzH1Pb{!JQx;V*^G&JkHu)gx(2uQy#DY_7a^f31oTnmISf3b#Ncd zn(977Y$w@rG84k)Q?e7vE*%3ijGaqnPO$;h)I7_&eF0)Dd;4>MbF6j;ti`e658xu6 zO`ruUfxS#8@)FtKRv?Nb*5W#dDQtuiAe&92G`ons=>zO4>qz&hjMbYK!bJu9rU>W_ zHvTn$diL-rWExriHrVc9KcYxG+4;}G>ta{YIIV|`qhVq%`yo~GkJxtFbe^&GL%^Q1 zO&xGQ!oGGG#24&T+FbW>vZjHzpL3jYr30L_I#_Y%cw5uMcZ9Rx98f>beCieYbG)cQ ze2jCBdcXmkF+O;KoRu{FIK|2Q7Q6_~_!*dqvKp&ZDX|m3wR_h#6d=H$Wcu`L{^IC=Yw*%sQ~e z?Mp$HB-y}|NgCD-l5F=Bs4J?rF3I(+GXn7JAzEMAJj-!px*Os~h9-5v2T?QEDv(Fw=hnFPNEL32>UZ zMitB%<|}`won?;DJ|4^58UXPevt$nfjAI(;JYzf~%YsM(^Og|AL?-#ajfF8u+CWWZ zd}+*^#=J;^r*!5dtp*v)ZAx)7nLfJF+02?Fz;YP3kHNdZl+j+F%Xl;cD`2c?(pbo} zP!3nbbkg9VnE9Eui&7@H3VN5AqxHZpGo=kMc7<_sgydDm+>{CZa%SjlfC{FOvY>0s z5cM3Y86#y%HH-@#^{iz+jetlUW2EZ2o>_4gk`0WMTDy(R3Um_8ZZeUy%Qi7)3jtb~ zH|eErWu{Pm*T!t7m$QTUleU>oW|uQObTL1DM|bZwqpN_KJIuYw&{Y6h8`-K!RR7&%kJiFWGh0q0;9jK#3%*}Rrj&K%l(m?cU8RgN-p54daf9SIW zclmbO#8#M5b+K}Hi3yBVN!0xQ@d!<~SAR=i$^7&NO;pyz(OH(C1q>*^+@OtpEsv(4 z>mO1Ey=OnIp?f1w;!o3?_Kpy%1I&xzQA5X@(QN}b%50!b(1-bn zZi_E7)szU-k9nJV6aGvoHIk1pe^bSOoM|`KR_gciK101l4*#B`e~+jC9VG# znd#J{D`l*xnRuCTp=z_5nNNq2YZyx^!0VX#2Vtg_k=lXR#^lk+r=7XH0(ZBA@md4F z_ZW*XNZw~GM!5B0_CiR<$C;Syc>ep=4vU^oEN+s*5=nO#GeaHI~IenFe?*`MV{2;`{Gr48W;b8 zX4U^5s$|+;Rtfvhoj;W&)G?~mX zn(1aS_LR+KGnGjI#Y{h?C?(9Vvw>Y?T1z2P%9PMl^D<)>4q_eS(ukO@Gf!!OuV)@M zf_IBa`U{|gS<;IrI++hlDG=>q5*EO3H?xDL9$;?l#IxY-W2^fhxt|TA8uS1wS_^QH-M|Mp#P-m?b8whF@fyuP z-PvWd`W|76k^nr|zp3r!$%fEg=EXjtV$PdYQ^9tW4Wp;Uhuuq^S6{Z+4}SgF)+vzm zXMbA=-Z3^|1mHM3X%379uuIdx3t}t(%d*)s^n71n)1u)%mn|Qo{zD#XPt)#v)<{oZ z0lWAI$P}_>v_uxMsr2#}V}*pL5|*JR&qX%qPw-0Fyx+mQ#NLep@iLpb0mLh;BQ1?r z*$>YliZb?tUm;S?rqT{r!G1?G{%fq$7Z9mr|E03rgvq8AnANPb251f2lnZ7ptFZ-E z$G%O;)OB_qWzaX+hY8>{u+ylJZ)8;u;rAx{_I!vmv2G7wyP4fgEvgnaJQf$x$_`wD zLL2+`>riiJXV4)27AtxV^$zxEIIvC=+b@EC7u&ZI8n;<5>MwS)>%Ihbhpkls>tSb5 zanj4Sd<@Axb~*=s@3Imq)b6qWQZwuUySd>1Ue`_b&{0;HD`o_%1*;T|zU+Y_kPzz+3X^_5Jgp7@}LHm2kQ(i!!gjt|a2m z?eZc}c90Zp_TbpdDZ~(KPEYlVbD5Ns8<^ZHIdFF7K$ux#c`2UMoz){fWyqE;?+)CQ6KOdDsZ@x*j z8DMED-S%(I)`Rigf_EYG z|CZ6Zuq*U?SlE4J1}Oh*(jdNneeTm;@zX$l@7hmr;ikF>$T%~%M*&<+j6KbjuyWpq z4>x8jEo=LjCzQGEXA&q&I>7X=2Js;CsSw~0(?chv4>Mgy!E$Q!8E-L zZ=Ot<06Z_IK#d5znJv`5I?9ahg~%~xh7|q+81pE&4`NJHY2}PzB0QmShPmnw5XbDD z2#rj}g%*u0W-F9Ipm;kx@|}zLJTj9Ic8;m<#vS%tz+|ZZaF+ z0I!Mp@D)flGrb9Lf18O)#l7ifMD6g#oC$3!6ddt1J5oor~DVO8$b218j#LJTErr(f?)U!xbPrV>VI#@|HH zKbdVE21sGwdIZTd)}I!Wd^U>`?*jH74P%SgHKzf}Svl>073{fQh*YwkZ-dytMpE0L zkzGP_{3h1)*UyNwi}gwaxXo5qL*ov+i273x*;(lzK4SAJ_ZwvW|C<1^bKioTGxZQR@Cte;f7VhQ#7}J03e3DkUH3Bxjq@dQn=q!qac;Ll*a36+y>eK z(z#0(gO|b0n-5|p*K!W9^IYa*NS1QHJVViQ$4`QHgR6K(^>-`x;Rrl+bN7UUd7nFV z6JmSL9d`y8;a;QE@&(tNvhgvliX_LmdGtw}n^{%`Yo zfPfA&Q`^9ZV?L!RZ9IeLg37oSCFBwr#eAqHF?wpNCNl~pcqz;xsOEUq|m|K6q zRys3{8ZW5nA|aW{Cy-W{H8TPSRRggT)o~GT_ zi+zVyTR(RB6-WlKVN`aac}0761UsAzg&5ZEzn(NJpqD3=W!{5&7Tfa~^m5rnl=~L3 z0+#;$l}oJSZ!lWU7ElII&F*!AOfB251F?(cQ>3@qkN<{7H~TA6+5ct5!AW#G*cbF% zG_sn>kh;m5DFK?;ch`g0%+8{t5iM+0Eks(`C0l^Cv-MJ#?P2ZOVec_J&2$jx6ZSqG z4tmNC{0*6BZ24ON&sq07Ff+o=x&YBp_6u7`dT@4q1!)%X`E)D-uGemBiHRb|5G~4tWc#q87XbB!LQ~v_LgJx~NBeWs2AEtvhZ1!s) z+&?kPrh@~n<`<|Ob2B$}{{$EN&EK*F@G)P14KlvwinVm1=3B48_9^puVi=7uUqyr7 zNb^!^`9_&L_yU|ZuWAB_HXkuUJ;t1&n&pgn#tsnAnjg^toHM`S0QEfcNmK^rn`>zO zDllJ4KSiOrrHLM)BJ+5fP8FL+?MDCfZ%0RqtK9CJ756x#S zr1|p;b1`-ON6m++WE(fvzK$q7EY>_l6rL8d=oR;}$T$q(ZSg8)W;JUAMS90pNzk!DLvgw^&CTRD;C~8W1*GoR5LxO$%2ZTr^o&2|#SNC^Uyii^c1k zL2R`+bQYWznh9TKy;qx_!w=IVLK=h_=3jvj% zcP!@7k+~j=YFhPrEqX|!&!U~O_q!H$)P?D{7@|z{p2Y{10QW6c{R_PZ7O&D!`k}>a zYTiAv2&AQd&|-WcunNV`QdXtuh16Iza4tP3f^_UzUwmMn~_b03t(c2hc zWumikL00LPp%HBrPhX0}Sbgk(3q5Pq-;B#nxB7xowhXJT8eo}LSDg`4meu-)AfC6H zz7inY>i0R&%dr}I4^}Q%os>g8*J?jy6M0q^v6O%1TLqWEeSuYWAVdqTrcvflWHl5E z(PFE{G=LH-Yue*3T8URdq10+E{f3vU-k{C+veg`HFlJY*$_9a5wR$uL^)jn)FMx6@ z1s(ONuzFquaLsDfzW|k1Rcc69S&doJ_m9<9(%)dT#_Bw+6tz}9hhV$T>SwC6Zdgrs z0ny$1yZsP3Vm+5mA$eGz`y3*k*6oz_d0DIef~2?gCzSUbwN5$;qK|bGC0f4LU#$r6pCN3tk6V_3MuohswjSl4oS}*$@F*W~cQUD!{s| zQ>n`6ww^&L!GLwdO~gBBJ?TSWreSMW379T6lYd1}t~UG=pzgETcmvo`oArADd~Cel zfEhoV5X$UBZKCKzL6}WaBCv3qJj$daY@Gjr!$g~)hmcINIT8q)$u_%b%}%i?N(V8` zW-Sel3vKxHY$tgEoVK0!H;B=;6Y_w?*iM@Tn`dmrzre#;TmL`7i?!`8 z0TyQ~U4>vS*`6}JjElK!yO-LTS8T5aK;x?I)O{e9+x{^X*iBnUJ|bDZlwiWv+|97_IFM_CxopCutUG3uY5R9AM*;gR5&#s#KiTmyHsF8TUZf+*9gLZ$@ zPJ7tyWj%7yW4p{(z6I~-R0dNI@`1Mz+CKQ-{LA< z?av0olbe0|MSy+w(-lxZV4v^;lBetia}ZjD{TX+FDEnu$TqW7BpteG?{p9xmOeywD zsl%6Q??4T}H2eN(z|!pl>9NkRUrckIO#9Di5R_&APZu1Xw_mvk*0SyI)8>?8Km8m; zF4%vffnKit50v-j*}oqPk$n3uI=E0^|7jsa3hnFH0uoTq%l7@07hSQxv~pgLuGxP~ z^Po!m@9EdBvai^P&}!^E>8XBUfBGtjqxNarATnk@Wf>I4?O%QhqBGAF_7B}lUU)7< z-FPun%KP*FIE&bh@vhJa_&Cp^2gDP+Jz!bx83 zM7Rjy-TM_`hw>chlEQfJQZp@__n5YtQ@q(9!%76N{=XwYythrRU`Fw74M6lXFRT(+ zG|xU7SPXCb`v7Nnc67eyEbsJo@M3u*w?RC|yZQ%+alC_50pfYD)2XNgUIc?E5_!j# z154tqrkjw=V<-to;aQ5Hm&)UZBZ@ShbQD+yZ%aM}mC2jD1~OT^&^Mreo>xvs(z1E) zuE2%n@cgKWb%Ezx3z1yj*l)n{czl}TN5zmC(4RhBx`Y0WojKd=Tq+61s@%yf*5f+~BoM!M(V} z`-~Qm4qi|MJaqDMkAm36+k}%h`2RL-y#SASAMAtZ6JFyyxOmDd zpc%t6USKM0Kj%3&0vqAIMZ53|-eZbslvhis@fhz+AN-EL^$X%Jl< zvhD)7Iar5*xX>Z!vHJgP$79sHJq=izYX zBY<#+EwpBza+pZn_(%u74~!-_7-+Jb=rCXoy<~?u-+_3+;q(VEo$D}4LzFy+r!<$! zcX*YeFL3yjdKHBxhfpriVh3Qj>kb=fmQ&%d?k3%JhZ)r7u5_@N39QN?a2v2{hlREP zwGL~yL7~}U8olBz4vNVjwmJOl2FX5$pud6jJFIVmvHK1+bRzDlgLpQ;Glx&h!EIn4qIhq6=knwh$8h~p$>UbVSfLVZJ?p6>39ee%+F~~8QhE~Cj9?uczNyol?=!ZC- ztcFOa<7<`xVUBY`VeOP-*LEl*J63!H$rQ)(l>n)Z?zI1 zM;9KFJm1h&uIru;R-=X+o}Z?n?51aT6Ui;l^$8%jGtS9lz)zkOZ>DJXQn>pm^R^ImZU(8LQ~ zLFqtv(QD*Z5MJ`?nhwCrUO&)T>J_gLI;FVk^@=u$YhDp6ktBI_AamooSM?u&dBdwq z@=EtwPdfXS*W*V3-u4pZ!1J!xsdyvsurcyAE06iE1E>uBkB z^T_7;K2IDVjVjTqYkZ5o({18Ic4dt3Uf?%PB&WaG_F=&Pp(TY{d+%Ee%szSJ0q;9M z3i$mbS#bSXiA$(*G`mF`(HJMIO~(UcS?;=E7R$W81Lk=iR?3=aVH<2ZnNG36_ zO2CX`kM9C=GOIlj{HL&_eMqLVaWo^Qvu)>*o5A+P0A(io`U8?#?9w&>XS0>#fHH^W z3qWoj`+OXYoX?!f2hB)ek)+WVvEyVXEn!9Ppu&A@IT<+n*|MxCJHVpJsy)aa(=p>= zHkq8B^Q`$oU|wLeo+5XVl^X-5msmQw`*wwe3`N;}R^A)H2Q2z2at~QLI_`PImbOOj zFGbH7c}uGdkhf#Jg=rvXytGeK3)Cjsd|g9)1zL_wx1GPrBt+>rEk!yU1U;2*X-*qaxGagSh7G|71a)i*s%A2+puWr9Oh=Sd4l-M$IJv-{cfDCik+63rOM zx>Jy75KH|CB|}&XicpVZ>nedwEX&Xz$prSEBIDE93R)}kS-~6tE?}Nb!8d_}}wBkiVf}nZ)Di06&h8ATwO? z8FcbEnV%xtdFG=ca5g$Mue2Nby*ZwqLr~?)EEvACaS?>E2=+E&`nu!Cm3~tR zlx3zr1{-)Hz^poQ3|bW7b|24bS*ilHdNWdIHTGu$e$7eVfY%zC0aT46mjd3TsXLxA z_1gj7v>6@uwf^`co?TiaVw6&0i6dx8^@6nZ*QoU#&zdtdr%X#Zf8FPAeYEmlZ1A%o*h7blvN)Ax?{}P0fG~3hYgg=Y#&`a zxWnpY1?#(P3}$4?Xs~>sDdjAm8x1I(i_}Azv>AX?wx9)qa&s&|%754cNQIc*U|2E3 zFuYefULB2!?0gMWwbwQWBr2SgL7gGl(UO>e!=P~sV)l-+uaYKODlX^|Vn++h);shVj{XXGi{o{`^&eo!pfit zJs;Pg`!_#-$^%fZbrc5fJ&ZQTK8miR_B}&;TEF+?9{M)oSphl` zoXXNuaBLbINiCbsa*@`Z!Oji@o0;rV4;GLpGOnA*XE(v-g9` zTGp!+xU6H#Mj=_x4w1#agOw+%Z6}+*5AEH>HqzPOZnmKi2=*}Ny33&7%RFgu?_)*C ztlrOB(nffI&C7?y+8( zk-N|KEe5j(>?%cY9k;Jp%9}tMC#8pV%SV+&{DKsQ~=K zQj?YXm326Sq(8q(ck~AE(x;FNZ|D0dT9e54?F7XR-kWUN zo&4P(B)fQTnxVV-@vZpoVemB{Mtnj zB=K6!>G$`p^FCVvy~&3a0`w{0L~Ne%5fu1(&Vz%|{1?1Fg>YW+;n8U5YunjvC48KOhQGaJ5q7l`6TB3V|m zghA%v98ras%@ZH90A-Qr)e2>6#80!)iM8SjU13=#n$uDEdhxz0$P=An90g=|h~^ss z-6^ur?y_4PyhwEMW`dmg|OT17Io zPih6q0`ruXcn!caTEbH#w>5WKcz3kM6#2cUT_c)i&s(jofx>s%Wjbbfugxq4ZXdKG2Lb%3r3nKCzE%nZ=4UOKPENmS z-JXHzFzJo>SIWjXnKqvxtTwH-p{#XHG-MciLWk3_Y)eIyO=W#)7o5v(UINNIRxXzl z>3nwXJlHQ_uP9u#f^DmV+)6el2FWUBt_5%tYd8-L*~1*9F!!=S3hRwf~Lh^_ZWg@;)qvLlYL%56}uS$ul3@5~>53#M~;rXj$b%V(1Xvy{&#lWGNToDob{@tu{Btl=@_k*?#T3WJ%= zpVPU}M!uRX&&|BYYm{x}EuR6nofmzIra5=;r$<4!i)ZSO>>l3g3v&B-p_RZnz~2l5 zn?t-Q1RlP;1lj%3z$#&VP62B@p%zw_H+K2$Y1c1bdLOz_v(bQSA6S1 zBp6eZ0u*^7KKKii*FX7?1NZw6XYL7&uRdE5)WG<`7Dmm#e^>+ZZl9uYD-BEjn?uz zX8?7q_9zP6Vzsj5tWVJ1(0!d5+OP)5#cSDVznHDPDgey6+Hq2D^R?it04~(t9s_WZ zw)G9FSfV)}g7-3QUlcmARU2{=x$RmH(nCA6HblNl^QR4Mujb_dmlImv+T@y@(x#JX zeMTEL0Lgi+tODu{EqgyCH?=-Z0KBC=PXMTtp$AEiz+aK)2%0P9nEWR@{PQ zyY!C&w?vt9IhwyiE3}wgjf?^b#*9^G{%s*MTu-YqtGLhvNhx#Y6V{{@E$IeiQ zVh-~si(@XkMDxQrj}^I#<}7DB=b~^0d;2}0E1Bmw09Ube6x&?QZqG(;4f{ABY}T>} zLRrVoj|H3cY;<$b*-((c+`w9nN3xO4rl{v8)}DNe&8%lP0FSf%9YA-2y&@%el5H6Y zW|y7pxdi@;0Os=W&x%;(R`mA{GmHb&9+$BweF{ZGe~kbD@GSsx=OV%80=h;lrmHM#g}oXT zt`oLE;dXJBVn~T1e>0%$5L+*!rbFWPX6mT;X$=zm_{a~yJSXlR1Jm;&i01hPafKoX zH$@+c5#16OJ|TBobb0}lry_kWFncCiUOKcd|&3Y??b$eF-7rroTD#$3>H zk;1;H^(MFIlGgJ(B)7GEbS891!;dIn(P=#@fZ(2%uL_vH)T&9Kyw<*H3G%nvutjLh zJFP@jlns*Iwj(!Ko}CARA@a3zGjN8=cv7*$WR@Ht{8f7W1l|+n_Z5ITNnRj3Bu?(S zfifkl(;dCZa_BD9J4F`y6-=kfB6PMhO^(?Flo@h8oqR5n!83rlT-Kpz(-n+#xz2H+&#Oil+6pq)&JwR@Pe(`&h{i^4#jOr)qBdJf5^kWoLiqkDpe6#d2mC(@H z`jsG*&C$Dc2IgEn)<$FI>8WE;wo?DD1Gucx+t5MHYJE64&ujDvwDCLF>Ys*yVx2yE z7f{#httfbA>#b@d*`RxO0GmX8&Nsk;mM z_4+8gso#7Hp11U4WU}AZJ0yYpsovlx0H5jK&{BD>=e-W#N2k8LFVatXgX(D8XWfHl z=@-3iC7_Npa<&F=l;KC#`DkMuX`eC1atp~=6n&PH2j5@neHp{rX5iOi;-0=n75@Xu{0GArhK{O+l88apzYa4OrLAb%_niKVI zG<+L^_ikgx&p_E@ge(HeUIX?ub`WDO8R;jC!8<^3(&$8f^eN-kbMQWGc!dCX#@I*3 z-&y0=!br{;Z%(1?yuqsi^M+A`+@G69RVM}BZy7DUP~mMOCv7WtjOMk0de<2C6uEoG zGYa3{H#Rmx?t$@$BD@ccdaXhK$cUvcRUR7|>5GIXMmL&>PmL@00eohR+y*YsjV$Al zdtvODg=C~zwi5{@JE~3uTi_lnCEFj7;C=H4(K?uXlnqcn~`*$IK#Y6q5hfX z9@=sin3-k(xX@flv8)916disnGNbnbxWrsUvva9AfDFTB=K51emYYL|f^LO5kK&gr z%@LQ7tTx^0n#vlpSQ@(jx7K{~9;oZg1hP2Rn>T+!g|@k>267us|DH%Tna^oeZ8rD5 zMY6@*PlDNMrria)ZRWF9ND|E&>ClO*W|yJJT{FMGgj|w2@j5EJZcZeHe8cQl63xD8 z9wQs@mYEsL9`}z-i)P{-vl|6U?wZ%Gf%iRgByCdn&8MX99+)+oqfHOZh(-WDGLO(! z_1NtG2D#5>*gfQiSYvV_H`Mx>4xolvQ-1)@vDPewRw(OXc9hMs`p1LIY%8o32F8v>HIhu{1(sKN02f-d%LABTX{1aRSslxOVzE`1HuELc%i-X? z)Ot)-$1-c(56CUILdiT`VeKHlXQj1jEy`9|#~vc~r=cM0Y1+v;WTyyox6@<;Ie&gl zM)p9qa}Sb1%sU&B!OVxu;<4-&MOw!(n}ieJbOuo@N`yVKUz406(KD!lkp^! z#j{luMP1CA{|uBRY+XJK-ct7FI?C2Fj}S1kSwut7;nxIUqQFsc)+>s_O|11AjMqL^ z^=DA*XGgjK^#EJx1vaNxi|t@~nswa<;29Qo3At-*IfVt2*sUi>uCw_R5P!&;jtBW8 zHipinAG0Rq0DQ}~ke~OCWm}C3-?Pu%QQu(RbT)uPxaFjigP}YP9W}-B`;ovI&pAb= zC-5V*pG@biPNQrFccb|{lMgO{TmnBu4#y(CJO?UV%zqq$vL*arAb{(6<0GK6c?3<% z4g5?U)Ub=sYL2qqd?cASd-xoR>>lHtCXiiwoPVUv?F6547SKz)0R^ir^Ap3+iYt8S zC=9|q9ybs*-RDW|LGXYN3PbXcS1(8X<+0=|zvDa7Bln(1k$gUIpR`~$NVE?Im%(D= zA^?Yoz`LLuDvIPl4Z}p~f)xK8Ax^(S^^-*6TF}RdUf+UHiARx0CX1WJKruy}d5mPL zaOxnOCcdsfGF`0kqmdD2C@^P=MzJ7|7sE^>vxMhoR5)97qTAMU1nUdTxnkK{05O;8 z+;F}a)r9>21!C0^jL<^iAp0mmG&zK1k$Afk6pKa9d#HDbSV`-^7KMKS;UUqdKXQk~ zn!Kp#h$u&QevXQ>v@0DGH$ss+E=o;7O(#Ti3TU4c#YkzM5|f*t?6gQ~jnqM7^=CQgPU0w5yd!dB*y5H*#Sl1!|E@IBzEx~3nTUrFDOIX?1-(tyE z!z0%Kk`(Uai8^nDuc6-D3iqL4!=3PbWV+uAzyA}G2jQLZH7}aa-DRp&#%XNCA{7$> zsinV$tDquV8l#H?5}0UW3**K$cS79Cyk&^bNru;L zES-@WmtHiZ5IserNH25B*E#^sw*F|zxo{tu zB*vv=s52;!^#AEFAq3}@{vOG_$>Apb7iB?Jnr!uclL6U6x@{rEKcs89r0Z}=S93|% zbV=7uSgBpYH4{(>+h0o7MFCTOS)N&--H|B5^kVNxY=C7 zb!K--H^?Pj-6h>%mvnQuq#NRrZqEOibpKSi#_z%n*|Qz-=Va-g!6N!Yx;S) z4RA>}&?Ve#F5zZ(2{$N3xK2?l*d^T@F6oB2q?^;Vc60sN+6~J6`_jcC{%z@E5vMHO zWU==4{s*zPlrAA^E+OhpVHqwd{=P;{mlUl(lcK{V#ecCx-F_Eh>OX{-=AVR^_BSCq zjo;R&yGx25E-A|2rReFBqL)jG-YzMob1l*IE+P83gqXo4#EdQ>`nrUe$tA?hPL~w@ zTvGIRNimB{idkJ!3~)&?&?Uuet|gk?CBz_?5QAMp%;6GZ$e#$&DSH>({o5M6j@ZWU zYfx}$YNw4G3!Zu0;eOINarE>hvZK9cp8-p z5XJZO#P=s;_oTmZ7nVduceGvRynYqWLcI^-S!^0cK$cu{2G7!CPvBW@G(jr1d5CA_ zL)Y-E+WIk`)idAv&4x<09c17SJzxUmY&<4aD!o4|`3q16u=f^nLs(G_w#-mA{ytBo zJBKlxFd%x%7P%oijg`p(Iv7h;VII9;U&+pX#|qFb=l;B6dSDLWm-izV%jYhEEi{o^ z(?KwmPbI5)F`twGlui8d@ZZe9OYAJhSHI3mpnV82*SLw~6{~pz$vcMg2c%!wivvi8 zaDVh9c|073z24r8FKzo?(b((E`WuEH?ENp2fG&c$7Ft z<55!IgJ=1X5Advz`VpQLZ&B4@H>e$zk6*$w{Q5q!|EtV9hfKssVp_v-56>T$VRA|5 z8fwHKwvP%1v$YfnBVnc4LBwe<5tVx*G$I+gnVqKAZei~(Alb?W9!9c_m7_*(XZ46x zB1=V0*ukQy4Lg}X=9m*V(tMHPM%pP#_OLK2+{=zpZXbJ29oWxwYS01p3o$*&=2DAJ zvMU%w{Rz`BLlJ0iLUf~f618Fs&qL&6dCiMR#_^Iw9?PF%2Ex6|Lw%gULnv|n%G(mt ziF`fvXA*zD8%Z4ZBBqKzqTFO2LfoeCQNYv}aE3nVm-s+%)5i;&20czNNI;)1Rw9=? z9wGN01D-RHD#?A5-bb(+RR2h}fu{B-7EZEsj%L|Nf@9bL!WqlVZAz?veF95o zVqwi>nK0w2#y{;iuCK)$0`^_rnxye(V)$(sk{fL^2aWP3wua{JR`&Khl0??|i1W7r z@+?SGB>iz}U)3@+Yg!DZb=PJ*NnkY_K%L&e3miqVk%yp8tnzupb2E3R+!pRdYj7(s za}vomK90%`@>|6A5dVy};%p{>#PUjOM~xUMKVXoY2xd>AxwcuBTG{&?n;a5eJF#dp)Y0ITSz|22Gqe(`T%P0Sf@UT za3<>U7};b|6&Oon9D0`4LBUZ}Rgup$h{f+vUrJ0QvTrWYoGN7y!_x6I`^p?YhG+RQ zB&`bF=~?j}6qc+}lt$;-tU_(KeS!>>Ya0fOOQTd7V5- zi*+qcV(alUHKktzV*1N?YKpT4K?bp%RP#u-j2bqUZJ|DoW4CFnCa_}nkW6BAX*R^M z`!szN>qaP3*j=i0DvJWpiM?hX4c$zp2z3QBsHrR2I_ldh7Da4Uv)5F`8fFpUI#!ED zWjzbWazQ|CD3v{BooVDhv8yx=pVM@%+%X~Jb^mu9KvT1 zbR^GBY;N)(8rer&k`NyA;xsj1@o6*;A9z>7>@Ox$?+1u>)ZXDDlqS^(@iUETtoVsA z<3wK?>BXW4De6PwC;<PYlL^G=Xu2@A=^s~sB z+kign3@OPV&F3IX9A6le7#}cuTuN!*);0P01roKtQ-NnKt89Y4U}D|`iZh9 z$zZaKquD=AR;5WiLvErWnJr(^0L_)fsb>piVQSN2`Hb4TR92yZT`BibvReM^B+0Cm zyC~Tp>)b)ISvH_i-y*Yvck+@jhXJ6cNk=XBXo*owhL$*#JpE4*`!dZ*`sE4`B+QRm zIG0%f0g-?5{RgwMww@9M7CBPHaN`4*p-h|D{VOUCuyumopILat4B3&VY_U%%A!J z=zmHi#)!ZtPMT~s?-_*GviVCq+mv{LXZsI$Cui=z2JZi&xV`f~4cz}laeL<=^y@zf z-2X*!dpdvqj{^5-_FoaVhyDGZ1@8Z%xV`f~4cz}laeL=~8o2+9;`Y#g|0QtGUj_by zwyY^-R{Et#m$rnm)c;y#`CsE-|DCq{>y_od9siODTO-DO3tNjQ;O`2WWN`&evbusM z0j{7)petx%xb);d22GqPVe5Z0YGOnequ@cZQpAsV!gu*kf5Q{&?|=Uzo^*QmAO41? z^RM_3Pw1lm^fx@=|NifP#1oGCzyBMayl@Csn$vY&R0T_o{^rmBzomv5`^PDfYo$52 zvOhOW{_UlPg%RxSich9<#V6Cd;*&nE_+$oGd@>`&C&~WFZ;}?KhYN^mXT-O#(w$=Kp1!S}x<%@n^=V z+ka!6rZ%oQe>*Eo^M`es_7Cecc@nt)lX2?did1{LBGrEwr(Ui|_5XAxkp36Blc&P} zmzjWBS@a+E?LSWf=l>{5ZPddxP)$~SOd_lq$V_}RolfHU?K}<7sE71k$1fG0_1XzM z>)-kU%PF{L@)o5p;_`;Xs)$|+lJA*QY3iA5WOP}`0pq)spC+4&H$ z>oS0zJ$6%RuMm3gn@B92eW-$gtSt&sc7uQSvtZ}H_%8VG`YhOq;J}}K7yNg97VP{N z-v$3&p9MSr#dpDxknZ2{S+Mh8d>4$M=-={Lu=8Jh7mR4n-||^-^8NQe`7ZeH`Yags z*PnhD{C9m8?EDws1^->21v~%6cfo(xXTi>Y@m+8htiQkGvtZ}H_%0YHY=6sV!Oj5K z?tk)KFir>lmd}Fe;_jb)7yQ?J7OeVjG193!zK)D`Z*Q@lDd#Sc-u^bdILz!i8HAUv zdiT{+E58CFlResBlw)f7LWi4*PjF;XD`p#p+RkJ)J4;q!b2UA;3{__WMNZ|FQFz;h zvk3=RX?x;k{lFmMuBql@%^$inKxYdwQrIHqESI+ayoL77fH zDJ&|fNH22>TDz@t?@{$>>Rj3qJNF_G3{Okp##c-iw{G_3L)>;87zPBb>arOL=kyVv% zqignn@}huHt>4J>YU?(UPQ|VfnteJ~<-XnbMXSGB} zt}2#v1lWCQi*CAdo)TedwW)inybZ*2RePVvp_*QlQhi_A;bvECC=xXFtgo)Ay8&j9 zU8adh$JFtPQd1))81D9n7*Ud|;#;iT_RePFN5KlK9j`>NUHwOa@$|NJPgN_g@U{E2 z72h)zyB5Gng^eI}tDP9G%)C~xJ-xlSsHyG;L@B#R7crG7Hpd878U4UKpqm)L?FBu= zGLbU8y{egkcJJQerBpReS(ZJyzxcq^&dCm^nm$kruuBaR-=tNECv>S&f7FAB$gn4m z7Ymqb)7#3gno3Jom3|d*>hMZEr&^KU%%s*f*1goDU&UV4HM8(iPe+*{W|hc@Z)3i# z6s2l!G%_i*2My9DiJ2-i7{ZwHS*BJhR`*r?Z%WNxH%ZLZ)vLUQmvS4g``Znsi-%mX zQ+l8Zix;(2kI#CLy*^&J3zav6q={yvR?@P3?FVzjQlZ?_NXbg5fXgDQ{bYg2z*MJ! zhE$VZ11--gBa>Y?L4S?o`X#Z#e{rk6RC{~(dY zPPamw*R+_Jx;50w%vyT8{8|yq?N{r?M`nNCAZ7@A?iNv-tLTo74C-nNOrE7z%wQF{ z$060q>_#@b{C44F_K`#}9s&!*D9+layVF_lea^^%yTQ+ba)<>$*znXXt9fd_A?Da9kW?s_|mIlbUl<)Kk_e(L?q3&gO_}fuuM2w~aW*Hfj|0X?S@@T1Z7esS4 zu(MW3gf7?yhzp z*0QMSH^fisNn`1*?g&R#)qIKWW0$`v#)^zl;h|A6QQ_a#3=PPcvwQ0f-AcrC%N6=_ zA^Y(i5vJSqAB*OOiW(x)tEoR|nbpQu=uN<{nwtt}Xlg2Qu}GtS-6!(d1zwB!LVZ1G z`l_gy9MvNCr}H@osjQIxA^Pto(PYoOqkt}=Ypi%^ly zp88qrm8$6@%f}uqwBDNf`c+t}h^b{${evv2YHg9aTHvE)QqxWCqZM8=ET&@p=%_-p z2m{qtORJ%deFwau8TAaxT#G3-HpXEIJJg~5>ZN+`u^ejUHYh6hY{Jt%>!(#f2YPFo zdfwg2Z|4rw-fJrUgD7Yh&Y=ZL)kzwf+I|`QygV%5WLfZnh5tb#&Ca7W5?cFatvlGC z@@tQns{h8wX}<{7hPWpyI_2)LGTZ&jYh$pW_c(&o-Tg)y^{fb{c3>rKo{F2H=e74# z(vBNyd>zA2_1~mxTD3}11(nA`tf!|nvZ|TI zM2O1Q)?wKLYHL24>U%&7R$J<7qcg?CMAV9osZqH?o#=waVro`s5EBy+`g8G?EnC`c z>uKAVD%k+2uMS$Csz*9Kqn*@1yCl@JR#^NkeawvZ&PLiQaE^rfMC*vWyQhGOEpOv}@{FCWofnblp;ibThL(wXHTnszP;) zZ0h@N4oi(&W~EgTowbrFb0KpRk*1bi+;BAV=s@k5R99PChI&583b1z!*N*YHBSwgtG)g<7A`WALO&hKGs!(r-seUSF z_?yuYHDl^T#ne?h0;~)w>r%t8zl_!nar^u@?UA9rt1SZU2TBX&s>v)fM8!O@Oy$1| z+rZbUT4ZYVqOp}xO<1UPkl3XP7f~J!pve=z7+$tlf;I{2Nw+jr(qE)j1u6lv#U;(# z-n2rC(~TNGwutD^x|{lTz4ku8S33Fge+lsl=%+^||3>&n&s`HO#uu6KNrB;rO z+GKTjJ+{l0X-!>Cd9C{>_a8A@V@hHv$KKba{duEyimTqsO)quW3%l;4OxSCRG}1Dt z>sz$b_UWzKKCbF#u&}n@NPl%PQG2GsI%?@u?=hyYeQ*ahQyW+Ld-4 zvA6Bf+?eY5Q4h91@73-w)g?1@iQ~NHQ18}3fjsLE1%0`@%&79um3fS?3bkUwu?~*M zh^#8CmzJG$tGB)OfcBBAk$J70>c(L$NCizt@x8rT`rimbRmY9cuE*w^hF#)_wlTFM zDl9CfZk-BI(FIlDRLf75E~lH=8U`eQu!A2aTD~G^LgaRctO?x~rCl zz3VbHHhu%PzdE(Goc7tPT2rAK&a(2VW;e81YPp|oib659l;>iIXI2bU$%ww#x0;UA zb-VRV4GX{gRBfr<{;rl$W5rVTqPZBKWO`PIxvse^&h3>PzuKY7SHT?g&kpoa4>9+jZozN%g-2u3N2fGAMZ(8* z>1Nnp)5r!)dH6%ConC4Ms$ULbz7KJiGt}_8GC-xPB0W^br&`vOy(3E_Q@0y?$n;F* z3N+H&YdrBKzIq#Pv{RGP$^B~kcuG|Rr25g)Xx zq=v$>@A}DhOnu2AgY7z5@Ug2E6H~cHIFwRB`&@RJmaFn_G^zUS!}`xy4|@Tv7#5|P z>3TMmI){9rHVm<{tL!0isroiQc7PQDFfo&N9*+-JKKoS&ZltL>CoFGuF_+w#GA74! z%WSIbWzAh>$s;?f)VKhzjyHx8W#_?9iKu3evAZ@3g%uHS9d$?N!8D%r1=wXDi;Qa9 z_f`h`U?{GQsHV4sZVxUb3uvl#3_eD{*m^qp3qLzwRhggv!_HMsV!ITXt9+PDVcTPLhyOhg#OTCZ|gbcL|!hV++eeEnOh|?NWX4V{q!iGBc}vsUJSjrPf*s7i`km zO9#vHmhCxKrm@to<1H`6S3$64N=sAKQnHhJ-VQr=#k_`({Xxkt-0nOTzXhebw9|9g zvGMrnAaX6F>a$p6p@ku7e@_|;{o`>MsyfeX;ig6}$BdjZNA^=`4`6s=9zX{LPq)&m zokuhu)p4%$RedTMA@;rejx*doHdjVVwYLUlQWU;hRfh^p7HHRWqIK zw*h~%TGe-#Iqb39X^N&_Y~-_R?vOJrmGl9|`lX%Nt|Q-Jp=_&RI_%7cWPDl+TT*n# zR;}9oXrH?v>q-@M4aWJ5PgVmp{+eu~#*M*to-axERs%A^ohy(LQ)SvLJ>#U6rnJu` z;fpghcLxdLD#i!PqgoFrs1Eh?%qneqY1-4d?jzKmNX&=5^BlgaPy{@y$5O8p=Yti0 zV4e}IzAnU0^Mj7-sOnT9QBY-Fh0X69hdxwGnFpcjdM=U0c5~Nwz#Kp9Sirbrn+&3-$gJ3_CQ8gyY(O3=DtP;e6X5m6GNskZ1SnV#Xhp2ZU zMxgB|qNjGV%azkt!Iv^HzOg=7sJ$PUne40;^t_tgsj{Ad+iR-mPOh#+nt|%{TsT+l ztLfop%8Z>-7xQrJLs$bP#-I|cHh248l-^gUQu`b^)XMUfj7!3vlTc5;!d1Kd@CX+- z)W@1}6|FR8#VR$!>c&(Ju!}d>Ik%g)&`U8@xVXb#&70`RuTCw%=D*@ceZQK}Qs0s6 z&Z>~n4tF)C6$T-B@_i&LvcOMzH?^TEI$rh~wAKEf^uJLeS*mlTLN90-%j7F zzUGD18o12L0;gVY!|Z|`^-x`fhZ!Dr-|l)lmOKw?bihnKmRj?$-}TU$Q0@D}>J8|v zce2#FLHc=By9DI8Zm`~nDg24&V3mD};jK=!!&E5$4s&kSbSTisp?ZG&hVWvk+slUQ zQNk`UTCbtmQ1y3Gt2I$D7MCg5uXktYPgREmM;xKP=OzhHIg|@uweB+gax5^O%Zki)mZ%wD46s=jyeS)ggs9DjQkt()a-b zraI1tH$1Dgt`k*oiamliQzg#V%c}#;wd{7j1$qII;UD~u693?SsM)qOicN{Q}J0@s)6-ys~Jpt~=YlUeOjD|Gne$xcP@AK8F`j&B(ejQ5CRZ)`_Z=yi=C2%WTmf z8#Jp!?C9P4P^QYaLs!xi5vlFhdvs4`=h>&{6)9fd*m8y$*8oFtZ3I?q|AYEK7WW*( zMb?0t*4vTJ4nItd4J`z}=9rEtFzblkOsdfAm?1e%Vg7h$*1YVkr|=<%>h-H5jq-88 zYrWbJ0ik!NFW8ihYb zpEKDcv-jPn0U7uWX2`a~MsB;oL*3#ka1SQSrARGfihAk)ShtyKKEX_z{eSxn*Rhb}BAXyJ?3`ATZvAsjGBIWJ6b$Ac0 zAM1ejS{n$pPT>}NTVW&4q##bP3eRN1Z7yvjE^J!H;OcvKOpBElgr+`q!xl23oUxOu zvj;>b6_FbXY#hh>jjm{fZ~+@mbsT4;mz6?8>(;PmRWhEZB`qn{>N?O7$+3aZm(o&O zlVp1PMjg=D1?w4_L|jj5GAtr0rm9N%S!Pm^WuOV#eQyMDmkoFIy`GzesuQOW>d9K& zA?@dl4a64Xdw|-vmzh?5Zj1rheB5x@n_`SRzxfS^8f%s+e$wG<&-%fDF3Y|{=C&8L zHfCt*TVVvLIeD<7JzImv-aNX8J-dTZM=IweM^QL6h9L{b)Ts^E?HU$j(jH;h^}87w z6wE>F1~K!YfJS#WIx^)wPtT=7bBXL~Yj>J{J&o$*KzOJw@iL2QS_h5o+0*dURrvr6 z`L#4Mz~0o)NH5gu{>C)rtcf*$?JEr1GXsp>%I$;9uVO1<5w@EGFaP8~a_6K~GBrGO zv?i($9wikEz#x$aX?qMZ>Y3_$ZxO5pj55xseU%JP)vFT3x~Gf7-R?KqsL#?Ocv!o3 zOhiT4Gl-L@9VawzHEJYA&BSgs_h^!BsYgAwyqjGKT+W3rYmnY>M=FvOXGLyg{L%&=?i z#_*~yZ(-ObpC%*^$@0q>%L{vqEl^jtgpb;O6`oCUn|_{ernZmmHxjwpeaNUG>mqVc zBrdlMU|}l%VWXeQqGV2W?=YfK&-0r;$%AWGJYuv)B<}+H{q&&pv$vi!_F1a>MLnxM z_p&ijs#yuJa{O~Z7#`otOm^`b##*5&owfW^3`zHou=Kv%HnuXg?^kT>;vO`W8ruRh zdFgt?w2wY8N;0+UTda|Wl^vOIV6iGY4lJrYGP_Ci1N3|F)F!50eHhGp8Ri$hL24=;owi)wVJ%%z_9cKBKW zp{bHxpF%0F4=Jg*0Q06Q6M{1_w?K1{RJ(R##f;5i&X;P&d~9ER-ot@PJOb5v@T{3e zxi>K_yJlXq30Fr~L2uWtEwKvXu^Al6Z^8^7SIX;1kTY#Ku}`drk>+vQ*`J%0PAX7buZ- zWzF@bx;fFz6W0oj>GdsEa*--#Iic{w&YA4B)yzDI|1Uw<)8iPNNxyQ~X=-DI9>0pZ zw_T7~SVdL4D8j!rYnw~#EK#P#RMk3WZ&m&ztOeN=^+f(8q{B=gEZ@tl!tHH+ z%&Sa2UkY(-?PtywDt3U8S2Y=6HnO5yxBsyV#Ww8|1I%8!-EX*=TcoU?#8GB|ooTfB zgsCCR;J{qSf(mP2#J-$)tXXAJI(X|-$C{c(Elp`>&4^m0ar1}Pt!~elVAgk)rhI}EuwMJQ4v*=?YKh4>{H6T$<&OTK)pD{+{M+o1S7jTG2M(y zmQNQy3{$6_(7EfEAcWd`hWSYC*Z_y!@2%;lekknlv8T;6cQN&cm783XA&@LA$VJW}J%HBz@G98aNoi zF`Q<9T4q)iZjtq)$W#ieQ!!jsxCu825i)PP|0=Tytc?UX^GgRpNfju8GnGzdEpz<_ z6J}vzWnH(+Z8NcLKkI=F4$+s8Wb+?JI30r8U$&dsIIK{~3Z^KTDm%^Gw%TdtD{b3n=)2cmr;7OYCw5u9*ECbs{6sp+Yg0>Zl4$5KB36#WWE}CL_{F zGQqDXHrQF8uoQDAnNq0hh0w{d-Qmja=#A(=jhp65%`SY;{N}e~pMV)ALirB#x@!~o zh7%u{i0>rZ&RH_y=ofM8^lDOCD27Il&E`z4s3vpTyPlYp%w+FM)$Rscy6Pj{+phlB z#2(Zs6zsl~gRz_MletOd`)tlp4eC3xsTZHkTs$1dLt9~DhXz@?UHXf;kmI;8qLv!a z5oT5vW>v%?5S<3j84lk#`7M863}kRSoR&f;DKd)NfGU!tbw?!~K>YOlTpUV1oCurh z_%576Ki4fcp&sqP&h~OSA~wn4f|}n-Lyh?)47;qux+LsEX)QPd{oSoJ~<)h09Cki^VZStBJJI5j5%!eq+ZKH04f2;6H#4&K$fZ8%(`c#^##ueFJ*4NIUzrWUZK znCkd8j8EHIIJs$ggSoKx@jPR*XK8L+{ zydjQ+V{h(I_}Ye>~)naUuM6kY&9`d0bdh` zF64e3pQmf^awtNjn$8Eo4Dvj;r8Rw1f-{9x;7%jRK3>y0uc-yCAdOQ|RvxBKt-$P@ zk=e>_{bqC)j8O#(!wHWJg3%TkZS_{;wi=fGHri?;)z^>EM$Z~R!DebI94b#EXqCm^ zS+N4@+}aKG1MlmtpCd3OPCW7gj#JCTScwK?UJwyBRW8=_)5!Nyoi<=*h5m$HtYA|M z_c;3R!tx_WRaLox6N&}RtZIm%e!|op{S1fcD?MS{kG>@{+HZca{G?r>HGW>r4sC1g zU=~yu)^ov_NVQ6nzP6*iRajF)#>2<{HUK-==9Zu;)7dJksg)Bj+ZT7Y!tC@ttm1+c z5}d6&A{#6h=#}^+O!-#5tRyAA#cW&D*vO)0y_5btyjaTl(6j8qwD<*)? z9$XoZ(7<^WrH8GJvuc{EWo`Ha^|L|KtWZ`rX2!&Xc4Q zYg<%G-Pno&0i~_@M2@zA$cOkwq+II@LECz*R|KioYgKChwf8xb$$Ykc{qFg`owe6v zuf6v3th3I}8Tk}U;Xm`0yLALrBA27r$NUPr!RaUPsEh}%0vBS&6zLD$qrW5m_6Gz) zUqc{N#@a;nCcQ@7{4(y;#}n}a>G>|_kfe%Yy7J9mY|?-47}nI}@!`H*m%A~_u!_ne~(_} zqPO8iEyB51|EqdI+IzsAEg#>-@95~sqeXn)&og`Vmbert5iw$fuOAyQ+LR zzA_Da*^vkE=(+b59r5Eumpg9~*Nldr^ZpV2>O`~ob^TYG*f}1dmFze4Rhn3U*s{6r zP5mS64sYv-Ry^{ae$Zh)^k;pOhR{;Zi@Zzv+K*h^zzdT#+-^HQa3cTtA2RfiGZpTyrP3%-dha zZSQAa=s06?$(OoMe8k}%mRIS+%-?>gpVd@U`N~X$UA>QEE6F{lUy1`$k6=YR-a&w7 zX@b!$&isMa$3mcqPGf_3W}`b@{Aeozq6eMEbeFh@8;>eS`3D6FbIjyH#s_#(JnWuo zx{{3+&0L*gAl}*wp7z9*SVjL(JtAGl4wlxF0*?JJYi`-a@R;k(Ys)H6ihPZ26zc^I8>U4`+1*s(P+ zm-cJ7*g|UoVF#DkSZO?Lc2pUYHSu}`OIwDG3WC^Ezo|A>YT_qN@XP4vin+PQsCNve z_aa_TATXr~e?-Y7_)d5d+8~PRjIYF-C)^WgW;sn?y)jG^W$jq3w>KDx4)aZ~fma(( z{M4Bv5}rrId`-Y8)WoGX!EM1R;2(X> z+b%Og2q?o#6Ho1h!#Z-2f!M$bw1S>w#VtqSuGcR@ocyX!(fFz9cro$)MWpBSo9;ZZ z`FS+ro+ZYey75u(3Ts-C^pQaJQTNIYOPKj~ji$dlcnQ{sg1?$8&J-UVGeV z)5P2-jFE2na7(9&&P_$=Dr*e-=D{b7qhi%$JzG9UAXtIdkmm8-MleBq^fA0i*EBpQ z4Ea3KX?lKVe1v<>mxzwE<{*B31>HpE?J>q_f}e9|yDH_&7NJ$@qs5us@PW7NH4Y_+ z$LAW~7vdSjN&mDEFCK2&jUW_OI$Y9cj4#yPPr1~1L;BjYMiB2a3KI&{Q^2*)8DE*VJ#RdRXBM2B z5`R34t#HkY#(Fi!58jMtwApKLaDbw*=G>PIZ;}|i%$*cj9%S;wV|`~Zga-i`m+*Fn zdRm&>L)|P;S>t0(P1Z>`pmjbKxoB$-t4Ci|+S}IQsjlekSlZUg%Bwv-MQseM?h4^7 zr7YqpZ>*0cd%aK>$cxq2(7SvIDs5@*z>{@bN2@GHIx0g;MNSM$M{9T)ThiPc1g%C9YdgH%ovrAp9@#3@)@X4$MC$GJ2Ae7yFKb{u z)wMWN*xc4$-nmNkfjkklZen6uq{2JDR`rl&yf@Slq{ho(Avu4zySD|cZSI&I3j4c4 zp`g{%;U;ud(~{nvrm*TS)kH{Dw>P)?!_DE|9@gmhR#jD6BO=@AsaCor(&}koHPwC} z^U+ZIXn>U#f4H-&ye-@#dzs9z+vckbSlarH>+KG)#=t^vmBo=wpYOG%4qjk{#qBq{ zCPcz$M0szIHN2`KU#KP2wjxy79ByWGw1etl4GU@!!(QDJ4lVbEdO~WfElF0jDqI_s zb~PaE$tuWvniQ2GvPd>g8em(j>M3vTtq=N|!y&7IHn+OkQ)11+{!x-vVA`?y&D~+E z-tyHbTVGGpyTa?K@QD}qCuJ5=#lGsg`e;9?;i4XqBS@A=o`23|;?C1a!wS2i%Zth? zacQ`(%3tMMP*qvX>|BX>a*TVNlSWER@Vm2Xz4N{HSYpkwa=*{VmUMQ8i&~hECbDYk zWu4tYYtm8`)pE7c9Q5?`w6%6D4|Rma{&am*q`t8dLskw2o|bUi3hJDw(TFv95k+Hk zCKi9tM;_{E$(OU8`NKhsgCxkg)Hpw2jW|wP#xBp=U|r`**$II_MZK)AqI3EDW-((1 z9~r6c?Lg(79nI}DWi1Qr(W%5*rDZB(ZJ61tC$P$1N#UMQYYC&jrBb(j#>hbJ1xy)93M)mv}?nZC%SM+B;jWsla~QglC#^=Bf2nDBA*;S9_Vi z#x~WmkoKt{tqIw`v5m5~CG=KznEJ&Ub#HTbTX;3A_LumK{!tNq_ZgYh)%6%ZsYx~_ zw!2g}sEI?>SlbOIx-zfaUn0fJ{iWuCqXv!%|M@&VZbnyodrxO?cT0#-6McSc3e;YE z+|=5Y9Yp-9223Asc`3<>p(FW-T&#j%+lrE<%`M^1ZU$}3d)wPtIb!h4Q&TSW;arVR zj33GKCV@g4s_Q)dnrIcIkEkS8D*s-D)JzrVduOTq8X+SR$0p^TdlOe4O}MIxiAG8p2b=?sUFpgj`iM1M}=R^Gx$icZxH^xJBS}lCOKLxs%hfQ!F;T6B=g&xFuX=w zG8m1KWXn3Td1U`^rIh;LH(&4Wn+!eeL&b;;-~o`&y+Fg9u&bK~pO%#-ZC z*|APz!-cOJS)j*OLV2Rsfu03OmCizH7h^N{uajYJ7k}sd0F`0rB$R&c_D>>>hB(DEtCF z5Q~Gw{?iKM4T#63L8w1!K)i+DRpEEZ$Cv*$1D5`Ox;(xDYfPgJq6)3K_GLED2-!W2 zAMhP8lmiUQXKs8vdjQ`V)q@PKN+c}3wc6r?BsxHLkeHXrhsm`v`Ut+DFE6aR*tJ6R zA^GB=ahU(MjBUG(+cw#_ZIg}TCqQJqHvaGUo6#m}1NAk@Z|lc%C4r2@_u!)fgxig# z0z2|WM-HD5IYzbOB1L2{;cq}0b+X++n|=m#{NOmz9k;_e+9XJR_(bOZOv=km;ug)( zd+1s8Bk3f*yHIU=HV!f?Ljpcd3J}4bdbUA}K+8fp<`U(jcy`p#Fle!g3V@TbR4xw{ z-H*H+Nyj`nl(Dk0bsT}LJ!|Yb?~BuoFYMcO(W+vsu%94tdqLX@V1r%YTlv(Ju?BU= z;nRWJy-f;KuhOQTq75jxndW4AWDgmDD}Y3LZQPz}Hg4Bz<0Km^pB0BwhsN^bmVmlxNXS#kJvad^COSHCUH*ose9pHkqyl9~)XF_LQ9Fib^9IUvH;4MfR3)~8D zhsr8B%gci2ggSBn%49Y`V3s(&MY~@tepDMNiVkV-ioQddTWsD6wCjFtF8vu9As6Nf zBorrbL}mj7$SG0Q+EtP4EGaOLfRddbD?6{xmd%2|MkHzg!F!Q3<|nYtA`^@pQ1s^k zUR2pw4NE~H4Fs1-wvRxUMJCv-$OP6{WP;ZzGJ&-gncyvoOyE``N1&WC>lK~M+o7_t zW)y%#W)LirY(Ifgne`Evsi*|zC@KM;q7qo3s06N7)O!H#O;=>9bC=5Q19(2~u0vXp z_~kR&D6x4T#_Ntf+6?-$Z|E0be<>xs1X#Y=v{$<*p@HG<<3_?b5-^a|;8AXnVh~7< zGVBIYN#algZ3*$-BU&L%kW##Z)W+gmkTyo_JAmnP#{*ij3Bx|qBB>~pW)&oOFA|2> z)*H*t0Bf{l`v}w_C9;wpmeWVs1|%0lzy>(w@9JlNo3c@0LkkXbv2K*g=p&WINN%Z; zD1=Q%(k10u=E{gp%viH-fXHn~SXl(t%j|pt8}cy%Bg`8PYJ;`N4iI)p-aG=YBT?V> zPj(V`ObYl2*aE(2rN6NRsEQ;pyA}>>Qbr#k?UFZd1;84~TLIqmj7T40*GuMtTL5mA%wA@k(K7l7 z*@2YEdRb#e--F0L)Q>)f>@K7va1AyyqmPhd{roqOeXAd}dy!f^9AxU2;Sppqkdm+{ z8$3A~eWQR-Gco)GYLG}Uws4w7BUp%(ge5(z3}ydSfhkr2f-{k@Ol;9eyz&l9mSDG( zowpHSkIXJQ2te+I#>knL7d0mjWKu-1KuQJ(P+deOsM-{;>m@R^&AY#dv;__V98%d> z#Wz?Q2+oxCE{J7cVX+A+_GPqYO3XRCG>@ZzEcMD#UIGCmwFD@4m0}PG77N@EGH9h0 z7m#<;ic?Q2}XCVGO<#@{@p{iIG1K z&XuK5%2S}r#`i$}eBf{|qx#7iQ~V^=;#tCz&od)rY%t1Gto9fjKWoO81K$v%>r4!v z8b^^a0t}7+1oD~{DYM&F7Q-ibik3-nritI@^PyUbcqN~Y8H!&{W_$2V>5-b8>|${a zWUW!0U%)4iX$5zBiE5Q*b#rvbXDQhxtBWzrDIkr3-CwX@qo)?GHebbhw z^7w6U>q=pnF$Up>QPh}x99N)ga=!wqaBU1u3TI(MQ5u!OwDH+E@fXM7RG#*@SpIbi zkAS8W&@L7$urUrt+$T%%6WB!cBQaS-z-L_LoWM$s^6`7njBwhbZ2rrZcE(cJd(j~m z(F|=JPejuk%G|32$(Wm?&;4;nzE~eBmhNMH&+&rF@Lz z?|H&k%4a%;=8N@!+3#V}QEVm^RpQ53nU3v?>d6M)3OrOS&XPR7uTXjcFB zN&YMF$710EmAIC~SiQLM0^aG^k}u9)z!zno!T?=`c9HmTu#ac=tN$GM$UMi5yxk6uMijW zF-c9KRc&~eEqX5I7#k@=x=PG%jQ4|a<>1}PoYt%cp6q5r4Kwe*;HrPA(@lRahx!HX1 zuxF98l@j%huM9C}HXk95&E`WA@0`Wi5m8c&aO&5<9pSMexrR?TZ`%~kPAN51D5~L~ DY7VE# diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.map b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.map index 159ff95f..f0da9668 100644 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.map +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.map @@ -38,15 +38,15 @@ Idx Name Size VMA LMA File off Algn CONTENTS, READONLY, DEBUGGING 10 .debug_aranges 000005c0 00000000 00000000 00019bb0 2**3 CONTENTS, READONLY, DEBUGGING - 11 .debug_ranges 00000500 00000000 00000000 0001a170 2**0 + 11 .debug_loc 0000259c 00000000 00000000 0001a170 2**0 CONTENTS, READONLY, DEBUGGING - 12 .debug_macro 0001435b 00000000 00000000 0001a670 2**0 + 12 .debug_ranges 00000500 00000000 00000000 0001c70c 2**0 CONTENTS, READONLY, DEBUGGING - 13 .debug_str 00080630 00000000 00000000 0002e9cb 2**0 + 13 .debug_macro 00014724 00000000 00000000 0001cc0c 2**0 CONTENTS, READONLY, DEBUGGING - 14 .debug_frame 00000bdc 00000000 00000000 000aeffc 2**2 + 14 .debug_str 00080630 00000000 00000000 00031330 2**0 CONTENTS, READONLY, DEBUGGING - 15 .debug_loc 0000259c 00000000 00000000 000afbd8 2**0 + 15 .debug_frame 00000bdc 00000000 00000000 000b1960 2**2 CONTENTS, READONLY, DEBUGGING SYMBOL TABLE: 08002000 l d .text 00000000 .text @@ -60,11 +60,11 @@ SYMBOL TABLE: 00000000 l d .debug_info 00000000 .debug_info 00000000 l d .debug_abbrev 00000000 .debug_abbrev 00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_loc 00000000 .debug_loc 00000000 l d .debug_ranges 00000000 .debug_ranges 00000000 l d .debug_macro 00000000 .debug_macro 00000000 l d .debug_str 00000000 .debug_str 00000000 l d .debug_frame 00000000 .debug_frame -00000000 l d .debug_loc 00000000 .debug_loc 00000000 l df *ABS* 00000000 obj/startup_stm32f30x.o 00000100 l *ABS* 00000000 Stack_Size 00000000 l *ABS* 00000000 Heap_Size @@ -80,34 +80,34 @@ SYMBOL TABLE: 200000a0 l .bss 00000000 object.8610 20000094 l O .data 00000000 __frame_dummy_init_array_entry 00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/lib/armv7e-m/fpu/crt0.o -00000000 l df *ABS* 00000000 main.c -0800231c l F .text 0000000c Init 00000000 l df *ABS* 00000000 boot.c -0800233c l F .text 00000058 CanGetSpeedConfig -08002394 l F .text 00000098 BootComUartInit -0800242c l F .text 000000f0 BootComCanInit -0800251c l F .text 00000024 UartReceiveByte -0800256c l F .text 00000094 BootComUartCheckActivationRequest -08002600 l F .text 00000040 BootComCanCheckActivationRequest +0800231c l F .text 00000058 CanGetSpeedConfig +08002374 l F .text 00000098 BootComUartInit +0800240c l F .text 000000f0 BootComCanInit +080024fc l F .text 00000024 UartReceiveByte +0800254c l F .text 00000094 BootComUartCheckActivationRequest +080025e0 l F .text 00000040 BootComCanCheckActivationRequest 200000b8 l O .bss 00000004 xcpCtoRxStartTime.7946 200000bc l O .bss 00000041 xcpCtoReqPacket.7943 200000fd l O .bss 00000001 xcpCtoRxLength.7944 200000fe l O .bss 00000001 xcpCtoRxInProgress.7945 08003138 l O .text 00000024 canTiming +00000000 l df *ABS* 00000000 main.c +0800262c l F .text 0000000c Init 00000000 l df *ABS* 00000000 led.c 20000100 l O .bss 00000004 timer_counter_last.7924 20000104 l O .bss 00000001 led_toggle_state.7923 00000000 l df *ABS* 00000000 timer.c 20000108 l O .bss 00000004 millisecond_counter -00000000 l df *ABS* 00000000 system_stm32f30x.c -08002748 l F .text 00000064 SetSysClock 00000000 l df *ABS* 00000000 _exit.c -00000000 l df *ABS* 00000000 stm32f30x_usart.c -00000000 l df *ABS* 00000000 stm32f30x_gpio.c 00000000 l df *ABS* 00000000 stm32f30x_can.c 00000000 l df *ABS* 00000000 stm32f30x_rcc.c -20000004 l O .data 00000020 ADCPrescTable -20000024 l O .data 00000010 APBAHBPrescTable +20000000 l O .data 00000020 ADCPrescTable +20000020 l O .data 00000010 APBAHBPrescTable +00000000 l df *ABS* 00000000 stm32f30x_usart.c +00000000 l df *ABS* 00000000 stm32f30x_gpio.c +00000000 l df *ABS* 00000000 system_stm32f30x.c +08002fd0 l F .text 00000064 SetSysClock 00000000 l df *ABS* 00000000 exit.c 00000000 l df *ABS* 00000000 init.c 00000000 l df *ABS* 00000000 memset.c @@ -125,12 +125,12 @@ SYMBOL TABLE: 08002306 w F .text 00000002 RTC_Alarm_IRQHandler 20000110 g .stack_dummy 00000000 __HeapBase 080022b6 w F .text 00000002 DebugMon_Handler -080029a8 g F .text 0000002e GPIO_PinAFConfig +08002fa0 g F .text 0000002e GPIO_PinAFConfig 00000000 g *ABS* 00000000 __HEAP_SIZE 20000000 g .data 00000000 __data_start__ 080022f2 w F .text 00000002 TIM1_CC_IRQHandler 080022ac w F .text 00000002 HardFault_Handler -08002b14 g F .text 000000f4 CAN_FilterInit +08002888 g F .text 000000f4 CAN_FilterInit 08002738 g F .text 00000010 SysTick_Handler 080022be w F .text 00000002 PVD_IRQHandler 080022b8 w F .text 00000002 PendSV_Handler @@ -138,60 +138,60 @@ SYMBOL TABLE: 0800316c g .ARM.exidx 00000000 __exidx_end 080022ce w F .text 00000002 EXTI3_IRQHandler 0800316c g .ARM.exidx 00000000 __etext -08002900 g F .text 0000000e USART_GetFlagStatus +08002ef8 g F .text 0000000e USART_GetFlagStatus 080022c8 w F .text 00000002 EXTI0_IRQHandler 08002310 w F .text 00000002 FPU_IRQHandler -20000000 g O .data 00000004 SystemCoreClock +20000030 g O .data 00000004 SystemCoreClock 080022cc w F .text 00000002 EXTI2_TS_IRQHandler -080028f8 g F .text 00000008 USART_ReceiveData +08002ef0 g F .text 00000008 USART_ReceiveData 080022ee w F .text 00000002 TIM1_UP_TIM16_IRQHandler 080022b2 w F .text 00000002 UsageFault_Handler 080022e0 w F .text 00000002 ADC1_2_IRQHandler -08002910 g F .text 0000008e GPIO_Init +08002f08 g F .text 0000008e GPIO_Init 20000110 g .stack_dummy 00000000 __HeapLimit 2000009c g .bss 00000000 __bss_start__ 080022fc w F .text 00000002 SPI1_IRQHandler -080028e0 g F .text 00000016 USART_Cmd +08002ed8 g F .text 00000016 USART_Cmd 08002308 w F .text 00000002 TIM6_DAC_IRQHandler -08003064 g F .text 0000001c RCC_APB1PeriphClockCmd +08002dd8 g F .text 0000001c RCC_APB1PeriphClockCmd 08003164 g .text 00000000 __exidx_start 0800315c g O .text 00000004 _global_impure_ptr 080030c4 g F .text 0000004c __libc_init_array -08003048 g F .text 0000001c RCC_AHBPeriphClockCmd +08002dbc g F .text 0000001c RCC_AHBPeriphClockCmd 080022d8 w F .text 00000002 DMA1_Channel4_IRQHandler 080021e8 g F .text 00000000 _mainCRTStartup -080029d8 g F .text 00000018 CAN_DeInit +0800274c g F .text 00000018 CAN_DeInit 08003120 g F .text 00000000 _init -0800254c g F .text 00000020 BootActivate +0800252c g F .text 00000020 BootActivate 08002302 w F .text 00000002 USART3_IRQHandler 00000000 w *UND* 00000000 __libc_fini_array 080022de w F .text 00000002 DMA1_Channel7_IRQHandler 0800225c g F .text 00000038 Reset_Handler 080022e6 w F .text 00000002 CAN1_RX1_IRQHandler 0800264c g F .text 00000044 LedInit -080029a0 g F .text 00000004 GPIO_SetBits +08002f98 g F .text 00000004 GPIO_SetBits 00000000 w *UND* 00000000 __sf_fake_stderr 00000000 w *UND* 00000000 __deregister_frame_info 20000110 g .stack_dummy 00000000 end 080022f8 w F .text 00000002 I2C1_EV_IRQHandler 2000009c g .data 00000000 __data_end__ -08002cec g F .text 0000035c RCC_GetClocksFreq +08002a60 g F .text 0000035c RCC_GetClocksFreq 080022dc w F .text 00000002 DMA1_Channel6_IRQHandler -08002818 g F .text 000000c8 USART_Init +08002e10 g F .text 000000c8 USART_Init 2000010c g .bss 00000000 __bss_end__ 00000100 g *ABS* 00000000 __STACK_SIZE 080022f6 w F .text 00000002 TIM3_IRQHandler 080022c6 w F .text 00000002 RCC_IRQHandler -08002c28 g F .text 000000a6 CAN_Receive +0800299c g F .text 000000a6 CAN_Receive 00000000 w *UND* 00000000 __call_exitprocs -080029f0 g F .text 00000122 CAN_Init +08002764 g F .text 00000122 CAN_Init 080022d2 w F .text 00000002 DMA1_Channel1_IRQHandler 080021e8 g F .text 00000000 _start -08002c08 g F .text 00000020 CAN_StructInit +0800297c g F .text 00000020 CAN_StructInit 08002304 w F .text 00000002 EXTI15_10_IRQHandler 0800230c w F .text 00000002 COMP2_IRQHandler 00000000 w *UND* 00000000 software_init_hook -080029a4 g F .text 00000004 GPIO_ResetBits +08002f9c g F .text 00000004 GPIO_ResetBits 0800230a w F .text 00000002 TIM7_IRQHandler 080022ea w F .text 00000002 EXTI9_5_IRQHandler 080022c0 w F .text 00000002 TAMPER_STAMP_IRQHandler @@ -200,17 +200,17 @@ SYMBOL TABLE: 08003110 g F .text 00000010 memset 080022ae w F .text 00000002 MemManage_Handler 08002000 g .text 0000018c __isr_vector -08002328 g F .text 00000014 main +08002638 g F .text 00000014 main 080022b4 w F .text 00000002 SVC_Handler 00000000 w *UND* 00000000 hardware_init_hook 20000110 g .stack_dummy 00000000 __end__ 080022da w F .text 00000002 DMA1_Channel5_IRQHandler -08002cd0 g F .text 0000001a CAN_MessagePending +08002a44 g F .text 0000001a CAN_MessagePending 080022d0 w F .text 00000002 EXTI4_IRQHandler 080026dc g F .text 0000000c TimerSet 080022e4 w F .text 00000002 USB_LP_CAN1_RX0_IRQHandler -08002540 g F .text 0000000c BootComInit -080027ac g F .text 00000068 SystemInit +08002520 g F .text 0000000c BootComInit +08003034 g F .text 00000068 SystemInit 0800312c g F .text 00000000 _fini 080022f0 w F .text 00000002 TIM1_TRG_COM_TIM17_IRQHandler 080022e2 w F .text 00000002 USB_HP_CAN1_TX_IRQHandler @@ -218,7 +218,7 @@ SYMBOL TABLE: 080022d6 w F .text 00000002 DMA1_Channel3_IRQHandler 20003000 g .bss 00000000 __StackTop 080022bc w F .text 00000002 WWDG_IRQHandler -08003080 g F .text 0000001c RCC_APB1PeriphResetCmd +08002df4 g F .text 0000001c RCC_APB1PeriphResetCmd 08002690 g F .text 0000004c LedToggle 080022f4 w F .text 00000002 TIM2_IRQHandler 20003000 g *ABS* 00000000 __stack @@ -229,10 +229,10 @@ SYMBOL TABLE: 0800309c g F .text 00000028 exit 080022d4 w F .text 00000002 DMA1_Channel2_IRQHandler 00000000 w *UND* 00000000 __sf_fake_stdout -08002640 g F .text 0000000c BootComCheckActivationRequest +08002620 g F .text 0000000c BootComCheckActivationRequest 080022e8 w F .text 00000002 CAN1_SCE_IRQHandler 080022c4 w F .text 00000002 FLASH_IRQHandler -08002814 w F .text 00000002 _exit +08002748 w F .text 00000002 _exit 080022b0 w F .text 00000002 BusFault_Handler 080022fe w F .text 00000002 USART1_IRQHandler 080022fa w F .text 00000002 I2C1_ER_IRQHandler diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.srec b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.srec index 23597155..ed7bee41 100644 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.srec +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/bin/demoprog_stm32f303.srec @@ -34,239 +34,239 @@ S315080021F09D46A3F5803A00218B460F461348144A9C S31508002200121A00F085FF0F4B002B00D098470E4B93 S31508002210002B00D098470020002104000D000D482F S31508002220002802D00C48AFF3008000F04BFF2000D6 -S31508002230290000F079F800F031FF00BF000008001F +S31508002230290000F001FA00F031FF00BF0000080095 S315080022400030002000000000000000009C00002074 S315080022500C010020000000000000000007498D4620 S315080022600749084A084B9A42BEBF51F8040B42F880 S31508002270040BF8E70548804705480047003000206A -S315080022806C310008000000209C000020AD270008E3 +S315080022806C310008000000209C0000203530000852 S31508002290E92100081F49204A002301E041F8043BD0 -S315080022A09142FBD300F040F8FEE7FEE7FEE7FEE7C3 +S315080022A09142FBD300F0C8F9FEE7FEE7FEE7FEE73A S315080022B0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7E8 S315080022C0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7D8 S315080022D0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7C8 S315080022E0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7B8 S315080022F0FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE7A8 S31508002300FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE797 -S31508002310FEE700009C0000200C01002008B500F034 -S3150800232095F900F0E1F908BD08B5FFF7F7FF00F0E9 -S3150800233007F900F0ADF900F083F9FAE7F0B50024E3 -S3150800234022E0134D15F814E005EB44056F780EEB03 -S31508002350070606FB00064FF4FA4595FBF6F506FB5D -S3150800236005F5B5F5FA4F0DD195FBF6F6B6B20E8022 -S31508002370013EB6B2B6F5806F04D282F800E01F704F -S315080023800120F0BD0134E4B2112CDAD90020F0BDE9 -S315080023903831000870B588B001214FF4003000F0DC -S315080023A061FE01214FF4003000F04EFE07220221A3 -S315080023B04FF0904000F0F8FA07220F214FF09040B6 -S315080023C000F0F2FA00248DF81E4001258DF81F5002 -S315080023D002268DF81C600423069303238DF81D300E -S315080023E006A94FF0904000F093FA8DF81C604FF460 -S315080023F00043069306A94FF0904000F089FA4FF47F -S315080024006143009301940294039405940C23049366 -S31508002410054C6946204600F0FFF92946204600F09B -S315080024205FFA08B070BD00BF0044004070B58AB0BE -S3150800243001214FF4003000F007FE09220B214FF06E -S31508002440904000F0B1FA09220C214FF0904000F0BC -S31508002450ABFA4FF4C053089302238DF824300323B4 -S315080024608DF8253000248DF8264001258DF8275053 -S3150800247008A94FF0904000F04BFA29464FF000703B -S3150800248000F0F0FD244E304600F0A6FA05A800F04C -S31508002490BBFB6B460DEB05020DF102014FF4FA701A -S315080024A0FFF74CFF8DF81A408DF81B408DF81C403D -S315080024B08DF81D408DF81E408DF81F408DF816408A -S315080024C08DF817409DF80130013B8DF818309DF8BE -S315080024D00030013B8DF81930BDF80230ADF81430E4 -S315080024E005A9304600F084FA8DF80E408DF80F40A5 -S315080024F08DF81050ADF80440ADF80640ADF8084028 -S31508002500ADF80A40ADF80C408DF8115001A800F05E -S3150800251001FB0AB070BD00BF0064004010B5044658 -S315080025202021064800F0ECF9012805D1034800F0FF -S31508002530E3F92070012010BD002010BD00440040C2 -S3150800254008B5FFF727FFFFF771FF08BDBFF34F8FE9 -S315080025500449CA6802F4E062034B1343CB60BFF335 -S315080025604F8FFEE700ED00E00400FA0508B5204BA2 -S315080025701B789BB91F48FFF7D1FF012836D11D4BA1 -S315080025801B78002B32D000F0D1F81B4B18600122C3 -S31508002590174B1A700022194B1A7008BD174B1B7877 -S315080025A0013314481844FFF7B9FF012814D1134A18 -S315080025B013780133DBB213700E4A1278934215D1A1 -S315080025C000220B4B1A700B4B5B78FF2B0ED1094B75 -S315080025D09B785BB9FFF7BAFF00F0A8F8064B1B68B3 -S315080025E06433984202D90022014B1A7008BD00BF15 -S315080025F0FE000020BC000020B8000020FD000020DE -S3150800260000B587B000210D4800F062FB90B101AA21 -S3150800261000210A4800F008FB40F26763019A9A42D3 -S3150800262008D19DF80F30FF2B04D19DF810300BB957 -S31508002630FFF78CFF07B05DF804FB00BF006400409D -S3150800264008B5FFF793FFFFF7DBFF08BD30B583B08A -S3150800265001214FF4802000F0F7FC0825009501239E +S31508002310FEE700009C0000200C010020F0B5002418 +S3150800232022E0134D15F814E005EB44056F780EEB23 +S31508002330070606FB00064FF4FA4595FBF6F506FB7D +S3150800234005F5B5F5FA4F0DD195FBF6F6B6B20E8042 +S31508002350013EB6B2B6F5806F04D282F800E01F706F +S315080023600120F0BD0134E4B2112CDAD90020F0BD09 +S315080023703831000870B588B001214FF4003000F0FC +S315080023802BFD01214FF4003000F018FD0722022131 +S315080023904FF0904000F004FE07220F214FF09040C6 +S315080023A000F0FEFD00248DF81E4001258DF81F5013 +S315080023B002268DF81C600423069303238DF81D302E +S315080023C006A94FF0904000F09FFD8DF81C604FF471 +S315080023D00043069306A94FF0904000F095FD4FF490 +S315080023E06143009301940294039405940C23049387 +S315080023F0054C6946204600F00BFD2946204600F0AC +S315080024006BFD08B070BD00BF0044004070B58AB0CF +S3150800241001214FF4003000F0D1FC09220B214FF0C6 +S31508002420904000F0BDFD09220C214FF0904000F0CD +S31508002430B7FD4FF4C053089302238DF824300323C5 +S315080024408DF8253000248DF8264001258DF8275073 +S3150800245008A94FF0904000F057FD29464FF000704C +S3150800246000F0BAFC244E304600F070F905A800F0DA +S3150800247085FA6B460DEB05020DF102014FF4FA7071 +S31508002480FFF74CFF8DF81A408DF81B408DF81C405D +S315080024908DF81D408DF81E408DF81F408DF81640AA +S315080024A08DF817409DF80130013B8DF818309DF8DE +S315080024B00030013B8DF81930BDF80230ADF8143004 +S315080024C005A9304600F04EF98DF80E408DF80F40FC +S315080024D08DF81050ADF80440ADF80640ADF8084048 +S315080024E0ADF80A40ADF80C408DF8115001A800F07F +S315080024F0CBF90AB070BD00BF0064004010B50446B1 +S315080025002021064800F0F8FC012805D1034800F010 +S31508002510EFFC2070012010BD002010BD00440040D3 +S3150800252008B5FFF727FFFFF771FF08BDBFF34F8F09 +S315080025300449CA6802F4E062034B1343CB60BFF355 +S315080025404F8FFEE700ED00E00400FA0508B5204BC2 +S315080025501B789BB91F48FFF7D1FF012836D11D4BC1 +S315080025601B78002B32D000F0E1F81B4B18600122D3 +S31508002570174B1A700022194B1A7008BD174B1B7897 +S31508002580013314481844FFF7B9FF012814D1134A38 +S3150800259013780133DBB213700E4A1278934215D1C1 +S315080025A000220B4B1A700B4B5B78FF2B0ED1094B95 +S315080025B09B785BB9FFF7BAFF00F0B8F8064B1B68C3 +S315080025C06433984202D90022014B1A7008BD00BF35 +S315080025D0FE000020BC000020B8000020FD000020FE +S315080025E000B587B000210D4800F02CFA90B101AA79 +S315080025F000210A4800F0D2F940F26763019A9A422C +S3150800260008D19DF80F30FF2B04D19DF810300BB977 +S31508002610FFF78CFF07B05DF804FB00BF00640040BD +S3150800262008B5FFF793FFFFF7DBFF08BD08B500F015 +S315080026300DF800F059F808BD08B5FFF7F7FFFFF7E2 +S315080026406FFF00F025F8FFF7EBFFFAE730B583B028 +S3150800265001214FF4802000F0B1FB082500950123E5 S315080026608DF8043000238DF8063003228DF80520F6 -S315080026708DF80730054C6946204600F049F9294689 -S31508002680204600F08FF903B030BD00BF00040048B3 +S315080026708DF80730054C6946204600F045FC29468A +S31508002680204600F08BFC03B030BD00BF00040048B4 S3150800269010B500F04BF80E4B1B68C31AB3F5FA7F5A S315080026A014D304460B4B1B783BB90122094B1A700D -S315080026B00821094800F074F906E00022054B1A7053 -S315080026C00821054800F06EF9014B1C6010BD00BFDB +S315080026B00821094800F070FC06E00022054B1A7054 +S315080026C00821054800F06AFC014B1C6010BD00BFDC S315080026D0000100200401002000040048014B186096 S315080026E0704700BF0801002008B50C4B1B680C4A50 S315080026F0A2FB03239B09013BB3F1807F09D2094A58 S315080027005360F021084B83F82310002393600723B6 -S3150800271013600020FFF7E2FF08BD00BF000000209D +S3150800271013600020FFF7E2FF08BD00BF300000206D S31508002720D34D621010E000E000ED00E0014B1868A0 S31508002730704700BF08010020024A1368013313607E -S31508002740704700BF080100201222164B1A60A3F535 -S3150800275080535A685A605A685A605A6842F48062C6 -S315080027605A605A6822F47C125A605A6842F4601217 -S315080027705A601A6842F080721A600B4B1B6813F095 -S31508002780007FFAD0084B5A6822F003025A605A684A -S3150800279042F002025A60044B5B6803F00C03082BF4 -S315080027A0F9D17047002002400010024008B5154ACA -S315080027B0D2F8883043F47003C2F88830124B1A688E -S315080027C042F001021A605968104A0A405A601A68AB -S315080027D022F0847222F480321A601A6822F4802267 -S315080027E01A605A6822F4FE025A60DA6A22F00F0268 -S315080027F0DA62196B064A0A401A6300229A60FFF7E2 -S31508002800A3FF08BD00ED00E0001002400CC07FF8F1 -S31508002810CCFC00FFFEE700BF30B599B004460D4674 -S31508002820036823F001030360436823F440528B686E -S3150800283013434360036823F4B05222F00C0249683C -S31508002840EB6819432B690B4313430360836823F42E -S3150800285040726B691343836001A800F047FA1C4B6A -S315080028609C4201D10F9A0FE01A4B9C4201D1109A53 -S315080028700AE0194B9C4201D1119A05E0174B9C427C -S3150800288001D1129A00E0139A236813F4004F06D078 -S3150800289052002968B2FBF1F301FB132204E0296810 -S315080028A0B2FBF1F301FB13222968B2EB510F00D3F7 -S315080028B00133226812F4004F06D0C3F3420223F014 -S315080028C00F031B041B0C13439BB2A38119B030BD25 -S315080028D0003801400044004000480040004C0040D9 -S315080028E021B1036843F0010303607047036823F0CE -S315080028F001030360704700BF808CC0F3080070476F -S31508002900C3690B4201D0012070470020704700BF01 -S3150800291070B400233FE001229A400C68A24339D1E3 -S315080029200A79013AD2B2012A1CD885685A000324CA -S31508002930944025EA0404846085684C7904FA02F216 -S315080029402A4382608488A5B29AB20124944025EA73 -S31508002950040484808588ADB28C7904FA02F292B2B6 -S315080029602A43828004685A00032505FA02F624EAF7 -S315080029700604046006680C79944034430460C4680D -S315080029809EB27600B54024EA0505CC7904FA02F22F -S315080029902A43C26001330F2BBDD970BC704700BFF4 -S315080029A0816170470185704730B401F007039B00C9 -S315080029B09A40C908083150F821400F2505FA03F353 -S315080029C024EA030340F8213050F821301A4340F82E -S315080029D0212030BC704700BF08B501214FF00070B8 -S315080029E000F04EFB00214FF0007000F049FB08BDD7 -S315080029F082B000230193036823F00203036003688F -S31508002A0043F00103036002E0019B0133019343682D -S31508002A1013F0010F04D1019A6FF07F439A42F3D164 -S31508002A20436813F0010F6FD08B79012B04D103682B -S31508002A3043F08003036003E0036823F08003036028 -S31508002A40CB79012B04D1036843F04003036003E00C -S31508002A50036823F0400303600B7A012B04D1036853 -S31508002A6043F02003036003E0036823F020030360B8 -S31508002A704B7A012B04D1036843F01003036003E08B -S31508002A80036823F0100303608B7A012B04D10368D3 -S31508002A9043F00803036003E0036823F008030360B8 -S31508002AA0CB7A012B04D1036843F00403036003E0E7 -S31508002AB0036823F0040303608A78CB781B0643EA8D -S31508002AC082730A7943EA02434A7943EA02530A8837 -S31508002AD0013A1343C361036823F00103036000232B -S31508002AE0019302E0019B01330193436813F0010F40 -S31508002AF004D0019A6FF07F439A42F3D1436813F0EA -S31508002B00010F03D0002002E0002000E0012002B0FF -S31508002B10704700BF70B4837A012202FA03F3394A78 -S31508002B20D2F8001241F00101C2F80012D2F81C12C4 -S31508002B30DC432140C2F81C12027BB2B9314AD2F8F2 -S31508002B400C122140C2F80C12817AC688458845EADB -S31508002B500645483142F83150857A8688018841EA27 -S31508002B600641483502EBC5025160027B012A16D19F -S31508002B70244AD2F80C121943C2F80C12817A068834 -S31508002B80458845EA0645483142F83150857A8688AF -S31508002B90C18841EA0641483502EBC5025160C27A4E -S31508002BA032B91849D1F804222240C1F8042205E0B6 -S31508002BB01449D1F804221A43C1F8042202892AB911 -S31508002BC01049D1F814221440C1F814420289012A86 -S31508002BD005D10C49D1F814221A43C1F81422427BB4 -S31508002BE0012A05D10749D1F81C221343C1F81C3222 -S31508002BF0044AD2F8003223F00103C2F8003270BC4E -S31508002C00704700BF0064004000238371C3710372DC -S31508002C1043728372C3728370C370032303710223E2 -S31508002C20437101230380704710B401F11B031B0194 -S31508002C30C35803F00403DBB2137233B901F11B0363 -S31508002C401B01C3585B0D136005E001F11B031B0153 -S31508002C50C358DB08536001F11B031B01C418C35892 -S31508002C6003F002035372636803F00F0393726368F9 -S31508002C701B0AD37400EB0113D3F8B841D472D3F806 -S31508002C80B841240A1473D3F8B841240C5473D3F802 -S31508002C90B841240E9473D3F8BC41D473D3F8BC411D -S31508002CA0240A1474D3F8BC41240C5474D3F8BC31E8 -S31508002CB01B0E937421B9C36843F02003C36003E075 -S31508002CC0036943F0200303615DF8044B704700BFB6 -S31508002CD019B9C06800F003007047012903D10069DB -S31508002CE000F0030070470020704700BFF0B5A04B06 -S31508002CF05B6803F00C03042B06D0082B08D003BB33 -S31508002D009C4B036000241FE09A4B036000241BE0E1 -S31508002D10974B5C685A68C4F38344A31C12F4803F3B -S31508002D2003D1954C04FB03F409E0914AD26A02F0F8 -S31508002D300F0201328F4CB4FBF2F404FB03F4046077 -S31508002D4002E08C4B03600024894D6B68C3F30313C0 -S31508002D50DFF828E21EF80370FFB2026822FA07F3CA -S31508002D6043606968C1F302211EF80110C9B223FA4B -S31508002D7001F181606E68C6F3C2261EF80660F6B2D7 -S31508002D80F340C360ED6A2D0905F00F0CDFF8F0E19A -S31508002D903EF81CE01FFA8EFE15F0100F06D0BEF1A5 -S31508002DA0000F03D0B4FBFEF5056100E00261704D2B -S31508002DB0ED6A6D0A05F00F0CDFF8C4E13EF81CE079 -S31508002DC01FFA8EFE15F0100F06D0BEF1000F03D0C5 -S31508002DD0B4FBFEF5456100E04261654D2D6B15F0CB -S31508002DE0100F02D1634D856100E08261604D2D6B45 -S31508002DF015F0200F02D15F4DC56100E0C2615C4D40 -S31508002E002D6B15F0400F02D15A4D056200E00262A3 -S31508002E10574D2D6B15F4807F06D0944204D1B742E6 -S31508002E2002D16500456200E04362514D2D6B15F4F1 -S31508002E30805F06D0944204D1B74202D1650085620C -S31508002E4000E083624A4D2D6B15F4007F06D094424C -S31508002E5004D1B74202D16500C56200E0C362444DA1 -S31508002E602D6B15F4806F06D0944204D1B74202D177 -S31508002E706500C56400E0C3643D4D2D6B15F4006F15 -S31508002E8006D0944204D1B74202D16500056500E038 -S31508002E900365374D2D6B15F4005F06D0944204D1B7 -S31508002EA0B74202D16500456500E00365304D2D6BDC -S31508002EB015F4004F06D0944204D1B74202D16400FB -S31508002EC0846500E083652A4B1B6B13F0030F01D161 -S31508002ED0816319E0264B1B6B03F00303012B01D119 -S31508002EE0826311E0224B1B6B03F00303022B03D111 -S31508002EF04FF40043836307E01D4B1B6B03F003038A -S31508002F00032B01D11B4B8363194B1B6B13F4403FF7 -S31508002F1001D1C1631CE0164B1B6B03F44033B3F5B8 -S31508002F20803F01D1C26313E0114B1B6B03F440339E -S31508002F30B3F5003F03D14FF40043C36308E00C4BDD -S31508002F401B6B03F44033B3F5403F01D1094BC36310 -S31508002F50074B1B6B13F4402F01D1016426E0044B89 -S31508002F601B6B03F44023B3F5802F0BD102641DE0DD -S31508002F700010024000127A0000093D0024000020DB -S31508002F80040000202E4B1B6B03F44023B3F5002FDF -S31508002F9003D14FF40043036408E0294B1B6B03F489 -S31508002FA04023B3F5402F01D1264B0364244B1B6BFA -S31508002FB013F4401F01D141641CE0214B1B6B03F441 -S31508002FC04013B3F5801F01D1426413E01C4B1B6B01 -S31508002FD003F44013B3F5001F03D14FF400434364D1 -S31508002FE008E0174B1B6B03F44013B3F5401F01D1E0 -S31508002FF0144B4364124B1B6B13F4400F01D18164CD -S31508003000F0BD0F4B1B6B03F44003B3F5800F01D1E2 -S315080030108264F0BD0A4B1B6B03F44003B3F5000F43 -S3150800302003D14FF400438364F0BD054B1B6B03F4D7 -S315080030304003B3F5400F01D1024B8364F0BD00BFD6 -S315080030400010024000127A0021B1054B5A6910435C -S3150800305058617047024A536923EA00005061704775 -S315080030600010024021B1054BDA691043D861704758 -S31508003070024AD36923EA0000D06170470010024073 -S3150800308021B1054B1A69104318617047024A136942 -S3150800309023EA0000106170470010024008B5074B8C +S31508002740704700BF08010020FEE700BF08B5012159 +S315080027504FF0007000F04EFB00214FF0007000F0C3 +S3150800276049FB08BD82B000230193036823F00203E6 +S315080027700360036843F00103036002E0019B013331 +S315080027800193436813F0010F04D1019A6FF07F4358 +S315080027909A42F3D1436813F0010F6FD08B79012B5E +S315080027A004D1036843F08003036003E0036823F061 +S315080027B080030360CB79012B04D1036843F04003FF +S315080027C0036003E0036823F0400303600B7A012BE0 +S315080027D004D1036843F02003036003E0036823F091 +S315080027E0200303604B7A012B04D1036843F01003DE +S315080027F0036003E0036823F0100303608B7A012B60 +S3150800280004D1036843F00803036003E0036823F078 +S3150800281008030360CB7A012B04D1036843F0040351 +S31508002820036003E0036823F0040303608A78CB7827 +S315080028301B0643EA82730A7943EA02434A7943EA62 +S3150800284002530A88013A1343C361036823F001035C +S3150800285003600023019302E0019B0133019343685F +S3150800286013F0010F04D0019A6FF07F439A42F3D117 +S31508002870436813F0010F03D0002002E0002000E0B7 +S31508002880012002B0704700BF70B4837A012202FAB1 +S3150800289003F3394AD2F8001241F00101C2F80012D6 +S315080028A0D2F81C12DC432140C2F81C12027BB2B9D2 +S315080028B0314AD2F80C122140C2F80C12817AC68825 +S315080028C0458845EA0645483142F83150857A868872 +S315080028D0018841EA0641483502EBC5025160027B90 +S315080028E0012A16D1244AD2F80C121943C2F80C123E +S315080028F0817A0688458845EA0645483142F83150C6 +S31508002900857A8688C18841EA0641483502EBC502C0 +S315080029105160C27A32B91849D1F804222240C1F866 +S31508002920042205E01449D1F804221A43C1F8042206 +S3150800293002892AB91049D1F814221440C1F8144260 +S315080029400289012A05D10C49D1F814221A43C1F883 +S315080029501422427B012A05D10749D1F81C221343C8 +S31508002960C1F81C32044AD2F8003223F00103C2F837 +S31508002970003270BC704700BF0064004000238371BA +S31508002980C371037243728372C3728370C370032365 +S3150800299003710223437101230380704710B401F1C8 +S315080029A01B031B01C35803F00403DBB2137233B9CC +S315080029B001F11B031B01C3585B0D136005E001F110 +S315080029C01B031B01C358DB08536001F11B031B01E2 +S315080029D0C418C35803F002035372636803F00F0365 +S315080029E0937263681B0AD37400EB0113D3F8B841DA +S315080029F0D472D3F8B841240A1473D3F8B841240C16 +S31508002A005473D3F8B841240E9473D3F8BC41D473E5 +S31508002A10D3F8BC41240A1474D3F8BC41240C54746A +S31508002A20D3F8BC311B0E937421B9C36843F0200355 +S31508002A30C36003E0036943F0200303615DF8044BB8 +S31508002A40704700BF19B9C06800F003007047012934 +S31508002A5003D1006900F0030070470020704700BFEB +S31508002A60F0B5A04B5B6803F00C03042B06D0082BCB +S31508002A7008D003BB9C4B036000241FE09A4B0360FD +S31508002A8000241BE0974B5C685A68C4F38344A31C74 +S31508002A9012F4803F03D1954C04FB03F409E0914AF4 +S31508002AA0D26A02F00F0201328F4CB4FBF2F404FB37 +S31508002AB003F4046002E08C4B03600024894D6B68C4 +S31508002AC0C3F30313DFF828E21EF80370FFB20268A7 +S31508002AD022FA07F343606968C1F302211EF8011060 +S31508002AE0C9B223FA01F181606E68C6F3C2261EF8E0 +S31508002AF00660F6B2F340C360ED6A2D0905F00F0CC7 +S31508002B00DFF8F0E13EF81CE01FFA8EFE15F0100F14 +S31508002B1006D0BEF1000F03D0B4FBFEF5056100E058 +S31508002B200261704DED6A6D0A05F00F0CDFF8C4E11D +S31508002B303EF81CE01FFA8EFE15F0100F06D0BEF107 +S31508002B40000F03D0B4FBFEF5456100E04261654D18 +S31508002B502D6B15F0100F02D1634D856100E082617F +S31508002B60604D2D6B15F0200F02D15F4DC56100E059 +S31508002B70C2615C4D2D6B15F0400F02D15A4D0562AE +S31508002B8000E00262574D2D6B15F4807F06D0944203 +S31508002B9004D1B74202D16500456200E04362514D57 +S31508002BA02D6B15F4805F06D0944204D1B74202D14A +S31508002BB06500856200E083624A4D2D6B15F4007F3F +S31508002BC006D0944204D1B74202D16500C56200E03E +S31508002BD0C362444D2D6B15F4806F06D0944204D120 +S31508002BE0B74202D16500C56400E0C3643D4D2D6B54 +S31508002BF015F4006F06D0944204D1B74202D165009D +S31508002C00056500E00365374D2D6B15F4005F06D0AA +S31508002C10944204D1B74202D16500456500E00365D8 +S31508002C20304D2D6B15F4004F06D0944204D1B742AF +S31508002C3002D16400846500E083652A4B1B6B13F0A0 +S31508002C40030F01D1816319E0264B1B6B03F00303C5 +S31508002C50012B01D1826311E0224B1B6B03F00303A6 +S31508002C60022B03D14FF40043836307E01D4B1B6B14 +S31508002C7003F00303032B01D11B4B8363194B1B6B17 +S31508002C8013F4403F01D1C1631CE0164B1B6B03F4E0 +S31508002C904033B3F5803F01D1C26313E0114B1B6B80 +S31508002CA003F44033B3F5003F03D14FF40043C36345 +S31508002CB008E00C4B1B6B03F44033B3F5403F01D1DE +S31508002CC0094BC363074B1B6B13F4402F01D10164F7 +S31508002CD026E0044B1B6B03F44023B3F5802F0BD17E +S31508002CE002641DE00010024000127A0000093D004F +S31508002CF020000020000000202E4B1B6B03F440230D +S31508002D00B3F5002F03D14FF40043036408E0294BC1 +S31508002D101B6B03F44023B3F5402F01D1264B036404 +S31508002D20244B1B6B13F4401F01D141641CE0214B5B +S31508002D301B6B03F44013B3F5801F01D1426413E003 +S31508002D401C4B1B6B03F44013B3F5001F03D14FF460 +S31508002D500043436408E0174B1B6B03F44013B3F5B9 +S31508002D60401F01D1144B4364124B1B6B13F4400FE5 +S31508002D7001D18164F0BD0F4B1B6B03F44003B3F51F +S31508002D80800F01D18264F0BD0A4B1B6B03F440032C +S31508002D90B3F5000F03D14FF400438364F0BD054B30 +S31508002DA01B6B03F44003B3F5400F01D1024B836458 +S31508002DB0F0BD00BF0010024000127A0021B1054B99 +S31508002DC05A69104358617047024A536923EA00005A +S31508002DD0506170470010024021B1054BDA69104373 +S31508002DE0D8617047024AD36923EA0000D061704768 +S31508002DF00010024021B1054B1A691043186170474B +S31508002E00024A136923EA0000106170470010024065 +S31508002E1030B599B004460D46036823F001030360F4 +S31508002E20436823F440528B6813434360036823F4D2 +S31508002E30B05222F00C024968EB6819432B690B4320 +S31508002E4013430360836823F440726B6913438360FA +S31508002E5001A8FFF705FE1C4B9C4201D10F9A0FE013 +S31508002E601A4B9C4201D1109A0AE0194B9C4201D197 +S31508002E70119A05E0174B9C4201D1129A00E0139A69 +S31508002E80236813F4004F06D052002968B2FBF1F309 +S31508002E9001FB132204E02968B2FBF1F301FB1322BC +S31508002EA02968B2EB510F00D30133226812F4004FA0 +S31508002EB006D0C3F3420223F00F031B041B0C134373 +S31508002EC09BB2A38119B030BD0038014000440040D0 +S31508002ED000480040004C004021B1036843F001035C +S31508002EE003607047036823F001030360704700BF5F +S31508002EF0808CC0F308007047C3690B4201D00120DB +S31508002F0070470020704700BF70B400233FE00122DD +S31508002F109A400C68A24339D10A79013AD2B2012AF9 +S31508002F201CD885685A000324944025EA0404846062 +S31508002F3085684C7904FA02F22A4382608488A5B22D +S31508002F409AB20124944025EA040484808588ADB2A7 +S31508002F508C7904FA02F292B22A43828004685A00F3 +S31508002F60032505FA02F624EA0604046006680C79C5 +S31508002F70944034430460C4689EB27600B54024EA9F +S31508002F800505CC7904FA02F22A43C26001330F2BF5 +S31508002F90BDD970BC704700BF816170470185704715 +S31508002FA030B401F007039B009A40C908083150F86D +S31508002FB021400F2505FA03F324EA030340F82130DC +S31508002FC050F821301A4340F8212030BC704700BF22 +S31508002FD01222164B1A60A3F580535A685A605A682B +S31508002FE05A605A6842F480625A605A6822F47C121F +S31508002FF05A605A6842F460125A601A6842F080723F +S315080030001A600B4B1B6813F0007FFAD0084B5A68FE +S3150800301022F003025A605A6842F002025A60044BD0 +S315080030205B6803F00C03082BF9D1704700200240B7 +S315080030300010024008B5154AD2F8883043F47003E8 +S31508003040C2F88830124B1A6842F001021A605968B1 +S31508003050104A0A405A601A6822F0847222F48032B2 +S315080030601A601A6822F480221A605A6822F4FE024C +S315080030705A60DA6A22F00F02DA62196B064A0A40C7 +S315080030801A6300229A60FFF7A3FF08BD00ED00E06F +S31508003090001002400CC07FF8CCFC00FF08B5074BB7 S315080030A0044613B10021AFF30080054B1868836A04 -S315080030B003B198472046FFF7ADFB00BF00000000AC +S315080030B003B198472046FFF747FB00BF0000000012 S315080030C05C31000870B50E4B0E4CE41AA4100025AE S315080030D01E46A54204D056F8253098470135F8E72C S315080030E000F01EF8084C094BE41AA41000251E46E9 @@ -279,10 +279,10 @@ S315080031400803090309040A040B040C040C050D05FD S315080031500E050F050F06100610071008340000208C S30908003160000000005D S30D0800316484F0FF7F0100000062 -S3150800316C0090D003010002000400060008000A00C3 -S3150800317C0C00100020004000800000010000000038 -S3150800318C0000000000000000010203040102030411 -S3150800319C06070809000000000000000000000000F7 +S3150800316C010002000400060008000A000C0010000A +S3150800317C2000400080000001000000000000000054 +S3150800318C00000000010203040102030406070809F3 +S3150800319C0090D003000000000000000000000000B2 S315080031AC0000000000000000000000000000000005 S315080031BC00000000000000000000000000000000F5 S315080031CC00000000000000000000000000000000E5 diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/makefile b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/makefile index 33373f66..2d813d59 100644 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/makefile +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_GCC/Prog/makefile @@ -38,78 +38,18 @@ TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ #|--------------------------------------------------------------------------------------| -#| Speficy project source files | +#| Collect project files | #|--------------------------------------------------------------------------------------| -PROJ_FILES= \ -main.c \ -header.h \ -boot.h \ -boot.c \ -led.h \ -led.c \ -timer.h \ -timer.c \ -startup_stm32f30x.S \ -lib/stm32f30x_conf.h \ -lib/stm32f30x.h \ -lib/system_stm32f30x.h \ -lib/system_stm32f30x.c \ -lib/newlib/_exit.c \ -lib/cmsis/core_cmSimd.h \ -lib/cmsis/core_cmFunc.h \ -lib/cmsis/core_cmInstr.h \ -lib/cmsis/arm_const_structs.h \ -lib/cmsis/core_cm4.h \ -lib/cmsis/arm_common_tables.h \ -lib/cmsis/arm_math.h \ -lib/SPL/inc/stm32f30x_opamp.h \ -lib/SPL/inc/stm32f30x_dma.h \ -lib/SPL/inc/stm32f30x_pwr.h \ -lib/SPL/inc/stm32f30x_iwdg.h \ -lib/SPL/inc/stm32f30x_comp.h \ -lib/SPL/inc/stm32f30x_syscfg.h \ -lib/SPL/inc/stm32f30x_spi.h \ -lib/SPL/inc/stm32f30x_rcc.h \ -lib/SPL/inc/stm32f30x_usart.h \ -lib/SPL/inc/stm32f30x_adc.h \ -lib/SPL/inc/stm32f30x_rtc.h \ -lib/SPL/inc/stm32f30x_wwdg.h \ -lib/SPL/inc/stm32f30x_fmc.h \ -lib/SPL/inc/stm32f30x_dac.h \ -lib/SPL/inc/stm32f30x_exti.h \ -lib/SPL/inc/stm32f30x_dbgmcu.h \ -lib/SPL/inc/stm32f30x_i2c.h \ -lib/SPL/inc/stm32f30x_misc.h \ -lib/SPL/inc/stm32f30x_gpio.h \ -lib/SPL/inc/stm32f30x_can.h \ -lib/SPL/inc/stm32f30x_flash.h \ -lib/SPL/inc/stm32f30x_crc.h \ -lib/SPL/inc/stm32f30x_hrtim.h \ -lib/SPL/inc/stm32f30x_tim.h \ -lib/SPL/src/stm32f30x_dbgmcu.c \ -lib/SPL/src/stm32f30x_opamp.c \ -lib/SPL/src/stm32f30x_rtc.c \ -lib/SPL/src/stm32f30x_misc.c \ -lib/SPL/src/stm32f30x_wwdg.c \ -lib/SPL/src/stm32f30x_iwdg.c \ -lib/SPL/src/stm32f30x_flash.c \ -lib/SPL/src/stm32f30x_pwr.c \ -lib/SPL/src/stm32f30x_crc.c \ -lib/SPL/src/stm32f30x_tim.c \ -lib/SPL/src/stm32f30x_adc.c \ -lib/SPL/src/stm32f30x_hrtim.c \ -lib/SPL/src/stm32f30x_usart.c \ -lib/SPL/src/stm32f30x_spi.c \ -lib/SPL/src/stm32f30x_dac.c \ -lib/SPL/src/stm32f30x_gpio.c \ -lib/SPL/src/stm32f30x_can.c \ -lib/SPL/src/stm32f30x_exti.c \ -lib/SPL/src/stm32f30x_fmc.c \ -lib/SPL/src/stm32f30x_rcc.c \ -lib/SPL/src/stm32f30x_syscfg.c \ -lib/SPL/src/stm32f30x_i2c.c \ -lib/SPL/src/stm32f30x_comp.c \ -lib/SPL/src/stm32f30x_dma.c +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories +PROJ_FILES = $(call rwildcard, , *.c *.h *.S) + #|--------------------------------------------------------------------------------------| #| Toolchain binaries | @@ -124,7 +64,7 @@ SZ = $(TOOL_PATH)arm-none-eabi-size #|--------------------------------------------------------------------------------------| -#| Extract file names | +#| Filter project files #|--------------------------------------------------------------------------------------| PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file))))