- Fixed bug where main() was incorrectly called in Startup() for HCS12 targets.

- Added support for BDM debugging of the demo program for HCS12 targets.


git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@63 5dc33758-31d5-4daf-9ae8-b24bf3d40d73
This commit is contained in:
Frank Voorburg 2013-10-09 09:17:10 +00:00
parent 7b50dd0771
commit b1860f43d5
21 changed files with 960 additions and 876 deletions

View File

@ -1,5 +1,5 @@
[sci]
port=5
port=3
baudrate=8
[xcp]
seedkey=

View File

@ -1,150 +1,142 @@
S0720000433A5C576F726B5C736F6674776172655C4F70656E424C545C5461726765745C44656D6F5C48435331325F457662706C75735F447261676F6E3132705F436F646557617272696F725C426F6F745C62696E5C6F70656E626C745F657662706C75735F647261676F6E3132702E61627366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S2240FFF80F551F557F55DF563F569F56FF575F57BF581F587F58DF593F599F59FF5A5F5AB1D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S21A0FFEE0790011CC09395B105A12CF390016E82916EACC06E9B2ED
S2240FFF80F61AF620F626F62CF632F638F63EF644F64AF650F656F65CF662F668F66EF6747D
S2240FFFA0F67AF680F686F68CF692F698F69EF6A4F6AAF6B0F6B6F6BCF6C2F6C8F6CEF6D45D
S2240FFFC0F6DAF6E0F6E6F6ECF6F2F6F8F6FEF704F70AF710F716F71CF722F728F72EF73434
S2240FFFE0F73AF740F746F74CF752F758F75EF764F76AF770F776F77CF782F788F78EF6079B
S9030000FC

View File

@ -1,150 +1,142 @@
S0720000433A5C576F726B5C736F6674776172655C4F70656E424C545C5461726765745C44656D6F5C48435331325F457662706C75735F447261676F6E3132705F436F646557617272696F725C426F6F745C62696E5C6F70656E626C745F657662706C75735F647261676F6E3132702E61627366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S123FF80F551F557F55DF563F569F56FF575F57BF581F587F58DF593F599F59FF5A5F5AB2D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S119FEE0790011CC09395B105A12CF390016E82916EACC06E9B2FD
S123FF80F61AF620F626F62CF632F638F63EF644F64AF650F656F65CF662F668F66EF6748D
S123FFA0F67AF680F686F68CF692F698F69EF6A4F6AAF6B0F6B6F6BCF6C2F6C8F6CEF6D46D
S123FFC0F6DAF6E0F6E6F6ECF6F2F6F8F6FEF704F70AF710F716F71CF722F728F72EF73444
S123FFE0F73AF740F746F74CF752F758F75EF764F76AF770F776F77CF782F788F78EF607AB
S9030000FC

View File

@ -24,33 +24,33 @@ cpu.c.o Model: SMALL, Lang: ANSI-C
flash.c.o Model: SMALL, Lang: ANSI-C
nvm.c.o Model: SMALL, Lang: ANSI-C
timer.c.o Model: SMALL, Lang: ANSI-C
uart.c.o Model: SMALL, Lang: ANSI-C
vectors.c.o Model: SMALL, Lang: ANSI-C
assert.c.o Model: SMALL, Lang: ANSI-C
can.c.o Model: SMALL, Lang: ANSI-C
cstartup.c.o Model: SMALL, Lang: ANSI-C
*********************************************************************************************
STARTUP SECTION
---------------------------------------------------------------------------------------------
Entry point: 0xE829 (_Startup)
_startupData is allocated at 0xE838 and uses 6 Bytes
_startupData is allocated at 0xE82C and uses 6 Bytes
extern struct _tagStartup {
unsigned nofZeroOut 1
_Range pZeroOut 0x3900 1097
_Copy *toCopyDownBeg 0xF9D9
_Range pZeroOut 0x3900 1276
_Copy *toCopyDownBeg 0xF8C8
} _startupData;
*********************************************************************************************
SECTION-ALLOCATION SECTION
Section Name Size Type From To Segment
---------------------------------------------------------------------------------------------
.init 56 R 0xE800 0xE837 ROM_C000
.startData 10 R 0xE838 0xE841 ROM_C000
.rodata 226 R 0xE842 0xE923 ROM_C000
.rodata1 189 R 0xE924 0xE9E0 ROM_C000
.text 3800 R 0xE9E1 0xF8B8 ROM_C000
.copy 2 R 0xF9D9 0xF9DA ROM_C000
ENTRY 12 R 0xFEF0 0xFEFB ENTRY_SEG
.init 44 R 0xE800 0xE82B ROM_C000
.startData 10 R 0xE82C 0xE835 ROM_C000
.rodata 190 R 0xE836 0xE8F3 ROM_C000
.rodata1 190 R 0xE8F4 0xE9B1 ROM_C000
.text 3574 R 0xE9B2 0xF7A7 ROM_C000
.copy 2 R 0xF8C8 0xF8C9 ROM_C000
ENTRY 22 R 0xFEE0 0xFEF5 ENTRY_SEG
.stack 256 R/W 0x3800 0x38FF RAM
.abs_section_8 1 N/I 0x8 0x8 .absSeg0
.abs_section_9 1 N/I 0x9 0x9 .absSeg1
@ -394,12 +394,12 @@ ENTRY 12 R 0xFEF0 0xFEFB ENTRY_SEG
.abs_section_2ae 2 N/I 0x2AE 0x2AF .absSeg339
.abs_section_2be 2 N/I 0x2BE 0x2BF .absSeg340
.abs_section_ff80 128 R 0xFF80 0xFFFF .absSeg341
.bss 1097 R/W 0x3900 0x3D48 RAM
RUNTIME 288 R 0xF8B9 0xF9D8 ROM_C000
.bss 1276 R/W 0x3900 0x3DFB RAM
RUNTIME 288 R 0xF7A8 0xF8C7 ROM_C000
Summary of section sizes per section type:
READ_ONLY (R): 1267 (dec: 4711)
READ_WRITE (R/W): 549 (dec: 1353)
READ_ONLY (R): 1160 (dec: 4448)
READ_WRITE (R/W): 5FC (dec: 1532)
NO_INIT (N/I): 190 (dec: 400)
*********************************************************************************************
@ -413,15 +413,15 @@ OBJECT-ALLOCATION SECTION
---------------------------------------------------------------------------------------------
MODULE: -- rtshc12.c.o (ansisi.lib) --
- PROCEDURES:
_LSHL F8B9 11 17 3 RUNTIME
_LSHRU F8CA 11 17 6 RUNTIME
_LCMP F8DB 19 25 4 RUNTIME
_LINC F8F4 5 5 4 RUNTIME
_LDEC F8F9 8 8 6 RUNTIME
_lDivMod F901 BE 190 1 RUNTIME
_LDIVU F9BF E 14 1 RUNTIME
_ILSEXT F9CD 7 7 1 RUNTIME
_CASE_DIRECT_BYTE F9D4 5 5 3 RUNTIME
_LSHL F7A8 11 17 3 RUNTIME
_LSHRU F7B9 11 17 5 RUNTIME
_LCMP F7CA 19 25 4 RUNTIME
_LINC F7E3 5 5 4 RUNTIME
_LDEC F7E8 8 8 6 RUNTIME
_lDivMod F7F0 BE 190 1 RUNTIME
_LDIVU F8AE E 14 1 RUNTIME
_ILSEXT F8BC 7 7 1 RUNTIME
_CASE_DIRECT_BYTE F8C3 5 5 3 RUNTIME
- VARIABLES:
MODULE: -- mc9s12dg256.c.o --
- PROCEDURES:
@ -769,205 +769,208 @@ MODULE: -- mc9s12dg256.c.o --
_CAN4TXTSR 2BE 2 2 0 .abs_section_2be
MODULE: -- main.c.o --
- PROCEDURES:
main E9E1 B 11 3 .text
Init E9EC 79 121 1 .text
main E9B2 B 11 2 .text
Init E9BD 79 121 1 .text
- VARIABLES:
STRING.C..Work.software.Ope.1 E924 55 85 1 .rodata1
STRING.C..Work.software.Ope.1 E8F4 55 85 1 .rodata1
MODULE: -- backdoor.c.o --
- PROCEDURES:
BackDoorInit EA65 11 17 1 .text
BackDoorCheck EA76 31 49 2 .text
BackDoorInit EA36 11 17 1 .text
BackDoorCheck EA47 31 49 2 .text
- VARIABLES:
backdoorOpen 3900 1 1 3 .bss
backdoorOpenTime 3901 4 4 4 .bss
MODULE: -- boot.c.o --
- PROCEDURES:
BootInit EAA7 F 15 1 .text
BootTask EAB6 C 12 1 .text
BootInit EA78 F 15 1 .text
BootTask EA87 C 12 1 .text
- VARIABLES:
MODULE: -- com.c.o --
- PROCEDURES:
ComInit EAC2 19 25 1 .text
ComTask EADB 10 16 1 .text
ComFree EAEB 1 1 1 .text
ComTransmitPacket EAEC F 15 1 .text
ComSetConnectEntryState EAFB 6 6 1 .text
ComIsConnected EB01 4 4 1 .text
ComInit EA93 19 25 1 .text
ComTask EAAC 10 16 1 .text
ComFree EABC 1 1 1 .text
ComTransmitPacket EABD F 15 1 .text
ComSetConnectEntryState EACC 6 6 1 .text
ComIsConnected EAD2 4 4 1 .text
- VARIABLES:
comEntryStateConnect 3905 1 1 2 .bss
xcpCtoReqPacket.1 3906 8 8 2 .bss
xcpCtoReqPacket.1 3906 40 64 2 .bss
MODULE: -- cop.c.o --
- PROCEDURES:
CopInit EB05 1 1 1 .text
CopService EB06 1 1 8 .text
CopInit EAD6 1 1 1 .text
CopService EAD7 1 1 8 .text
- VARIABLES:
MODULE: -- xcp.c.o --
- PROCEDURES:
XcpInit EB07 15 21 1 .text
XcpIsConnected EB1C 9 9 1 .text
XcpPacketTransmitted EB25 4 4 1 .text
XcpPacketReceived EB29 E7 231 2 .text
XcpTransmitPacket EC10 C 12 1 .text
XcpComputeChecksum EC1C 43 67 1 .text
XcpProtectResources EC5F 4 4 2 .text
XcpSetCtoError EC63 10 16 9 .text
XcpCmdConnect EC73 29 41 1 .text
XcpCmdDisconnect EC9C 10 16 1 .text
XcpCmdGetStatus ECAC 1A 26 1 .text
XcpCmdSynch ECC6 4 4 1 .text
XcpCmdGetId ECCA 22 34 1 .text
XcpCmdSetMta ECEC 1A 26 1 .text
XcpCmdUpload ED06 4E 78 1 .text
XcpCmdShortUpload ED54 55 85 1 .text
XcpCmdBuildCheckSum EDA9 2C 44 1 .text
XcpCmdProgramStart EDD5 18 24 1 .text
XcpCmdProgramMax EDED 43 67 1 .text
XcpCmdProgram EE30 5B 91 1 .text
XcpCmdProgramClear EE8B 2A 42 1 .text
XcpCmdProgramReset EEB5 E 14 1 .text
XcpCmdProgramPrepare EEC3 5 5 1 .text
XcpInit EAD8 15 21 1 .text
XcpIsConnected EAED 9 9 1 .text
XcpPacketTransmitted EAF6 4 4 1 .text
XcpPacketReceived EAFA E7 231 2 .text
XcpTransmitPacket EBE1 C 12 1 .text
XcpComputeChecksum EBED 43 67 1 .text
XcpProtectResources EC30 4 4 2 .text
XcpSetCtoError EC34 10 16 9 .text
XcpCmdConnect EC44 2A 42 1 .text
XcpCmdDisconnect EC6E 10 16 1 .text
XcpCmdGetStatus EC7E 1A 26 1 .text
XcpCmdSynch EC98 4 4 1 .text
XcpCmdGetId EC9C 22 34 1 .text
XcpCmdSetMta ECBE 1A 26 1 .text
XcpCmdUpload ECD8 4E 78 1 .text
XcpCmdShortUpload ED26 55 85 1 .text
XcpCmdBuildCheckSum ED7B 2C 44 1 .text
XcpCmdProgramStart EDA7 19 25 1 .text
XcpCmdProgramMax EDC0 43 67 1 .text
XcpCmdProgram EE03 5B 91 1 .text
XcpCmdProgramClear EE5E 2A 42 1 .text
XcpCmdProgramReset EE88 E 14 1 .text
XcpCmdProgramPrepare EE96 5 5 1 .text
- VARIABLES:
xcpStationId E842 8 8 1 .rodata
xcpInfo 390E 12 18 102 .bss
xcpStationId E836 8 8 1 .rodata
xcpInfo 3946 4A 74 102 .bss
MODULE: -- cpu.c.o --
- PROCEDURES:
CpuStartUserProgram EEC8 18 24 1 .text
CpuMemCopy EEE0 27 39 3 .text
CpuReset EF07 3 3 1 .text
CpuStartUserProgram EE9B 18 24 1 .text
CpuMemCopy EEB3 27 39 4 .text
CpuReset EEDA 3 3 1 .text
- VARIABLES:
MODULE: -- flash.c.o --
- PROCEDURES:
FlashInit EF0A 74 116 1 .text
FlashWrite EF7E B6 182 2 .text
FlashErase F034 DD 221 1 .text
FlashWriteChecksum F111 67 103 1 .text
FlashVerifyChecksum F178 8A 138 1 .text
FlashDone F202 35 53 1 .text
FlashInitBlock F237 51 81 2 .text
FlashSwitchBlock F288 4E 78 2 .text
FlashAddToBlock F2D6 BD 189 1 .text
FlashWriteBlock F393 78 120 3 .text
FlashGetLinearAddrByte F40B 1D 29 5 .text
FlashGetPhysPage F428 C 12 3 .text
FlashGetPhysAddr F434 6 6 3 .text
FlashExecuteCommand F43A 1F 31 1 .text
FlashOperate F459 54 84 2 .text
FlashInit EEDD 74 116 1 .text
FlashWrite EF51 B6 182 2 .text
FlashErase F007 DD 221 1 .text
FlashWriteChecksum F0E4 67 103 1 .text
FlashVerifyChecksum F14B 8A 138 1 .text
FlashDone F1D5 35 53 1 .text
FlashInitBlock F20A 51 81 2 .text
FlashSwitchBlock F25B 4E 78 2 .text
FlashAddToBlock F2A9 BD 189 1 .text
FlashWriteBlock F366 78 120 3 .text
FlashGetLinearAddrByte F3DE 1D 29 5 .text
FlashGetPhysPage F3FB C 12 3 .text
FlashGetPhysAddr F407 6 6 3 .text
FlashExecuteCommand F40D 1F 31 1 .text
FlashOperate F42C 54 84 2 .text
- VARIABLES:
flashLayout E84A A0 160 39 .rodata
flashExecCmd E8EA 16 22 1 .rodata
STRING.C..Work.software.Ope.1 E979 35 53 1 .rodata1
blockInfo 3920 204 516 7 .bss
bootBlockInfo 3B24 204 516 11 .bss
flashExecCmdRam 3D28 16 22 2 .bss
flashMaxNrBlocks 3D3E 1 1 1 .bss
flashLayout E83E A0 160 39 .rodata
flashExecCmd E8DE 16 22 1 .rodata
STRING.C..Work.software.Ope.1 E949 35 53 1 .rodata1
blockInfo 3990 204 516 7 .bss
bootBlockInfo 3B94 204 516 11 .bss
flashExecCmdRam 3D98 16 22 2 .bss
flashMaxNrBlocks 3DAE 1 1 1 .bss
MODULE: -- nvm.c.o --
- PROCEDURES:
NvmInit F4AD 3 3 1 .text
NvmWrite F4B0 16 22 2 .text
NvmErase F4C6 12 18 1 .text
NvmVerifyChecksum F4D8 4 4 1 .text
NvmDone F4DC B 11 1 .text
NvmInit F480 3 3 1 .text
NvmWrite F483 16 22 2 .text
NvmErase F499 12 18 1 .text
NvmVerifyChecksum F4AB 4 4 1 .text
NvmDone F4AF B 11 1 .text
- VARIABLES:
MODULE: -- timer.c.o --
- PROCEDURES:
TimerInit F4E7 1D 29 1 .text
TimerReset F504 1C 28 2 .text
TimerUpdate F520 1F 31 2 .text
TimerGet F53F 9 9 2 .text
TimerInit F4BA 1D 29 1 .text
TimerReset F4D7 1C 28 2 .text
TimerUpdate F4F3 1F 31 2 .text
TimerGet F512 9 9 2 .text
- VARIABLES:
millisecond_counter 3D3F 4 4 8 .bss
millisecond_counter 3DAF 4 4 8 .bss
MODULE: -- uart.c.o --
- PROCEDURES:
UartInit F51B 19 25 1 .text
UartTransmitPacket F534 5E 94 1 .text
UartReceivePacket F592 50 80 1 .text
UartReceiveByte F5E2 11 17 2 .text
UartTransmitByte F5F3 14 20 2 .text
- VARIABLES:
STRING.C..Work.software.Ope.1 E97E 34 52 3 .rodata1
xcpCtoRxInProgress.4 3DB3 1 1 3 .bss
xcpCtoReqPacket.2 3DB4 41 65 4 .bss
xcpCtoRxLength.3 3DF5 1 1 5 .bss
MODULE: -- vectors.c.o --
- PROCEDURES:
reset_handler F548 9 9 2 .text
Vector0_handler F551 6 6 1 .text
Vector1_handler F557 6 6 1 .text
Vector2_handler F55D 6 6 1 .text
Vector3_handler F563 6 6 1 .text
Vector4_handler F569 6 6 1 .text
Vector5_handler F56F 6 6 1 .text
Vector6_handler F575 6 6 1 .text
Vector7_handler F57B 6 6 1 .text
Vector8_handler F581 6 6 1 .text
Vector9_handler F587 6 6 1 .text
Vector10_handler F58D 6 6 1 .text
Vector11_handler F593 6 6 1 .text
Vector12_handler F599 6 6 1 .text
Vector13_handler F59F 6 6 1 .text
Vector14_handler F5A5 6 6 1 .text
Vector15_handler F5AB 6 6 1 .text
Vector16_handler F5B1 6 6 1 .text
Vector17_handler F5B7 6 6 1 .text
Vector18_handler F5BD 6 6 1 .text
Vector19_handler F5C3 6 6 1 .text
Vector20_handler F5C9 6 6 1 .text
Vector21_handler F5CF 6 6 1 .text
Vector22_handler F5D5 6 6 1 .text
Vector23_handler F5DB 6 6 1 .text
Vector24_handler F5E1 6 6 1 .text
Vector25_handler F5E7 6 6 1 .text
Vector26_handler F5ED 6 6 1 .text
Vector27_handler F5F3 6 6 1 .text
Vector28_handler F5F9 6 6 1 .text
Vector29_handler F5FF 6 6 1 .text
Vector30_handler F605 6 6 1 .text
Vector31_handler F60B 6 6 1 .text
Vector32_handler F611 6 6 1 .text
Vector33_handler F617 6 6 1 .text
Vector34_handler F61D 6 6 1 .text
Vector35_handler F623 6 6 1 .text
Vector36_handler F629 6 6 1 .text
Vector37_handler F62F 6 6 1 .text
Vector38_handler F635 6 6 1 .text
Vector39_handler F63B 6 6 1 .text
Vector40_handler F641 6 6 1 .text
Vector41_handler F647 6 6 1 .text
Vector42_handler F64D 6 6 1 .text
Vector43_handler F653 6 6 1 .text
Vector44_handler F659 6 6 1 .text
Vector45_handler F65F 6 6 1 .text
Vector46_handler F665 6 6 1 .text
Vector47_handler F66B 6 6 1 .text
Vector48_handler F671 6 6 1 .text
Vector49_handler F677 6 6 1 .text
Vector50_handler F67D 6 6 1 .text
Vector51_handler F683 6 6 1 .text
Vector52_handler F689 6 6 1 .text
Vector53_handler F68F 6 6 1 .text
Vector54_handler F695 6 6 1 .text
Vector55_handler F69B 6 6 1 .text
Vector56_handler F6A1 6 6 1 .text
Vector57_handler F6A7 6 6 1 .text
Vector58_handler F6AD 6 6 1 .text
Vector59_handler F6B3 6 6 1 .text
Vector60_handler F6B9 6 6 1 .text
Vector61_handler F6BF 6 6 1 .text
Vector62_handler F6C5 6 6 1 .text
reset_connected_handler FEF0 C 12 0 ENTRY
reset_handler F607 13 19 2 .text
Vector0_handler F61A 6 6 1 .text
Vector1_handler F620 6 6 1 .text
Vector2_handler F626 6 6 1 .text
Vector3_handler F62C 6 6 1 .text
Vector4_handler F632 6 6 1 .text
Vector5_handler F638 6 6 1 .text
Vector6_handler F63E 6 6 1 .text
Vector7_handler F644 6 6 1 .text
Vector8_handler F64A 6 6 1 .text
Vector9_handler F650 6 6 1 .text
Vector10_handler F656 6 6 1 .text
Vector11_handler F65C 6 6 1 .text
Vector12_handler F662 6 6 1 .text
Vector13_handler F668 6 6 1 .text
Vector14_handler F66E 6 6 1 .text
Vector15_handler F674 6 6 1 .text
Vector16_handler F67A 6 6 1 .text
Vector17_handler F680 6 6 1 .text
Vector18_handler F686 6 6 1 .text
Vector19_handler F68C 6 6 1 .text
Vector20_handler F692 6 6 1 .text
Vector21_handler F698 6 6 1 .text
Vector22_handler F69E 6 6 1 .text
Vector23_handler F6A4 6 6 1 .text
Vector24_handler F6AA 6 6 1 .text
Vector25_handler F6B0 6 6 1 .text
Vector26_handler F6B6 6 6 1 .text
Vector27_handler F6BC 6 6 1 .text
Vector28_handler F6C2 6 6 1 .text
Vector29_handler F6C8 6 6 1 .text
Vector30_handler F6CE 6 6 1 .text
Vector31_handler F6D4 6 6 1 .text
Vector32_handler F6DA 6 6 1 .text
Vector33_handler F6E0 6 6 1 .text
Vector34_handler F6E6 6 6 1 .text
Vector35_handler F6EC 6 6 1 .text
Vector36_handler F6F2 6 6 1 .text
Vector37_handler F6F8 6 6 1 .text
Vector38_handler F6FE 6 6 1 .text
Vector39_handler F704 6 6 1 .text
Vector40_handler F70A 6 6 1 .text
Vector41_handler F710 6 6 1 .text
Vector42_handler F716 6 6 1 .text
Vector43_handler F71C 6 6 1 .text
Vector44_handler F722 6 6 1 .text
Vector45_handler F728 6 6 1 .text
Vector46_handler F72E 6 6 1 .text
Vector47_handler F734 6 6 1 .text
Vector48_handler F73A 6 6 1 .text
Vector49_handler F740 6 6 1 .text
Vector50_handler F746 6 6 1 .text
Vector51_handler F74C 6 6 1 .text
Vector52_handler F752 6 6 1 .text
Vector53_handler F758 6 6 1 .text
Vector54_handler F75E 6 6 1 .text
Vector55_handler F764 6 6 1 .text
Vector56_handler F76A 6 6 1 .text
Vector57_handler F770 6 6 1 .text
Vector58_handler F776 6 6 1 .text
Vector59_handler F77C 6 6 1 .text
Vector60_handler F782 6 6 1 .text
Vector61_handler F788 6 6 1 .text
Vector62_handler F78E 6 6 1 .text
reset_connected_handler FEE0 16 22 0 ENTRY
- VARIABLES:
_vectab FF80 80 128 0 .abs_section_ff80
- LABELS:
__SEG_END_SSTACK 3900 0 0 2
MODULE: -- assert.c.o --
- PROCEDURES:
AssertFailure F6CB 14 20 4 .text
AssertFailure F794 14 20 5 .text
- VARIABLES:
assert_failure_file 3D43 2 2 1 .bss
assert_failure_line 3D45 4 4 2 .bss
MODULE: -- can.c.o --
- PROCEDURES:
CanInit F6DF 9C 156 1 .text
CanTransmitPacket F77B 51 81 1 .text
CanReceivePacket F7CC 84 132 1 .text
CanGetSpeedConfig F850 69 105 1 .text
- VARIABLES:
canTiming E900 24 36 4 .rodata
STRING.C..Work.software.Ope.1 E9AE 33 51 2 .rodata1
assert_failure_file 3DF6 2 2 1 .bss
assert_failure_line 3DF8 4 4 2 .bss
MODULE: -- cstartup.c.o --
- PROCEDURES:
Init E800 29 41 1 .init
_Startup E829 F 15 2 .init
_Startup E829 3 3 2 .init
- VARIABLES:
_startupData E838 6 6 3 .startData
_startupData E82C 6 6 3 .startData
*********************************************************************************************
MODULE STATISTIC
@ -978,17 +981,17 @@ MODULE STATISTIC
main.c.o 0 132 85
backdoor.c.o 5 66 0
boot.c.o 0 27 0
com.c.o 9 67 0
com.c.o 65 67 0
cop.c.o 0 2 0
xcp.c.o 18 961 8
xcp.c.o 74 963 8
cpu.c.o 0 66 0
flash.c.o 1055 1443 235
nvm.c.o 0 58 0
timer.c.o 4 97 0
vectors.c.o 0 399 128
uart.c.o 67 236 52
vectors.c.o 0 419 128
assert.c.o 6 20 0
can.c.o 0 474 87
cstartup.c.o 0 56 0
cstartup.c.o 0 44 0
other 256 10 2
*********************************************************************************************
@ -1007,7 +1010,8 @@ SECTION: ".text"
FlashVerifyChecksum FlashDone FlashInitBlock FlashSwitchBlock FlashAddToBlock
FlashWriteBlock FlashGetLinearAddrByte FlashGetPhysPage FlashGetPhysAddr
FlashExecuteCommand FlashOperate NvmInit NvmWrite NvmErase NvmVerifyChecksum
NvmDone TimerInit TimerReset TimerUpdate TimerGet reset_handler
NvmDone TimerInit TimerReset TimerUpdate TimerGet UartInit UartTransmitPacket
UartReceivePacket UartReceiveByte UartTransmitByte reset_handler
Vector0_handler Vector1_handler Vector2_handler Vector3_handler
Vector4_handler Vector5_handler Vector6_handler Vector7_handler
Vector8_handler Vector9_handler Vector10_handler Vector11_handler
@ -1023,16 +1027,16 @@ SECTION: ".text"
Vector48_handler Vector49_handler Vector50_handler Vector51_handler
Vector52_handler Vector53_handler Vector54_handler Vector55_handler
Vector56_handler Vector57_handler Vector58_handler Vector59_handler
Vector60_handler Vector61_handler Vector62_handler AssertFailure CanInit
CanTransmitPacket CanReceivePacket CanGetSpeedConfig
Vector60_handler Vector61_handler Vector62_handler AssertFailure
SECTION: ".bss"
backdoorOpen backdoorOpenTime comEntryStateConnect xcpCtoReqPacket.1 xcpInfo
blockInfo bootBlockInfo flashExecCmdRam flashMaxNrBlocks millisecond_counter
assert_failure_file assert_failure_line
xcpCtoRxInProgress.4 xcpCtoReqPacket.2 xcpCtoRxLength.3 assert_failure_file
assert_failure_line
SECTION: ".init"
Init _Startup
SECTION: ".rodata"
xcpStationId flashLayout flashExecCmd canTiming
xcpStationId flashLayout flashExecCmd
SECTION: ".rodata1"
STRING.C..Work.software.Ope.1 STRING.C..Work.software.Ope.1
STRING.C..Work.software.Ope.1
@ -2073,167 +2077,170 @@ OBJECT LIST SORTED BY ADDRESS
backdoorOpen 3900 1 1 3 .bss
backdoorOpenTime 3901 4 4 4 .bss
comEntryStateConnect 3905 1 1 2 .bss
xcpCtoReqPacket.1 3906 8 8 2 .bss
xcpInfo 390E 12 18 102 .bss
blockInfo 3920 204 516 7 .bss
bootBlockInfo 3B24 204 516 11 .bss
flashExecCmdRam 3D28 16 22 2 .bss
flashMaxNrBlocks 3D3E 1 1 1 .bss
millisecond_counter 3D3F 4 4 8 .bss
assert_failure_file 3D43 2 2 1 .bss
assert_failure_line 3D45 4 4 2 .bss
xcpCtoReqPacket.1 3906 40 64 2 .bss
xcpInfo 3946 4A 74 102 .bss
blockInfo 3990 204 516 7 .bss
bootBlockInfo 3B94 204 516 11 .bss
flashExecCmdRam 3D98 16 22 2 .bss
flashMaxNrBlocks 3DAE 1 1 1 .bss
millisecond_counter 3DAF 4 4 8 .bss
xcpCtoRxInProgress.4 3DB3 1 1 3 .bss
xcpCtoReqPacket.2 3DB4 41 65 4 .bss
xcpCtoRxLength.3 3DF5 1 1 5 .bss
assert_failure_file 3DF6 2 2 1 .bss
assert_failure_line 3DF8 4 4 2 .bss
Init E800 29 41 1 .init
_Startup E829 F 15 2 .init
xcpStationId E842 8 8 1 .rodata
flashLayout E84A A0 160 39 .rodata
flashExecCmd E8EA 16 22 1 .rodata
canTiming E900 24 36 4 .rodata
STRING.C..Work.software.Ope.1 E924 55 85 1 .rodata1
STRING.C..Work.software.Ope.1 E979 35 53 1 .rodata1
STRING.C..Work.software.Ope.1 E9AE 33 51 2 .rodata1
main E9E1 B 11 3 .text
Init E9EC 79 121 1 .text
BackDoorInit EA65 11 17 1 .text
BackDoorCheck EA76 31 49 2 .text
BootInit EAA7 F 15 1 .text
BootTask EAB6 C 12 1 .text
ComInit EAC2 19 25 1 .text
ComTask EADB 10 16 1 .text
ComFree EAEB 1 1 1 .text
ComTransmitPacket EAEC F 15 1 .text
ComSetConnectEntryState EAFB 6 6 1 .text
ComIsConnected EB01 4 4 1 .text
CopInit EB05 1 1 1 .text
CopService EB06 1 1 8 .text
XcpInit EB07 15 21 1 .text
XcpIsConnected EB1C 9 9 1 .text
XcpPacketTransmitted EB25 4 4 1 .text
XcpPacketReceived EB29 E7 231 2 .text
XcpTransmitPacket EC10 C 12 1 .text
XcpComputeChecksum EC1C 43 67 1 .text
XcpProtectResources EC5F 4 4 2 .text
XcpSetCtoError EC63 10 16 9 .text
XcpCmdConnect EC73 29 41 1 .text
XcpCmdDisconnect EC9C 10 16 1 .text
XcpCmdGetStatus ECAC 1A 26 1 .text
XcpCmdSynch ECC6 4 4 1 .text
XcpCmdGetId ECCA 22 34 1 .text
XcpCmdSetMta ECEC 1A 26 1 .text
XcpCmdUpload ED06 4E 78 1 .text
XcpCmdShortUpload ED54 55 85 1 .text
XcpCmdBuildCheckSum EDA9 2C 44 1 .text
XcpCmdProgramStart EDD5 18 24 1 .text
XcpCmdProgramMax EDED 43 67 1 .text
XcpCmdProgram EE30 5B 91 1 .text
XcpCmdProgramClear EE8B 2A 42 1 .text
XcpCmdProgramReset EEB5 E 14 1 .text
XcpCmdProgramPrepare EEC3 5 5 1 .text
CpuStartUserProgram EEC8 18 24 1 .text
CpuMemCopy EEE0 27 39 3 .text
CpuReset EF07 3 3 1 .text
FlashInit EF0A 74 116 1 .text
FlashWrite EF7E B6 182 2 .text
FlashErase F034 DD 221 1 .text
FlashWriteChecksum F111 67 103 1 .text
FlashVerifyChecksum F178 8A 138 1 .text
FlashDone F202 35 53 1 .text
FlashInitBlock F237 51 81 2 .text
FlashSwitchBlock F288 4E 78 2 .text
FlashAddToBlock F2D6 BD 189 1 .text
FlashWriteBlock F393 78 120 3 .text
FlashGetLinearAddrByte F40B 1D 29 5 .text
FlashGetPhysPage F428 C 12 3 .text
FlashGetPhysAddr F434 6 6 3 .text
FlashExecuteCommand F43A 1F 31 1 .text
FlashOperate F459 54 84 2 .text
NvmInit F4AD 3 3 1 .text
NvmWrite F4B0 16 22 2 .text
NvmErase F4C6 12 18 1 .text
NvmVerifyChecksum F4D8 4 4 1 .text
NvmDone F4DC B 11 1 .text
TimerInit F4E7 1D 29 1 .text
TimerReset F504 1C 28 2 .text
TimerUpdate F520 1F 31 2 .text
TimerGet F53F 9 9 2 .text
reset_handler F548 9 9 2 .text
Vector0_handler F551 6 6 1 .text
Vector1_handler F557 6 6 1 .text
Vector2_handler F55D 6 6 1 .text
Vector3_handler F563 6 6 1 .text
Vector4_handler F569 6 6 1 .text
Vector5_handler F56F 6 6 1 .text
Vector6_handler F575 6 6 1 .text
Vector7_handler F57B 6 6 1 .text
Vector8_handler F581 6 6 1 .text
Vector9_handler F587 6 6 1 .text
Vector10_handler F58D 6 6 1 .text
Vector11_handler F593 6 6 1 .text
Vector12_handler F599 6 6 1 .text
Vector13_handler F59F 6 6 1 .text
Vector14_handler F5A5 6 6 1 .text
Vector15_handler F5AB 6 6 1 .text
Vector16_handler F5B1 6 6 1 .text
Vector17_handler F5B7 6 6 1 .text
Vector18_handler F5BD 6 6 1 .text
Vector19_handler F5C3 6 6 1 .text
Vector20_handler F5C9 6 6 1 .text
Vector21_handler F5CF 6 6 1 .text
Vector22_handler F5D5 6 6 1 .text
Vector23_handler F5DB 6 6 1 .text
Vector24_handler F5E1 6 6 1 .text
Vector25_handler F5E7 6 6 1 .text
Vector26_handler F5ED 6 6 1 .text
Vector27_handler F5F3 6 6 1 .text
Vector28_handler F5F9 6 6 1 .text
Vector29_handler F5FF 6 6 1 .text
Vector30_handler F605 6 6 1 .text
Vector31_handler F60B 6 6 1 .text
Vector32_handler F611 6 6 1 .text
Vector33_handler F617 6 6 1 .text
Vector34_handler F61D 6 6 1 .text
Vector35_handler F623 6 6 1 .text
Vector36_handler F629 6 6 1 .text
Vector37_handler F62F 6 6 1 .text
Vector38_handler F635 6 6 1 .text
Vector39_handler F63B 6 6 1 .text
Vector40_handler F641 6 6 1 .text
Vector41_handler F647 6 6 1 .text
Vector42_handler F64D 6 6 1 .text
Vector43_handler F653 6 6 1 .text
Vector44_handler F659 6 6 1 .text
Vector45_handler F65F 6 6 1 .text
Vector46_handler F665 6 6 1 .text
Vector47_handler F66B 6 6 1 .text
Vector48_handler F671 6 6 1 .text
Vector49_handler F677 6 6 1 .text
Vector50_handler F67D 6 6 1 .text
Vector51_handler F683 6 6 1 .text
Vector52_handler F689 6 6 1 .text
Vector53_handler F68F 6 6 1 .text
Vector54_handler F695 6 6 1 .text
Vector55_handler F69B 6 6 1 .text
Vector56_handler F6A1 6 6 1 .text
Vector57_handler F6A7 6 6 1 .text
Vector58_handler F6AD 6 6 1 .text
Vector59_handler F6B3 6 6 1 .text
Vector60_handler F6B9 6 6 1 .text
Vector61_handler F6BF 6 6 1 .text
Vector62_handler F6C5 6 6 1 .text
AssertFailure F6CB 14 20 4 .text
CanInit F6DF 9C 156 1 .text
CanTransmitPacket F77B 51 81 1 .text
CanReceivePacket F7CC 84 132 1 .text
CanGetSpeedConfig F850 69 105 1 .text
_LSHL F8B9 11 17 3 RUNTIME
_LSHRU F8CA 11 17 6 RUNTIME
_LCMP F8DB 19 25 4 RUNTIME
_LINC F8F4 5 5 4 RUNTIME
_LDEC F8F9 8 8 6 RUNTIME
_lDivMod F901 BE 190 1 RUNTIME
_LDIVU F9BF E 14 1 RUNTIME
_ILSEXT F9CD 7 7 1 RUNTIME
_CASE_DIRECT_BYTE F9D4 5 5 3 RUNTIME
reset_connected_handler FEF0 C 12 0 ENTRY
_Startup E829 3 3 2 .init
xcpStationId E836 8 8 1 .rodata
flashLayout E83E A0 160 39 .rodata
flashExecCmd E8DE 16 22 1 .rodata
STRING.C..Work.software.Ope.1 E8F4 55 85 1 .rodata1
STRING.C..Work.software.Ope.1 E949 35 53 1 .rodata1
STRING.C..Work.software.Ope.1 E97E 34 52 3 .rodata1
main E9B2 B 11 2 .text
Init E9BD 79 121 1 .text
BackDoorInit EA36 11 17 1 .text
BackDoorCheck EA47 31 49 2 .text
BootInit EA78 F 15 1 .text
BootTask EA87 C 12 1 .text
ComInit EA93 19 25 1 .text
ComTask EAAC 10 16 1 .text
ComFree EABC 1 1 1 .text
ComTransmitPacket EABD F 15 1 .text
ComSetConnectEntryState EACC 6 6 1 .text
ComIsConnected EAD2 4 4 1 .text
CopInit EAD6 1 1 1 .text
CopService EAD7 1 1 8 .text
XcpInit EAD8 15 21 1 .text
XcpIsConnected EAED 9 9 1 .text
XcpPacketTransmitted EAF6 4 4 1 .text
XcpPacketReceived EAFA E7 231 2 .text
XcpTransmitPacket EBE1 C 12 1 .text
XcpComputeChecksum EBED 43 67 1 .text
XcpProtectResources EC30 4 4 2 .text
XcpSetCtoError EC34 10 16 9 .text
XcpCmdConnect EC44 2A 42 1 .text
XcpCmdDisconnect EC6E 10 16 1 .text
XcpCmdGetStatus EC7E 1A 26 1 .text
XcpCmdSynch EC98 4 4 1 .text
XcpCmdGetId EC9C 22 34 1 .text
XcpCmdSetMta ECBE 1A 26 1 .text
XcpCmdUpload ECD8 4E 78 1 .text
XcpCmdShortUpload ED26 55 85 1 .text
XcpCmdBuildCheckSum ED7B 2C 44 1 .text
XcpCmdProgramStart EDA7 19 25 1 .text
XcpCmdProgramMax EDC0 43 67 1 .text
XcpCmdProgram EE03 5B 91 1 .text
XcpCmdProgramClear EE5E 2A 42 1 .text
XcpCmdProgramReset EE88 E 14 1 .text
XcpCmdProgramPrepare EE96 5 5 1 .text
CpuStartUserProgram EE9B 18 24 1 .text
CpuMemCopy EEB3 27 39 4 .text
CpuReset EEDA 3 3 1 .text
FlashInit EEDD 74 116 1 .text
FlashWrite EF51 B6 182 2 .text
FlashErase F007 DD 221 1 .text
FlashWriteChecksum F0E4 67 103 1 .text
FlashVerifyChecksum F14B 8A 138 1 .text
FlashDone F1D5 35 53 1 .text
FlashInitBlock F20A 51 81 2 .text
FlashSwitchBlock F25B 4E 78 2 .text
FlashAddToBlock F2A9 BD 189 1 .text
FlashWriteBlock F366 78 120 3 .text
FlashGetLinearAddrByte F3DE 1D 29 5 .text
FlashGetPhysPage F3FB C 12 3 .text
FlashGetPhysAddr F407 6 6 3 .text
FlashExecuteCommand F40D 1F 31 1 .text
FlashOperate F42C 54 84 2 .text
NvmInit F480 3 3 1 .text
NvmWrite F483 16 22 2 .text
NvmErase F499 12 18 1 .text
NvmVerifyChecksum F4AB 4 4 1 .text
NvmDone F4AF B 11 1 .text
TimerInit F4BA 1D 29 1 .text
TimerReset F4D7 1C 28 2 .text
TimerUpdate F4F3 1F 31 2 .text
TimerGet F512 9 9 2 .text
UartInit F51B 19 25 1 .text
UartTransmitPacket F534 5E 94 1 .text
UartReceivePacket F592 50 80 1 .text
UartReceiveByte F5E2 11 17 2 .text
UartTransmitByte F5F3 14 20 2 .text
reset_handler F607 13 19 2 .text
Vector0_handler F61A 6 6 1 .text
Vector1_handler F620 6 6 1 .text
Vector2_handler F626 6 6 1 .text
Vector3_handler F62C 6 6 1 .text
Vector4_handler F632 6 6 1 .text
Vector5_handler F638 6 6 1 .text
Vector6_handler F63E 6 6 1 .text
Vector7_handler F644 6 6 1 .text
Vector8_handler F64A 6 6 1 .text
Vector9_handler F650 6 6 1 .text
Vector10_handler F656 6 6 1 .text
Vector11_handler F65C 6 6 1 .text
Vector12_handler F662 6 6 1 .text
Vector13_handler F668 6 6 1 .text
Vector14_handler F66E 6 6 1 .text
Vector15_handler F674 6 6 1 .text
Vector16_handler F67A 6 6 1 .text
Vector17_handler F680 6 6 1 .text
Vector18_handler F686 6 6 1 .text
Vector19_handler F68C 6 6 1 .text
Vector20_handler F692 6 6 1 .text
Vector21_handler F698 6 6 1 .text
Vector22_handler F69E 6 6 1 .text
Vector23_handler F6A4 6 6 1 .text
Vector24_handler F6AA 6 6 1 .text
Vector25_handler F6B0 6 6 1 .text
Vector26_handler F6B6 6 6 1 .text
Vector27_handler F6BC 6 6 1 .text
Vector28_handler F6C2 6 6 1 .text
Vector29_handler F6C8 6 6 1 .text
Vector30_handler F6CE 6 6 1 .text
Vector31_handler F6D4 6 6 1 .text
Vector32_handler F6DA 6 6 1 .text
Vector33_handler F6E0 6 6 1 .text
Vector34_handler F6E6 6 6 1 .text
Vector35_handler F6EC 6 6 1 .text
Vector36_handler F6F2 6 6 1 .text
Vector37_handler F6F8 6 6 1 .text
Vector38_handler F6FE 6 6 1 .text
Vector39_handler F704 6 6 1 .text
Vector40_handler F70A 6 6 1 .text
Vector41_handler F710 6 6 1 .text
Vector42_handler F716 6 6 1 .text
Vector43_handler F71C 6 6 1 .text
Vector44_handler F722 6 6 1 .text
Vector45_handler F728 6 6 1 .text
Vector46_handler F72E 6 6 1 .text
Vector47_handler F734 6 6 1 .text
Vector48_handler F73A 6 6 1 .text
Vector49_handler F740 6 6 1 .text
Vector50_handler F746 6 6 1 .text
Vector51_handler F74C 6 6 1 .text
Vector52_handler F752 6 6 1 .text
Vector53_handler F758 6 6 1 .text
Vector54_handler F75E 6 6 1 .text
Vector55_handler F764 6 6 1 .text
Vector56_handler F76A 6 6 1 .text
Vector57_handler F770 6 6 1 .text
Vector58_handler F776 6 6 1 .text
Vector59_handler F77C 6 6 1 .text
Vector60_handler F782 6 6 1 .text
Vector61_handler F788 6 6 1 .text
Vector62_handler F78E 6 6 1 .text
AssertFailure F794 14 20 5 .text
_LSHL F7A8 11 17 3 RUNTIME
_LSHRU F7B9 11 17 5 RUNTIME
_LCMP F7CA 19 25 4 RUNTIME
_LINC F7E3 5 5 4 RUNTIME
_LDEC F7E8 8 8 6 RUNTIME
_lDivMod F7F0 BE 190 1 RUNTIME
_LDIVU F8AE E 14 1 RUNTIME
_ILSEXT F8BC 7 7 1 RUNTIME
_CASE_DIRECT_BYTE F8C3 5 5 3 RUNTIME
reset_connected_handler FEE0 16 22 0 ENTRY
_vectab FF80 80 128 0 .abs_section_ff80
*********************************************************************************************
@ -2255,7 +2262,7 @@ rtshc12.c.o (ansisi.lib):
*********************************************************************************************
COPYDOWN SECTION
---------------------------------------------------------------------------------------------
------- ROM-ADDRESS: 0xF9D9 ---- SIZE 2 ---
------- ROM-ADDRESS: 0xF8C8 ---- SIZE 2 ---
Filling bytes inserted
0000
@ -2263,7 +2270,7 @@ Filling bytes inserted
OBJECT-DEPENDENCIES SECTION
---------------------------------------------------------------------------------------------
Init USES _startupData
_Startup USES Init main
_Startup USES Init
main USES Init BootInit BootTask
Init USES _CLKSEL _ILSEXT _LDIVU
STRING.C..Work.software.Ope.1 AssertFailure _SYNR _REFDV _CRGFLG
@ -2273,11 +2280,11 @@ BackDoorCheck USES ComIsConnected backdoorOpen TimerGet
backdoorOpenTime _LCMP CpuStartUserProgram
BootInit USES CopInit TimerInit NvmInit ComInit BackDoorInit
BootTask USES CopService TimerUpdate ComTask BackDoorCheck
ComInit USES XcpInit CanInit comEntryStateConnect
ComInit USES XcpInit UartInit comEntryStateConnect
XcpPacketReceived
ComTask USES xcpCtoReqPacket.1 CanReceivePacket
ComTask USES xcpCtoReqPacket.1 UartReceivePacket
XcpPacketReceived
ComTransmitPacket USES CanTransmitPacket XcpPacketTransmitted
ComTransmitPacket USES UartTransmitPacket XcpPacketTransmitted
ComSetConnectEntryState USES comEntryStateConnect
ComIsConnected USES XcpIsConnected
XcpInit USES xcpInfo
@ -2340,15 +2347,14 @@ NvmDone USES FlashWriteChecksum FlashDone
TimerInit USES TimerReset millisecond_counter
TimerUpdate USES millisecond_counter _LINC
TimerGet USES TimerUpdate millisecond_counter
UartTransmitPacket USES STRING.C..Work.software.Ope.1 AssertFailure
UartTransmitByte CopService
UartReceivePacket USES xcpCtoRxInProgress.4 xcpCtoReqPacket.2
UartReceiveByte xcpCtoRxLength.3 CpuMemCopy
UartTransmitByte USES CopService
reset_handler USES __SEG_END_SSTACK _Startup main
AssertFailure USES assert_failure_file assert_failure_line
CopService
CanInit USES CanGetSpeedConfig STRING.C..Work.software.Ope.1
AssertFailure
CanTransmitPacket USES STRING.C..Work.software.Ope.1 AssertFailure
CopService
CanReceivePacket USES _LSHRU
CanGetSpeedConfig USES canTiming CopService
_LDIVU USES _lDivMod
reset_connected_handler USES __SEG_END_SSTACK _Startup
ComSetConnectEntryState main
@ -2412,14 +2418,8 @@ DEPENDENCY TREE
| | | |
| | | +- XcpInit
| | | |
| | | +- CanInit
| | | | |
| | | | +- CanGetSpeedConfig
| | | | | |
| | | | | +- CopService (see above)
| | | | |
| | | | +- AssertFailure (see above)
| | | |
| | | +- UartInit
| | | |
| | | +- XcpPacketReceived (recursive dependency)
| | | |
| | | +- XcpCmdConnect
@ -2570,12 +2570,10 @@ DEPENDENCY TREE
| | | | |
| | | | +- reset_handler (recursive dependency)
| | | | |
| | | | +- _Startup (recursive dependency)
| | | | +- _Startup
| | | | | |
| | | | | +- Init
| | | | | |
| | | | | +- main (see above) (recursive dependency)
| | | | |
| | | | |
| | | | +- main (see above) (recursive dependency)
| | | |
| | | +- XcpCmdProgramPrepare
@ -2588,10 +2586,14 @@ DEPENDENCY TREE
| | | |
| | | +- ComTransmitPacket
| | | |
| | | +- CanTransmitPacket
| | | +- UartTransmitPacket
| | | | |
| | | | +- AssertFailure (see above)
| | | | |
| | | | +- UartTransmitByte
| | | | | |
| | | | | +- CopService (see above)
| | | | |
| | | | +- CopService (see above)
| | | |
| | | +- XcpPacketTransmitted
@ -2636,15 +2638,17 @@ DEPENDENCY TREE
| |
| +- ComTask
| | |
| | +- CanReceivePacket
| | +- UartReceivePacket
| | | |
| | | +- _LSHRU (see above)
| | | +- UartReceiveByte
| | | |
| | | +- CpuMemCopy (see above)
| | |
| | +- XcpPacketReceived (see above) (recursive dependency)
| |
| +- BackDoorCheck (see above)
|
+- _Startup (see above) (recursive dependency)
+- _Startup (see above)
_vectab
|
@ -2778,7 +2782,7 @@ DEPENDENCY TREE
reset_connected_handler
|
+- _Startup (see above) (recursive dependency)
+- _Startup (see above)
|
+- ComSetConnectEntryState
|
@ -2790,6 +2794,6 @@ STATISTIC SECTION
ExeFile:
--------
Number of blocks to be downloaded: 6
Total size of all blocks to be downloaded: 4711
Number of blocks to be downloaded: 7
Total size of all blocks to be downloaded: 4448

View File

@ -74,7 +74,7 @@
*
*/
/** \brief Enable/disable CAN transport layer. */
#define BOOT_COM_CAN_ENABLE (1)
#define BOOT_COM_CAN_ENABLE (0)
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
@ -97,7 +97,7 @@
*
*/
/** \brief Enable/disable UART transport layer. */
#define BOOT_COM_UART_ENABLE (0)
#define BOOT_COM_UART_ENABLE (1)
/** \brief Configure the desired communication speed. */
#define BOOT_COM_UART_BAUDRATE (57600)
/** \brief Configure number of bytes in the target->host data packet. */

View File

@ -35,7 +35,7 @@ Target=icd12
Layout=C_layout.hwl
LoadDialogOptions=AUTOERASEANDFLASH RUNANDSTOPAFTERLOAD="main"
CPU=HC12
MainFrame=2,3,-1,-31,-1,-1,200,200,1640,967
MainFrame=2,3,-1,-1,-1,-1,200,200,1640,967
TOOLBAR=57600 57601 32795 0 57635 57634 57637 0 57671 57669 0 32777 32776 32782 32780 32781 32778 0 32806
AEFWarningDialog=FALSE

View File

@ -1,30 +1,21 @@
S0730000433A5C576F726B5C736F6674776172655C4F70656E424C545C5461726765745C44656D6F5C48435331325F457662706C75735F447261676F6E3132705F436F646557617272696F725C50726F675C62696E5C64656D6F70726F675F657662706C75735F647261676F6E3132702E616273F8
S2240FC000FEC033FDC031270E35ED31EC3169700434FB310326F2FEC035EC31270BED3118B8
S2240FC0200A30700434F920F13DCF110007D206C2280001C037C2D31100000905020602065E
S2240FC0400307030803090309040A040B040C040C050D050E050F050F061006100710083B8F
S2240FC06016C25DCCFEF06C8015F300003A3D6CAC3B6984E6848759B745E6E2C03B87B74685
S2240FC080E6E2C03C19EE02B764ED8A136C80CC1F40B756EE80181004642ECC1F40EE801819
S2240FC0A010B7516B852721C140221D53EE886B00E6EAC03C53861012A6EAC03B4337AAB082
S2240FC0C0EE826A00C60120096284E684C11225A3C71B863D1B96C6017B01401F014101FB61
S2240FC0E0C6807B0141CC01F43B1A82341A85B75416C06E1B8404210AE6807B0142E6817B9B
S2240FC10001431D014B101D014B20C7876C886C8653436C84861F6C82790150C6087B015113
S2240FC120790152790153C6FF7B0154C6F77B0155C6FF7B0156587B0157790158790159794F
S2240FC140015A79015B577B015CC6E77B015D79015E79015F1D0140011E014101FB1B8A3D98
S2240FC1601B9C1F014401641E01610810FC016049494949496C82C7876C80202EFE0162FCF6
S2240FC1800160C4078749B7C54656CD00003BFC0160C4E03BC60334EE8216C2A4EA85AA84AD
S2240FC1A06C88B754EA81AA806CA5EC828C06672616EC802612F6016404A10CF601652607EA
S2240FC1C01D0144FE16C05F1D0144FE1B843D10EF3D1C026A021D0268024C03014D01013D4F
S2240FC1E01B9C16C2806C826E80B31103B7C5F21102B21101CD01F435B746C7873BB754B7F5
S2240FC2006516C2B5251FF61100260AC6017B11004C010120067911004D0101EC827C1103FF
S2240FC220EC807C11011B843D16C23616C0D416C1E016C16020F816C1D116C23F06C1CE16EC
S2240FC240C25D4C4001C6015B4EDC44C35DC05C504C4C014C4680C787B74506C27979004C07
S2240FC26079004679004D79004079004779004879004979004A79004B3D7C11077E11053D00
S2240FC280FC1107FE11053DC6015B4EDC50C35DC05C50FC1107FE110516C2CE7C11077E110C
S2240FC2A0050B20FE87D7B7C6270AB7C549B7C546560436F63DAC84270E34B7C5E285A28444
S2190FC2C0B7C510FB302002AE82311B84054004A401083D000049
S2240FE77EAA55C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A28C
S2240FE79EC2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A207
S2240FE7BEC2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2E7
S2240FE7DEC2A2C2A2C2A2C2A2C2A2C2A2C2A2C2A2C287C2A2C2A2C2A2C2A2C2A2C2A2C2A2E2
S2240FC000FEC03DFDC03B270E35ED31EC3169700434FB310326F2FEC03FEC31270BED31189A
S2240FC0200A30700434F920F13DCF1100790011CC09395B105A1207C806C11C0001C041C1FF
S2240FC040BA1100004C3B16C155CCFEE06C8015F300003A3D7900CB7900CA7900C87900C92F
S2240FC060C61A873B5AC85BC94CCB043A3DF611002612CC110116C0B1042135C6017B1100E1
S2240FC0807911423DF6114287C3000187C3110116C0B104211B721142F61142F11101261085
S2240FC0A0791100F6110204A107F61103260207953D3B4FCC2009D6CFEE806B00C60121C776
S2240FC0C0303D10EF3D1C026A021D0268024C03014D01013D1B9C16C1786C826E80B31146C8
S2240FC0E0B7C5F21145B21144CD01F435B746C7873BB754B76516C19C251FF61143260AC6C6
S2240FC100017B11434C010120067911434D0101EC827C1146EC807C11441B843D16C12A163A
S2240FC120C05416C0D416C06D20F816C13616C0C516C13706C0C23D16C1554C4001C6015B7C
S2240FC1404EDC44C35DC05C504C4C014C4680C787B74506C17179004C79004679004D7900E1
S2240FC1604079004779004879004979004A79004B3D7C114A7E11483DFC114AFE11483DC6C8
S2240FC180015B4EDC50C35DC05C50FC114AFE114816C1B57C114A7E11480B20FEAC84270EB3
S2200FC1A034B7C5E285A284B7C510FB302002AE82311B84054004A401083D000026
S2240FE77EAA55C19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19A13
S2240FE79EC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19A97
S2240FE7BEC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19AC19A77
S2240FE7DEC19AC19AC19AC19AC19AC19AC19AC19AC17FC19AC19AC19AC19AC19AC19AC19A72
S2060FE7FEC0291C
S9030000FC

View File

@ -18,30 +18,29 @@ boot.c.o Model: SMALL, Lang: ANSI-C
irq.c.o Model: SMALL, Lang: ANSI-C
led.c.o Model: SMALL, Lang: ANSI-C
main.c.o Model: SMALL, Lang: ANSI-C
start12.c.o Model: SMALL, Lang: ANSI-C
time.c.o Model: SMALL, Lang: ANSI-C
vectors.c.o Model: SMALL, Lang: ANSI-C
cstartup.c.o Model: SMALL, Lang: ANSI-C
*********************************************************************************************
STARTUP SECTION
---------------------------------------------------------------------------------------------
Entry point: 0xC029 (_Startup)
_startupData is allocated at 0xC031 and uses 6 Bytes
_startupData is allocated at 0xC03B and uses 6 Bytes
extern struct _tagStartup {
unsigned nofZeroOut 1
_Range pZeroOut 0x1100 9
_Copy *toCopyDownBeg 0xC2D3
_Range pZeroOut 0x1100 76
_Copy *toCopyDownBeg 0xC1BA
} _startupData;
*********************************************************************************************
SECTION-ALLOCATION SECTION
Section Name Size Type From To Segment
---------------------------------------------------------------------------------------------
.init 49 R 0xC000 0xC030 ROM_C000
.startData 10 R 0xC031 0xC03A ROM_C000
.rodata 36 R 0xC03B 0xC05E ROM_C000
.text 581 R 0xC05F 0xC2A3 ROM_C000
.copy 2 R 0xC2D3 0xC2D4 ROM_C000
.init 59 R 0xC000 0xC03A ROM_C000
.startData 10 R 0xC03B 0xC044 ROM_C000
.text 343 R 0xC045 0xC19B ROM_C000
.copy 2 R 0xC1BA 0xC1BB ROM_C000
.stack 256 R/W 0x1000 0x10FF RAM
.abs_section_8 1 N/I 0x8 0x8 .absSeg0
.abs_section_9 1 N/I 0x9 0x9 .absSeg1
@ -385,12 +384,12 @@ Section Name Size Type From To Segment
.abs_section_2ae 2 N/I 0x2AE 0x2AF .absSeg339
.abs_section_2be 2 N/I 0x2BE 0x2BF .absSeg340
.abs_section_e77e 130 R 0xE77E 0xE7FF .absSeg341
.bss 9 R/W 0x1100 0x1108 RAM
RUNTIME 47 R 0xC2A4 0xC2D2 ROM_C000
.bss 76 R/W 0x1100 0x114B RAM
RUNTIME 30 R 0xC19C 0xC1B9 ROM_C000
Summary of section sizes per section type:
READ_ONLY (R): 357 (dec: 855)
READ_WRITE (R/W): 109 (dec: 265)
READ_ONLY (R): 23E (dec: 574)
READ_WRITE (R/W): 14C (dec: 332)
NO_INIT (N/I): 190 (dec: 400)
*********************************************************************************************
@ -404,9 +403,8 @@ OBJECT-ALLOCATION SECTION
---------------------------------------------------------------------------------------------
MODULE: -- rtshc12.c.o (ansisi.lib) --
- PROCEDURES:
_LSHRU C2A4 11 17 1 RUNTIME
_LCMP C2B5 19 25 1 RUNTIME
_LINC C2CE 5 5 1 RUNTIME
_LCMP C19C 19 25 1 RUNTIME
_LINC C1B5 5 5 1 RUNTIME
- VARIABLES:
MODULE: -- mc9s12dg256.c.o --
- PROCEDURES:
@ -486,12 +484,12 @@ MODULE: -- mc9s12dg256.c.o --
_PWMSCLA A8 1 1 0 .abs_section_a8
_PWMSCLB A9 1 1 0 .abs_section_a9
_PWMSDN C4 1 1 0 .abs_section_c4
_SCI0CR1 CA 1 1 0 .abs_section_ca
_SCI0CR2 CB 1 1 0 .abs_section_cb
_SCI0SR1 CC 1 1 0 .abs_section_cc
_SCI0CR1 CA 1 1 1 .abs_section_ca
_SCI0CR2 CB 1 1 2 .abs_section_cb
_SCI0SR1 CC 1 1 1 .abs_section_cc
_SCI0SR2 CD 1 1 0 .abs_section_cd
_SCI0DRH CE 1 1 0 .abs_section_ce
_SCI0DRL CF 1 1 0 .abs_section_cf
_SCI0DRL CF 1 1 1 .abs_section_cf
_SCI1CR1 D2 1 1 0 .abs_section_d2
_SCI1CR2 D3 1 1 0 .abs_section_d3
_SCI1SR1 D4 1 1 0 .abs_section_d4
@ -534,42 +532,42 @@ MODULE: -- mc9s12dg256.c.o --
_ATD1STAT1 12B 1 1 0 .abs_section_12b
_ATD1DIEN 12D 1 1 0 .abs_section_12d
_PORTAD1 12F 1 1 0 .abs_section_12f
_CAN0CTL0 140 1 1 2 .abs_section_140
_CAN0CTL1 141 1 1 3 .abs_section_141
_CAN0BTR0 142 1 1 1 .abs_section_142
_CAN0BTR1 143 1 1 1 .abs_section_143
_CAN0RFLG 144 1 1 3 .abs_section_144
_CAN0CTL0 140 1 1 0 .abs_section_140
_CAN0CTL1 141 1 1 0 .abs_section_141
_CAN0BTR0 142 1 1 0 .abs_section_142
_CAN0BTR1 143 1 1 0 .abs_section_143
_CAN0RFLG 144 1 1 0 .abs_section_144
_CAN0RIER 145 1 1 0 .abs_section_145
_CAN0TFLG 146 1 1 0 .abs_section_146
_CAN0TIER 147 1 1 0 .abs_section_147
_CAN0TARQ 148 1 1 0 .abs_section_148
_CAN0TAAK 149 1 1 0 .abs_section_149
_CAN0TBSEL 14A 1 1 0 .abs_section_14a
_CAN0IDAC 14B 1 1 2 .abs_section_14b
_CAN0IDAC 14B 1 1 0 .abs_section_14b
_CAN0RXERR 14E 1 1 0 .abs_section_14e
_CAN0TXERR 14F 1 1 0 .abs_section_14f
_CAN0IDAR0 150 1 1 1 .abs_section_150
_CAN0IDAR1 151 1 1 1 .abs_section_151
_CAN0IDAR2 152 1 1 1 .abs_section_152
_CAN0IDAR3 153 1 1 1 .abs_section_153
_CAN0IDMR0 154 1 1 1 .abs_section_154
_CAN0IDMR1 155 1 1 1 .abs_section_155
_CAN0IDMR2 156 1 1 1 .abs_section_156
_CAN0IDMR3 157 1 1 1 .abs_section_157
_CAN0IDAR4 158 1 1 1 .abs_section_158
_CAN0IDAR5 159 1 1 1 .abs_section_159
_CAN0IDAR6 15A 1 1 1 .abs_section_15a
_CAN0IDAR7 15B 1 1 1 .abs_section_15b
_CAN0IDMR4 15C 1 1 1 .abs_section_15c
_CAN0IDMR5 15D 1 1 1 .abs_section_15d
_CAN0IDMR6 15E 1 1 1 .abs_section_15e
_CAN0IDMR7 15F 1 1 1 .abs_section_15f
_CAN0RXIDR0 160 1 1 4 .abs_section_160
_CAN0RXIDR1 161 1 1 1 .abs_section_161
_CAN0IDAR0 150 1 1 0 .abs_section_150
_CAN0IDAR1 151 1 1 0 .abs_section_151
_CAN0IDAR2 152 1 1 0 .abs_section_152
_CAN0IDAR3 153 1 1 0 .abs_section_153
_CAN0IDMR0 154 1 1 0 .abs_section_154
_CAN0IDMR1 155 1 1 0 .abs_section_155
_CAN0IDMR2 156 1 1 0 .abs_section_156
_CAN0IDMR3 157 1 1 0 .abs_section_157
_CAN0IDAR4 158 1 1 0 .abs_section_158
_CAN0IDAR5 159 1 1 0 .abs_section_159
_CAN0IDAR6 15A 1 1 0 .abs_section_15a
_CAN0IDAR7 15B 1 1 0 .abs_section_15b
_CAN0IDMR4 15C 1 1 0 .abs_section_15c
_CAN0IDMR5 15D 1 1 0 .abs_section_15d
_CAN0IDMR6 15E 1 1 0 .abs_section_15e
_CAN0IDMR7 15F 1 1 0 .abs_section_15f
_CAN0RXIDR0 160 1 1 0 .abs_section_160
_CAN0RXIDR1 161 1 1 0 .abs_section_161
_CAN0RXIDR2 162 1 1 0 .abs_section_162
_CAN0RXIDR3 163 1 1 0 .abs_section_163
_CAN0RXDSR0 164 1 1 1 .abs_section_164
_CAN0RXDSR1 165 1 1 1 .abs_section_165
_CAN0RXDSR0 164 1 1 0 .abs_section_164
_CAN0RXDSR1 165 1 1 0 .abs_section_165
_CAN0RXDSR2 166 1 1 0 .abs_section_166
_CAN0RXDSR3 167 1 1 0 .abs_section_167
_CAN0RXDSR4 168 1 1 0 .abs_section_168
@ -736,7 +734,7 @@ MODULE: -- mc9s12dg256.c.o --
_PWMDTY23 BE 2 2 0 .abs_section_be
_PWMDTY45 C0 2 2 0 .abs_section_c0
_PWMDTY67 C2 2 2 0 .abs_section_c2
_SCI0BD C8 2 2 0 .abs_section_c8
_SCI0BD C8 2 2 4 .abs_section_c8
_SCI1BD D0 2 2 0 .abs_section_d0
_ATD1CTL23 122 2 2 0 .abs_section_122
_ATD1CTL45 124 2 2 0 .abs_section_124
@ -754,81 +752,83 @@ MODULE: -- mc9s12dg256.c.o --
_CAN4TXTSR 2BE 2 2 0 .abs_section_2be
MODULE: -- boot.c.o --
- PROCEDURES:
BootActivate C05F F 15 1 .text
CanGetSpeedConfig C06E 66 102 1 .text
BootComInit C0D4 8C 140 1 .text
BootComCheckActivationRequest C160 6E 110 1 .text
BootActivate C045 F 15 1 .text
BootComInit C054 19 25 1 .text
BootComCheckActivationRequest C06D 44 68 1 .text
UartReceiveByte C0B1 11 17 2 .text
- VARIABLES:
canTiming C03B 24 36 4 .rodata
xcpCtoRxInProgress.3 1100 1 1 3 .bss
xcpCtoReqPacket.1 1101 41 65 5 .bss
xcpCtoRxLength.2 1142 1 1 4 .bss
MODULE: -- irq.c.o --
- PROCEDURES:
IrqInterruptEnable C1CE 3 3 1 .text
IrqInterruptEnable C0C2 3 3 1 .text
- VARIABLES:
MODULE: -- led.c.o --
- PROCEDURES:
LedInit C1D1 F 15 1 .text
LedToggle C1E0 48 72 1 .text
LedInit C0C5 F 15 1 .text
LedToggle C0D4 48 72 1 .text
- VARIABLES:
led_toggle_state.1 1100 1 1 3 .bss
timer_counter_last.2 1101 4 4 5 .bss
led_toggle_state.1 1143 1 1 3 .bss
timer_counter_last.2 1144 4 4 5 .bss
MODULE: -- main.c.o --
- PROCEDURES:
main C228 E 14 1 .text
Init C236 9 9 1 .text
main C11C E 14 1 .text
Init C12A C 12 1 .text
SysClockInit C136 1 1 1 .text
- VARIABLES:
MODULE: -- start12.c.o --
- PROCEDURES:
Init C000 29 41 1 .init
_Startup C029 8 8 1 .init
- VARIABLES:
_startupData C031 6 6 3 .startData
- LABELS:
__SEG_END_SSTACK 1100 0 0 1
MODULE: -- time.c.o --
- PROCEDURES:
TimeInit C23F 1E 30 1 .text
TimeDeinit C25D 1C 28 2 .text
TimeSet C279 7 7 1 .text
TimeGet C280 7 7 1 .text
TimeISRHandler C287 1B 27 1 .text
TimeInit C137 1E 30 1 .text
TimeDeinit C155 1C 28 2 .text
TimeSet C171 7 7 1 .text
TimeGet C178 7 7 1 .text
TimeISRHandler C17F 1B 27 1 .text
- VARIABLES:
millisecond_counter 1105 4 4 8 .bss
millisecond_counter 1148 4 4 8 .bss
MODULE: -- vectors.c.o --
- PROCEDURES:
UnusedISR C2A2 2 2 62 .text
UnusedISR C19A 2 2 62 .text
- VARIABLES:
_vectab E77E 82 130 0 .abs_section_e77e
MODULE: -- cstartup.c.o --
- PROCEDURES:
Init C000 29 41 1 .init
_Startup C029 12 18 1 .init
- VARIABLES:
_startupData C03B 6 6 3 .startData
- LABELS:
__SEG_END_SSTACK 1100 0 0 1
*********************************************************************************************
MODULE STATISTIC
Name Data Code Const
---------------------------------------------------------------------------------------------
rtshc12.c.o (ansisi.lib) 0 47 0
rtshc12.c.o (ansisi.lib) 0 30 0
mc9s12dg256.c.o 400 0 0
boot.c.o 0 367 36
boot.c.o 67 125 0
irq.c.o 0 3 0
led.c.o 5 87 0
main.c.o 0 23 0
start12.c.o 0 49 0
main.c.o 0 27 0
time.c.o 4 99 0
vectors.c.o 0 2 130
cstartup.c.o 0 59 0
other 256 10 2
*********************************************************************************************
SECTION USE IN OBJECT-ALLOCATION SECTION
---------------------------------------------------------------------------------------------
SECTION: ".text"
BootActivate CanGetSpeedConfig BootComInit BootComCheckActivationRequest
IrqInterruptEnable LedInit LedToggle main Init TimeInit TimeDeinit TimeSet
TimeGet TimeISRHandler UnusedISR
BootActivate BootComInit BootComCheckActivationRequest UartReceiveByte
IrqInterruptEnable LedInit LedToggle main Init SysClockInit TimeInit
TimeDeinit TimeSet TimeGet TimeISRHandler UnusedISR
SECTION: ".bss"
led_toggle_state.1 timer_counter_last.2 millisecond_counter
xcpCtoRxInProgress.3 xcpCtoReqPacket.1 xcpCtoRxLength.2 led_toggle_state.1
timer_counter_last.2 millisecond_counter
SECTION: ".init"
Init _Startup
SECTION: ".rodata"
canTiming
SECTION: "RUNTIME"
_LSHRU _LCMP _LINC
_LCMP _LINC
SECTION: ".abs_section_8"
_PORTE
SECTION: ".abs_section_9"
@ -1636,13 +1636,13 @@ OBJECT LIST SORTED BY ADDRESS
_PWMDTY45 C0 2 2 0 .abs_section_c0
_PWMDTY67 C2 2 2 0 .abs_section_c2
_PWMSDN C4 1 1 0 .abs_section_c4
_SCI0BD C8 2 2 0 .abs_section_c8
_SCI0CR1 CA 1 1 0 .abs_section_ca
_SCI0CR2 CB 1 1 0 .abs_section_cb
_SCI0SR1 CC 1 1 0 .abs_section_cc
_SCI0BD C8 2 2 4 .abs_section_c8
_SCI0CR1 CA 1 1 1 .abs_section_ca
_SCI0CR2 CB 1 1 2 .abs_section_cb
_SCI0SR1 CC 1 1 1 .abs_section_cc
_SCI0SR2 CD 1 1 0 .abs_section_cd
_SCI0DRH CE 1 1 0 .abs_section_ce
_SCI0DRL CF 1 1 0 .abs_section_cf
_SCI0DRL CF 1 1 1 .abs_section_cf
_SCI1BD D0 2 2 0 .abs_section_d0
_SCI1CR1 D2 1 1 0 .abs_section_d2
_SCI1CR2 D3 1 1 0 .abs_section_d3
@ -1696,42 +1696,42 @@ OBJECT LIST SORTED BY ADDRESS
_ATD1DR5 13A 2 2 0 .abs_section_13a
_ATD1DR6 13C 2 2 0 .abs_section_13c
_ATD1DR7 13E 2 2 0 .abs_section_13e
_CAN0CTL0 140 1 1 2 .abs_section_140
_CAN0CTL1 141 1 1 3 .abs_section_141
_CAN0BTR0 142 1 1 1 .abs_section_142
_CAN0BTR1 143 1 1 1 .abs_section_143
_CAN0RFLG 144 1 1 3 .abs_section_144
_CAN0CTL0 140 1 1 0 .abs_section_140
_CAN0CTL1 141 1 1 0 .abs_section_141
_CAN0BTR0 142 1 1 0 .abs_section_142
_CAN0BTR1 143 1 1 0 .abs_section_143
_CAN0RFLG 144 1 1 0 .abs_section_144
_CAN0RIER 145 1 1 0 .abs_section_145
_CAN0TFLG 146 1 1 0 .abs_section_146
_CAN0TIER 147 1 1 0 .abs_section_147
_CAN0TARQ 148 1 1 0 .abs_section_148
_CAN0TAAK 149 1 1 0 .abs_section_149
_CAN0TBSEL 14A 1 1 0 .abs_section_14a
_CAN0IDAC 14B 1 1 2 .abs_section_14b
_CAN0IDAC 14B 1 1 0 .abs_section_14b
_CAN0RXERR 14E 1 1 0 .abs_section_14e
_CAN0TXERR 14F 1 1 0 .abs_section_14f
_CAN0IDAR0 150 1 1 1 .abs_section_150
_CAN0IDAR1 151 1 1 1 .abs_section_151
_CAN0IDAR2 152 1 1 1 .abs_section_152
_CAN0IDAR3 153 1 1 1 .abs_section_153
_CAN0IDMR0 154 1 1 1 .abs_section_154
_CAN0IDMR1 155 1 1 1 .abs_section_155
_CAN0IDMR2 156 1 1 1 .abs_section_156
_CAN0IDMR3 157 1 1 1 .abs_section_157
_CAN0IDAR4 158 1 1 1 .abs_section_158
_CAN0IDAR5 159 1 1 1 .abs_section_159
_CAN0IDAR6 15A 1 1 1 .abs_section_15a
_CAN0IDAR7 15B 1 1 1 .abs_section_15b
_CAN0IDMR4 15C 1 1 1 .abs_section_15c
_CAN0IDMR5 15D 1 1 1 .abs_section_15d
_CAN0IDMR6 15E 1 1 1 .abs_section_15e
_CAN0IDMR7 15F 1 1 1 .abs_section_15f
_CAN0RXIDR0 160 1 1 4 .abs_section_160
_CAN0RXIDR1 161 1 1 1 .abs_section_161
_CAN0IDAR0 150 1 1 0 .abs_section_150
_CAN0IDAR1 151 1 1 0 .abs_section_151
_CAN0IDAR2 152 1 1 0 .abs_section_152
_CAN0IDAR3 153 1 1 0 .abs_section_153
_CAN0IDMR0 154 1 1 0 .abs_section_154
_CAN0IDMR1 155 1 1 0 .abs_section_155
_CAN0IDMR2 156 1 1 0 .abs_section_156
_CAN0IDMR3 157 1 1 0 .abs_section_157
_CAN0IDAR4 158 1 1 0 .abs_section_158
_CAN0IDAR5 159 1 1 0 .abs_section_159
_CAN0IDAR6 15A 1 1 0 .abs_section_15a
_CAN0IDAR7 15B 1 1 0 .abs_section_15b
_CAN0IDMR4 15C 1 1 0 .abs_section_15c
_CAN0IDMR5 15D 1 1 0 .abs_section_15d
_CAN0IDMR6 15E 1 1 0 .abs_section_15e
_CAN0IDMR7 15F 1 1 0 .abs_section_15f
_CAN0RXIDR0 160 1 1 0 .abs_section_160
_CAN0RXIDR1 161 1 1 0 .abs_section_161
_CAN0RXIDR2 162 1 1 0 .abs_section_162
_CAN0RXIDR3 163 1 1 0 .abs_section_163
_CAN0RXDSR0 164 1 1 1 .abs_section_164
_CAN0RXDSR1 165 1 1 1 .abs_section_165
_CAN0RXDSR0 164 1 1 0 .abs_section_164
_CAN0RXDSR1 165 1 1 0 .abs_section_165
_CAN0RXDSR2 166 1 1 0 .abs_section_166
_CAN0RXDSR3 167 1 1 0 .abs_section_167
_CAN0RXDSR4 168 1 1 0 .abs_section_168
@ -1859,30 +1859,32 @@ OBJECT LIST SORTED BY ADDRESS
_CAN4TXDLR 2BC 1 1 0 .abs_section_2bc
_CAN4TXTBPR 2BD 1 1 0 .abs_section_2bd
_CAN4TXTSR 2BE 2 2 0 .abs_section_2be
led_toggle_state.1 1100 1 1 3 .bss
timer_counter_last.2 1101 4 4 5 .bss
millisecond_counter 1105 4 4 8 .bss
xcpCtoRxInProgress.3 1100 1 1 3 .bss
xcpCtoReqPacket.1 1101 41 65 5 .bss
xcpCtoRxLength.2 1142 1 1 4 .bss
led_toggle_state.1 1143 1 1 3 .bss
timer_counter_last.2 1144 4 4 5 .bss
millisecond_counter 1148 4 4 8 .bss
Init C000 29 41 1 .init
_Startup C029 8 8 1 .init
canTiming C03B 24 36 4 .rodata
BootActivate C05F F 15 1 .text
CanGetSpeedConfig C06E 66 102 1 .text
BootComInit C0D4 8C 140 1 .text
BootComCheckActivationRequest C160 6E 110 1 .text
IrqInterruptEnable C1CE 3 3 1 .text
LedInit C1D1 F 15 1 .text
LedToggle C1E0 48 72 1 .text
main C228 E 14 1 .text
Init C236 9 9 1 .text
TimeInit C23F 1E 30 1 .text
TimeDeinit C25D 1C 28 2 .text
TimeSet C279 7 7 1 .text
TimeGet C280 7 7 1 .text
TimeISRHandler C287 1B 27 1 .text
UnusedISR C2A2 2 2 62 .text
_LSHRU C2A4 11 17 1 RUNTIME
_LCMP C2B5 19 25 1 RUNTIME
_LINC C2CE 5 5 1 RUNTIME
_Startup C029 12 18 1 .init
BootActivate C045 F 15 1 .text
BootComInit C054 19 25 1 .text
BootComCheckActivationRequest C06D 44 68 1 .text
UartReceiveByte C0B1 11 17 2 .text
IrqInterruptEnable C0C2 3 3 1 .text
LedInit C0C5 F 15 1 .text
LedToggle C0D4 48 72 1 .text
main C11C E 14 1 .text
Init C12A C 12 1 .text
SysClockInit C136 1 1 1 .text
TimeInit C137 1E 30 1 .text
TimeDeinit C155 1C 28 2 .text
TimeSet C171 7 7 1 .text
TimeGet C178 7 7 1 .text
TimeISRHandler C17F 1B 27 1 .text
UnusedISR C19A 2 2 62 .text
_LCMP C19C 19 25 1 RUNTIME
_LINC C1B5 5 5 1 RUNTIME
_vectab E77E 82 130 0 .abs_section_e77e
*********************************************************************************************
@ -1890,8 +1892,8 @@ UNUSED-OBJECTS SECTION
---------------------------------------------------------------------------------------------
NOT USED PROCEDURES
rtshc12.c.o (ansisi.lib):
_BSHL _BSHRS _BSHRU _BDIVMODU _BDIVMODS _ISHL _ISHRU _ISHRS _LSHL _LSHRS
_LADD _LSUB _LAND _LOR _LXOR _LCMP_P _LCMP_PP _LNEG _LCOM _LDEC _LMUL
_BSHL _BSHRS _BSHRU _BDIVMODU _BDIVMODS _ISHL _ISHRU _ISHRS _LSHL _LSHRU
_LSHRS _LADD _LSUB _LAND _LOR _LXOR _LCMP_P _LCMP_PP _LNEG _LCOM _LDEC _LMUL
_LMULU16x32 _LMULS16x32 _lDivMod _LDIVU _NEG_P _LDIVS _LMODU _LMODS _ILSEXT
_COPY _CASE_DIRECT _CASE_DIRECT_BYTE _CASE_CHECKED _CASE_CHECKED_BYTE
_CASE_SEARCH _CASE_SEARCH_BYTE _CASE_SEARCH_8 _CASE_SEARCH_8_BYTE _FCALL
@ -1907,7 +1909,7 @@ irq.c.o:
*********************************************************************************************
COPYDOWN SECTION
---------------------------------------------------------------------------------------------
------- ROM-ADDRESS: 0xC2D3 ---- SIZE 2 ---
------- ROM-ADDRESS: 0xC1BA ---- SIZE 2 ---
Filling bytes inserted
0000
@ -1917,20 +1919,17 @@ OBJECT-DEPENDENCIES SECTION
Init USES _startupData
_Startup USES __SEG_END_SSTACK Init main
BootActivate USES TimeDeinit
CanGetSpeedConfig USES canTiming
BootComInit USES _CAN0CTL0 _CAN0CTL1 CanGetSpeedConfig _CAN0BTR0
_CAN0BTR1 _CAN0IDAC _CAN0IDAR0 _CAN0IDAR1 _CAN0IDAR2
_CAN0IDAR3 _CAN0IDMR0 _CAN0IDMR1 _CAN0IDMR2 _CAN0IDMR3
_CAN0IDAR4 _CAN0IDAR5 _CAN0IDAR6 _CAN0IDAR7 _CAN0IDMR4
_CAN0IDMR5 _CAN0IDMR6 _CAN0IDMR7
BootComCheckActivationRequest USES _CAN0RFLG _CAN0RXIDR1 _CAN0RXIDR0 _LSHRU
_CAN0RXDSR0 _CAN0RXDSR1 BootActivate
BootComInit USES _SCI0CR2 _SCI0CR1 _SCI0BD
BootComCheckActivationRequest USES xcpCtoRxInProgress.3 xcpCtoReqPacket.1
UartReceiveByte xcpCtoRxLength.2 BootActivate
UartReceiveByte USES _SCI0SR1 _SCI0DRL
LedInit USES _DDRJ _PTJ _DDRAB _PORTAB
LedToggle USES TimeGet timer_counter_last.2 _LCMP
led_toggle_state.1 _PORTAB
main USES Init BootComInit LedToggle
BootComCheckActivationRequest
Init USES LedInit TimeInit IrqInterruptEnable
Init USES SysClockInit LedInit TimeInit
IrqInterruptEnable
TimeInit USES TimeDeinit _TIOS _TFLG1 _TCNT _TC0 _TIE _TSCR1
TimeSet
TimeDeinit USES _TIE _TSCR1 _TSCR2 _TIOS _TTOV _TCTL1 _TCTL2
@ -1949,6 +1948,8 @@ DEPENDENCY TREE
| |
| +- Init
| | |
| | +- SysClockInit
| | |
| | +- LedInit
| | |
| | +- TimeInit
@ -1960,9 +1961,7 @@ DEPENDENCY TREE
| | +- IrqInterruptEnable
| |
| +- BootComInit
| | |
| | +- CanGetSpeedConfig
| |
| |
| +- LedToggle
| | |
| | +- TimeGet
@ -1971,7 +1970,7 @@ DEPENDENCY TREE
| |
| +- BootComCheckActivationRequest
| |
| +- _LSHRU
| +- UartReceiveByte
| |
| +- BootActivate
| |
@ -2000,5 +1999,5 @@ STATISTIC SECTION
ExeFile:
--------
Number of blocks to be downloaded: 6
Total size of all blocks to be downloaded: 855
Total size of all blocks to be downloaded: 574

View File

@ -49,7 +49,7 @@ static void BootActivate(void)
/* stop the timer from generating interrupts */
TimeDeinit();
/* set pointer to the address of function reset_connected_handler in the bootloader. */
pEntryFromProgFnc = (void(*)(void))(0xfef0);
pEntryFromProgFnc = (void(*)(void))(0xfee0);
/* call EntryFromProg to activate the bootloader. */
pEntryFromProgFnc();
} /*** end of BootActivate ***/

View File

@ -33,6 +33,19 @@
#ifndef HEADER_H
#define HEADER_H
/****************************************************************************************
* Defines
****************************************************************************************/
/** \brief Configuration switch to enable programming and debugging with a BDM
* interface.
* \details To configure the program for downloading with the OpenBLT bootloader, set
* this value to 0. This is typically done for release versions. If support
* for programming and debugging with a BDM debugger interface is desired
* during development, then set this value to 1.
*/
#define BDM_DEBUGGING_ENABLED (0)
/****************************************************************************************
* Include files
****************************************************************************************/
@ -44,6 +57,5 @@
#include "derivative.h" /* MCU registers */
#endif /* HEADER_H */
/*********************************** end of header.h ***********************************/

View File

@ -41,6 +41,7 @@
* Function prototypes
****************************************************************************************/
static void Init(void);
static void SysClockInit(void);
/************************************************************************************//**
@ -74,6 +75,8 @@ void main(void)
****************************************************************************************/
static void Init(void)
{
/* initialize the system clock */
SysClockInit();
/* init the led driver */
LedInit();
/* init the timer driver */
@ -83,4 +86,72 @@ static void Init(void)
} /*** end of Init ***/
/************************************************************************************//**
** \brief Initializes the microcontroller.
** \return none.
**
****************************************************************************************/
static void SysClockInit(void)
{
#if (BDM_DEBUGGING_ENABLED == 1)
/* normally, OpenBLT configures the system clock speed before starting the user
* program. when BDM programming/debugging is used instead of OpenBLT, the
* system clock speed configuration still needs to be done here.
*/
unsigned char synrCnt;
unsigned char refdvCnt;
unsigned long systemSpeed;
unsigned char found = 0;
/* initialize the system clock to BOOT_CPU_SYSTEM_SPEED_KHZ by configuring the PLL
* subsystem. first default to oscillator clock source.
*/
CLKSEL &= ~0x80;
/* search for the synthesizer and reference divider values. the equation to use is:
* PLLCLK = EXTCLK * ( (synrCnt + 1) / (refdvCnt + 1) ), with synrCnt can be from
* 0..63 and refdvCnt can be from 0..15
*/
for (refdvCnt = 0; refdvCnt <= 15; refdvCnt++)
{
for (synrCnt = 0; synrCnt <= 63; synrCnt++)
{
/* calculate the system speed with these SYNR and REFDV settings */
systemSpeed = ((unsigned long)BOOT_CPU_XTAL_SPEED_KHZ * (synrCnt+1)) / (refdvCnt+1);
/* was a match found? */
if (systemSpeed == BOOT_CPU_SYSTEM_SPEED_KHZ)
{
/* flag success */
found = 1;
/* break loop */
break;
}
}
if (found == 1)
{
/* break this loop as well if a match was already found */
break;
}
}
/* flag error if no match was found */
if (found == 0)
{
while (1==1)
{
;
}
}
/* set the synthesizer and reference divider values */
SYNR = synrCnt;
REFDV = refdvCnt;
/* wait for PLL to lock */
while((CRGFLG & 0x08) == 0)
{
;
}
/* select PLL as clock source */
CLKSEL |= 0x80;
#endif /* (BDM_DEBUGGING_ENABLED == 1) */
} /*** end of SysClockInit ***/
/*********************************** end of main.c *************************************/

View File

@ -76,9 +76,21 @@ __interrupt void UnusedISR(void)
* size of the bootloader changes, as defined in the flashLayout[] table in
* flash.c of the bootloader.
*/
#if (BDM_DEBUGGING_ENABLED == 1)
/* for programming and debugging with a BDM device, the vector table should be at
* its default location.
*/
const tIsrFunc _vectab[] @0xff80 =
#else
const tIsrFunc _vectab[] @0xe77e =
#endif
{
#if (BDM_DEBUGGING_ENABLED != 1)
/* for programming and debugging with a BDM device, the checksum should not be
* programmed because it would be in a reserved flash memory space.
*/
(tIsrFunc)0xaa55, /* Reserved for OpenBLT checksum */
#endif
(tIsrFunc)UnusedISR, /* Reserved 0xFF80 */
(tIsrFunc)UnusedISR, /* Reserved 0xFF82 */
(tIsrFunc)UnusedISR, /* Reserved 0xFF84 */

View File

@ -115,10 +115,6 @@ __EXTERN_C void _SET_PAGE(void); /* the inline assembler needs a prototype */
#endif
#endif /* HC812A4 */
#define ___INITRM (*(volatile unsigned char *) 0x0010)
#define ___INITRG (*(volatile unsigned char *) 0x0011)
#define ___INITEE (*(volatile unsigned char *) 0x0012)
#if defined(_DO_FEED_COP_)
#define __FEED_COP_IN_HLI() } asm movb #0x55, _COP_RST_ADR; asm movb #0xAA, _COP_RST_ADR; asm {
#else
@ -414,10 +410,6 @@ __EXTERN_C void _Startup(void) {
*/
/*INIT_SP_FROM_STARTUP_DESC();*/ /* HLI macro definition in hidef.h */
___INITRG = 0x00; /* lock registers block to 0x0000 */
___INITRM = 0x39; /* lock Ram to end at 0x3FFF */
___INITEE = 0x09; /* lock EEPROM block to end at 0x0fff */
/* Here user defined code could be inserted, the stack could be used */
#if defined(_DO_DISABLE_COP_)
_DISABLE_COP();
@ -473,7 +465,6 @@ __EXTERN_C void _Startup(void) {
/* OpenBLT modifcation: do not call main. instead do this in the reset handler found in
* vectors.c
*/
main();
/* main(); */
}

View File

@ -4,9 +4,9 @@ SEGMENTS /* Here all RAM/ROM areas of the device are listed. Used in PLACEMENT
/* RAM */
RAM = READ_WRITE 0x3800 TO 0x3FFF;
/* non-paged FLASHs */
ROM_C000 = READ_ONLY 0xe800 TO 0xFEEF;
ROM_C000 = READ_ONLY 0xe800 TO 0xFEDF;
/* for fixed address reset_connected_handler OpenBLT function */
ENTRY_SEG = READ_ONLY 0xFEF0 TO 0xFEFF;
ENTRY_SEG = READ_ONLY 0xFEE0 TO 0xFEFF;
END
PLACEMENT /* here all predefined and user segments are placed into the SEGMENTS defined above. */

View File

@ -47,6 +47,12 @@
* changes.
*/
#define VCT_USER_PROGRAM_VECTOR_TABLE_STARTADDR (0xE780)
/** \brief INITRM register definition. */
#define REG_INITRM (*(volatile blt_int8u *) 0x0010)
/** \brief INITRG register definition. */
#define REG_INITRG (*(volatile blt_int8u *) 0x0011)
/** \brief INITEE register definition. */
#define REG_INITEE (*(volatile blt_int8u *) 0x0012)
/****************************************************************************************
@ -70,6 +76,13 @@ typedef void (*near tIsrFunc)(void);
****************************************************************************************/
void reset_handler(void)
{
/* for compatibility with other HCS12 derivates, set the register start address to
* 0x0000, remap the RAM to always end at 0x3FFF and remap EEPROM (if applicable)
* to end at 0xfff.
*/
REG_INITRG = 0x00;
REG_INITRM = 0x39;
REG_INITEE = 0x09;
/* initialize the stack pointer */
INIT_SP_FROM_STARTUP_DESC();
/* perform standard C startup initialiation */
@ -86,7 +99,7 @@ void reset_handler(void)
** \attention This section must be added to the linker command file to force this
** function to always be at the same fixed address.
** SECTIONS
** ENTRY_SEG = READ_ONLY 0xFEF0 TO 0xFEFF;
** ENTRY_SEG = READ_ONLY 0xFEE0 TO 0xFEFF;
** END
** PLACEMENT
** ENTRY INTO ENTRY_SEG;
@ -103,6 +116,13 @@ void reset_handler(void)
#pragma CODE_SEG ENTRY
void reset_connected_handler(void)
{
/* for compatibility with other HCS12 derivates, set the register start address to
* 0x0000, remap the RAM to always end at 0x3FFF and remap EEPROM (if applicable)
* to end at 0xfff.
*/
REG_INITRG = 0x00;
REG_INITRM = 0x39;
REG_INITEE = 0x09;
/* initialize the stack pointer */
INIT_SP_FROM_STARTUP_DESC();
/* perform standard C startup initialiation */