diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.elf b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.elf index 04a6a648..033c2afd 100755 Binary files a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.elf and b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.elf differ diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.srec b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.srec index 60a3caa8..ae385eb1 100755 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.srec +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/Debug/openblt_stm32f303.srec @@ -1,48 +1,48 @@ S02B00002F686F6D652F766F6F72627572672F446576656C6F706D656E742F4F70656E424C542F5461726765E0 -S31508000000003000207D180008CD180008CD1800081B -S31508000010CD180008CD180008CD180008000000000B -S31508000020000000000000000000000000CD180008D5 -S31508000030CD18000800000000CD180008CD180008EB -S31508000040CD180008CD180008CD180008CD180008EE -S31508000050CD180008CD180008CD180008CD180008DE -S31508000060CD180008CD180008CD180008CD180008CE -S31508000070CD180008CD180008CD180008CD180008BE -S31508000080CD180008CD180008CD180008CD180008AE -S31508000090CD180008CD180008CD180008CD1800089E -S315080000A0CD180008CD180008CD180008CD1800088E -S315080000B0CD180008CD18000800000000CD1800086B -S315080000C0CD1800080000000000000000CD18000848 -S315080000D000000000CD180008CD180008CD1800084B -S315080000E0CD180008CD180008000000000000000028 +S315080000000030002095180008E5180008E5180008D3 +S31508000010E5180008E5180008E518000800000000C3 +S31508000020000000000000000000000000E5180008BD +S31508000030E518000800000000E5180008E5180008A3 +S31508000040E5180008E5180008E5180008E51800088E +S31508000050E5180008E5180008E5180008E51800087E +S31508000060E5180008E5180008E5180008E51800086E +S31508000070E5180008E5180008E5180008E51800085E +S31508000080E5180008E5180008E5180008E51800084E +S31508000090E5180008E5180008E5180008E51800083E +S315080000A0E5180008E5180008E5180008E51800082E +S315080000B0E5180008E518000800000000E518000823 +S315080000C0E51800080000000000000000E518000818 +S315080000D000000000E5180008E5180008E518000803 +S315080000E0E5180008E51800080000000000000000F8 S315080000F000000000000000000000000000000000F2 S3150800010000000000000000000000000000000000E1 -S315080001100000000000000000CD180008CD180008F7 +S315080001100000000000000000E5180008E5180008C7 S3150800012000000000000000000000000000000000C1 S3150800013000000000000000000000000000000000B1 -S31508000140CD180008CD1800080000000000000000C7 +S31508000140E5180008E5180008000000000000000097 S315080001500000000000000000000000000000000091 S315080001600000000000000000000000000000000081 S315080001700000000000000000000000000000000071 -S30D0800018000000000CD1800087C +S30D0800018000000000E518000864 S3150800018810B5054C237833B9044B13B10448AFF3BB S3150800019800800123237010BD3400002000000000F1 -S315080001A81819000808B5034B1BB103490348AFF3F0 -S315080001B8008008BD00000000380000201819000853 +S315080001A83019000808B5034B1BB103490348AFF3D8 +S315080001B8008008BD0000000038000020301900083B S315080001C872B6704762B67047F0B5002401E001348C S315080001D8E4B2112C20D8114D15F814E005EB4405A6 S315080001E86F780EEB070606FB00064FF4FA4595FBF3 S315080001F8F6F506FB05F5B5F5FA4FE8D195FBF6F6DB S31508000208B6B20E80013EB6B2B6F5806FDFD282F876 -S3150800021800E01F700120F0BD0020F0BD5819000845 +S3150800021800E01F700120F0BD0020F0BD701900082D S3150800022800B583B001AB0DF105020DF106014FF4D7 -S31508000238FA70FFF7C9FF012803D0E221384800F011 -S31508000248BFFB384B00225A611A6842F400421A600A -S3150800025801E000F071FC334B1B6813F4004FF8D12A +S31508000238FA70FFF7C9FF012803D0E421384800F00F +S31508000248CBFB384B00225A611A6842F400421A60FE +S3150800025801E000F07DFC334B1B6813F4004FF8D11E S31508000268304B1A6822F002021A601A6842F0010234 -S315080002781A6001E000F060FC2A4B5B6813F0010F76 +S315080002781A6001E000F06CFC2A4B5B6813F0010F6A S31508000288F8D09DF805305A1E9DF80430013B1B0529 S3150800029843EA0243BDF80620013A1343214AD361CB -S315080002A8136823F00103136001E000F045FC1D4BB9 +S315080002A8136823F00103136001E000F051FC1D4BAD S315080002B85B6813F0010FF8D11A4BD3F8002242F005 S315080002C80102C3F80022D3F81C2222F00102C3F85F S315080002D81C22D3F80C2242F00102C3F80C22002291 @@ -50,371 +50,372 @@ S315080002E8C3F84022C3F84422D3F8042222F00102B4 S315080002F8C3F80422D3F8142222F00102C3F8142200 S31508000308D3F81C2242F00102C3F81C22D3F80022B3 S3150800031822F00102C3F8002203B05DF804FB00BF0F -S31508000328301900080064004038B504460D46214BCC +S31508000328481900080064004038B504460D46214BB4 S315080003389B6813F0806F2FD01E4BD3F8802102F0EC S315080003480102C3F88021D3F8802142F07C4242F4A6 S315080003580012C3F88021C3F88451E178A278120400 S3150800036842EA0162617842EA012221780A43C3F81F S315080003788821E179A279120442EA0162617942EA9E S31508000388012221790A43C3F88C21D3F8802142F047 -S315080003980102C3F8802107E040F22111064800F05F -S315080003A80FFBC9E700F0C8FB024B9B6813F0806F88 -S315080003B8F8D038BD00640040301900081B4BDB68CC -S315080003C813F0030F2FD0194BD3F8B02140F2676307 -S315080003D8B3EB525F06D00020144AD36843F02003D3 -S315080003E8D3607047114BD3F8B8210270D3F8B821F7 -S315080003F8120A4270D3F8B821120C8270D3F8B821C1 -S31508000408120EC270D3F8BC210271D3F8BC21120AA5 -S315080004184271D3F8BC21120C8271D3F8BC311B0E79 -S31508000428C3710120D8E70020704700BF0064004068 -S3150800043808B5FFF7C5FE08BD10B500F0C1F900B943 -S3150800044810BD00F077FD0028FAD000F02FFB00F069 -S31508000458CBF900F0B9F920F0604020F07F00044B92 -S31508000468186000F0B1F94468FFF7ACFEA047E7E763 -S3150800047808ED00E070B508E0461C4D1C0B780370C3 -S3150800048800F05AFB304629462246531E9CB2002ADB -S31508000498F2D170BD08B5C1F3080353B90368994288 -S315080004A809D040F8041B4FF40072FFF7E3FF012058 -S315080004B808BD002008BD012008BD2DE9F041074602 -S315080004C800F086FD342000F09BFD00F09FFD012812 -S315080004D816D000247F2C15D83E68A30006EB03081F -S315080004E83B445D6800F028FB2946404600F0D6FDE7 -S315080004F8042809D156F824309D420BD10134E9E77E -S31508000508002402E0012400E0002400F071FD2046E2 -S31508000518BDE8F0810024F8E738B50D460B4B98423C -S3150800052808D004460A4B994206D0FFF7C6FF20B9F9 -S31508000538002407E0074C00E0044C29462046FFF74C -S31508000548A9FF08B1204638BD0024FBE7540200205D -S3150800055800200008500000202DE9F04106460C4608 -S3150800056817461D4621F4FF7828F001080368B3F1F9 -S31508000578FF3F0DD03368984505D041463046FFF70A -S31508000588CBFF064628B33368E41A344404340CE02F -S315080005984146FFF77FFF03460028EBD116E017F818 -S315080005A8013B04F8013B013D0FD000F0C5FA331DA5 -S315080005B8E31AB3F5007FF2D308F500713046FFF762 -S315080005C8ABFF064638B1041DE9E701231846BDE81E -S315080005D8F0810023FAE70023F8E700004FF0FF331D -S315080005E8024A1360024A1360704700BF5000002091 -S315080005F8540200200E4B984216D910B54318013BF1 -S315080006080C4CA34212D80B46014620F4FF7020F082 -S315080006180100094CA04203D00848FFF79DFF10BD0A -S315080006280748FFF799FF10BD00207047002010BD46 -S31508000638FF1F0008FFFF00080020000850000020E0 -S315080006485402002070B520F4FF6525F007051A4BFB -S315080006589D422CD94318013B184A93422AD8401B75 -S315080006680144C1F3CF26C1F30A0109B10136B6B26E -S3150800067800F0AEFC342000F0C3FC00F0C7FC0128EB -S315080006880DD00024A6420CD900F056FA05EBC42072 -S3150800069800F0E6FC042808D10134A4B2F2E70024E5 -S315080006A800E0012400F0A4FC02E00024FAE7002494 -S315080006B8204670BD0024FBE7FF1F0008FFFF00085F -S315080006C8104B1B68B3F1FF3F1AD000B583B00D4A2B -S315080006D8536891680B44D1680B4411690B445169F6 -S315080006E80B4491690B44D26913445B4202AA42F847 -S315080006F8043D04210448FFF77DFF03B05DF804FBB9 -S315080007080120704754020020882100080D4B1B68F9 -S315080007180D4A126813440D4A126813440C4A1268A3 -S3150800072813440C4A126813440B4A126813440B4ABA -S31508000738126813440A4A1268D34201D00020704747 -S315080007480120704700200008042000080820000837 -S315080007580C2000081020000814200008182000089B -S315080007688821000808B50C4B1B68B3F1FF3F04D075 -S315080007780948FFF7A2FE034650B1084B1B68B3F1B8 -S31508000788FF3F07D00548FFF798FE034600B1012347 -S31508000798184608BD0123FBE7540200205000002034 -S315080007A8004870470020000808B5FFF717FF08BD7E -S315080007B808B5FFF71FFF08BD08B5FFF743FF08BDD3 -S315080007C808B5FFF7A3FF08BD08B5FFF7E9FF08BD99 -S315080007D808B5FFF775FF034608B9184608BDFFF7B9 -S315080007E8C1FF0346F9E700000022014B1A6070476B -S315080007F810E000E008B5FFF7F7FF054B4FF6FF12C4 -S315080008085A6000229A6005211960024B1A6008BDD1 -S3150800081810E000E058040020044B1B6813F4803FDE -S3150800082803D0034A136801331360704710E000E0E9 -S315080008385804002008B5FFF7EFFF014B186808BDF4 -S315080008485804002010B504468021094800F00DFF19 -S3150800085808B9002010BD2146054800F0FEFE802193 -S31508000868034800F002FF0028F9D0012010BD00BF98 -S315080008780044004010B504462021064800F0F5FE5D -S31508000888012801D0002010BD024800F0EAFE2070B9 -S31508000898012010BD0044004010B586B04FF46143EE -S315080008A80093002301930293039305930C2304935F -S315080008B8054C6946204600F061FE0121204600F0F5 -S315080008C8C1FE06B010BD00BF0044004070B506461C -S315080008D80D4640290AD82846FFF7B4FF012803D051 -S315080008E879210E4800F06CF8002406E075210B48BB -S315080008F800F066F8EFE70134A4B2ABB29C420BD21B -S3150800090800F01AF9305DFFF79DFF0128F3D0822120 -S31508000918024800F055F8EEE770BD00BF7C190008DC -S3150800092838B5224B1C78ACB92148FFF7A3FF012834 -S3150800093801D0204638BD1E4B1B78002BF9D0FFF78F -S3150800094879FF1C4B186000221B4B1A700122174BA3 -S315080009581A70EEE70546184B1B78013314481844F5 -S31508000968FFF788FF044601280AD0FFF763FF114BF3 -S315080009781B686433984216D900240C4B1C70D8E7B8 -S315080009880D4B1A780132D2B21A70094B1B789A4263 -S3150800099801D00024CDE709492846FFF76BFD002258 -S315080009A8024B1A70C5E70024C3E700BF9D04002060 -S315080009B85C040020A00400209E0400205D0400209A -S315080009C808B500F0B9F8FCE708B500F0ADF8012855 -S315080009D803D0094B1B78012B00D008BDFFF72AFF67 -S315080009E8064B1B6803F5FA739842F6D30022024BA6 -S315080009F81A70FFF721FDF0E7A4040020A8040020D8 -S31508000A0808B50122044B1A70FFF714FF034B186048 -S31508000A18FFF7DAFF08BD00BFA4040020A8040020D9 -S31508000A2808B5FFF705FD00F083F8FFF7E3FEFFF7C3 -S31508000A38BBFE00F00DF8FFF7E3FF08BD08B500F0A8 -S31508000A487BF8FFF7E9FE00F013F8FFF7BDFF08BDCE -S31508000A5810B500F0DDF9FFF7E3FB044C012323701A -S31508000A68FFF71AFF0023237010BD00BF00000020FF -S31508000A7808B50C48FFF7A2FC012805D00948FFF776 -S31508000A884FFF012807D008BD0122074B1A700548F1 -S31508000A9800F0DEF9F2E70022034B1A70014800F06D -S31508000AA8D7F9F0E7AC0400200000002070470000E2 -S31508000AB838B504460D46094B1B78012B05D0074B5C -S31508000AC81B7833B100F0BCF938BDC9B2FFF72CFC66 -S31508000AD8F5E7E9B22046FFF7F9FEF3E7000000203C -S31508000AE8074B1B78022B05D0032B05D0012B05D005 -S31508000AF8402070470020704700207047082070473C -S31508000B0800000020074B1B78022B05D0032B05D0C5 -S31508000B18012B05D0402070470020704700207047F9 -S31508000B28082070470000002008B500F07FF908BDC6 -S31508000B3808B500F00BFA08BD08B500F00CFA08BDB0 -S31508000B4810B4002304E010F8011B0B44DBB221465D -S31508000B584C1E0029F7D1136001205DF8044B704735 -S31508000B680022014B5A707047EC040020034BFE2202 -S31508000B78DA7018710222A3F844207047EC040020A2 -S31508000B8810B5054C00232370FFF7EAFFFF23E3702F -S31508000B980123A4F8443010BDEC040020064BFF22BC -S31508000BA8DA7000221A71597859719A71DA711A72BB -S31508000BB80622A3F844207047EC04002008B5002054 -S31508000BC8FFF7D4FF08BD0000074BFF22DA70074A73 -S31508000BD89A6400221A715A719A710722C3F8072073 -S31508000BE80822A3F844207047EC040020A819000836 -S31508000BF8044BFF22DA7042689A640122A3F844205B -S31508000C08704700BFEC04002010B5084CFF23E370BA -S31508000C18E21D4168A06CFFF793FF207100236371FA -S31508000C28A3710823A4F8443010BD00BFEC040020C3 -S31508000C3808B53120FFF79AFF08BD000038B5084CFB -S31508000C48FF23E370002525716571FFF749FFA07139 -S31508000C58E571257265720723A4F8443038BD00BFCC -S31508000C68EC04002038B5FFF77BFF0E4C01252570EC -S31508000C78FF23E3701023237100236371FFF730FF06 -S31508000C88A071FFF73FFFE071FFF73CFFC0F30720AD -S31508000C9820726572A5720823A4F84430FFF784FD0C -S31508000CA838BD00BFEC04002038B505464478FFF780 -S31508000CB817FF0138844210DC0A4C6A78A16C201D9B -S31508000CC8FFF7D8FBFF23E3706A78A36C1344A36481 -S31508000CD86B780133A4F8443038BD2220FFF746FF65 -S31508000CE838BD00BFEC04002038B504464578FFF740 -S31508000CF8F7FE0138854211DC61680A4DA9646278F5 -S31508000D08281DFFF7B7FBFF23EB706278AB6C13441B -S31508000D18AB6463780133A5F8443038BD2220FFF761 -S31508000D2825FF38BDEC04002038B505460D4B9C6CEC -S31508000D38FFF7D6FE6A1C411E2046FFF739FD60B14B -S31508000D48084CFF23E370FFF7CBFE0138A36C034476 -S31508000D58A3640123A4F8443038BD3120FFF706FF01 -S31508000D6838BD00BFEC04002038B504464578FFF7BF -S31508000D78B7FE023885420EDC114BFF22DA700122D3 -S31508000D88A3F84420617851B9FFF722FD90B93120BC -S31508000D98FFF7ECFE38BD2220FFF7E8FE38BDA21C97 -S31508000DA8074B986CFFF704FD28B16178044A936CE1 -S31508000DB80B44936438BD3120FFF7D8FE38BD00BF11 -S31508000DC8EC04002008B54168074B986CFFF7F4FC5B -S31508000DD830B1054BFF22DA700122A3F8442008BD7A -S31508000DE83120FFF7C3FE08BDEC04002008B5FFF75D -S31508000DF823FB034BFF22DA700122A3F8442008BD1F -S31508000E08EC04002008B589B2FFF752FE08BD0000B9 -S31508000E18054B00221A709A6483F84320A3F84420E5 -S31508000E289A705A70704700BFEC040020034B1B7871 -S31508000E380BB1012070470020704700BFEC04002062 -S31508000E480022024B83F84320704700BFEC040020B9 -S31508000E5808B50378FF2B04D0354A1278012A14D02E -S31508000E6808BDFFF7FFFE324B93F84330012B5AD0E3 -S31508000E782F4BB3F944100029F2DD1846012380F8F0 -S31508000E8843300330FFF7BEFFEAE7C93B352B46D8A0 -S31508000E98DFE803F03345454245453F363C39454585 -S31508000EA845454545454545454545454545454545DC -S31508000EB84545454545454545454545454545241E14 -S31508000EC81B2145454527452A2D30FFF7EDFECAE77C -S31508000ED8FFF70AFFC7E7FFF78BFEC4E7FFF794FE9D -S31508000EE8C1E7FFF771FEBEE7FFF768FEBBE7FFF746 -S31508000EF855FEB8E7FFF744FEB5E7FFF715FFB2E773 -S31508000F08FFF732FFAFE7FFF799FEACE7FFF75AFF9F -S31508000F18A9E7FFF76BFFA6E7FFF78AFEA3E72020F6 -S31508000F28FFF724FE9FE71020FFF720FEA0E700BF83 -S31508000F38EC04002008B500F043F84FF400714FF0B0 -S31508000F48904000F025F900B1012008BD08B56420D5 -S31508000F5800F006F808BD08B500F008F808BD000056 -S31508000F68014B1880704700BF3805002008B5FFF701 -S31508000F7861FC0F4B1B68984210D30E4B1B7873B94C -S31508000F8801220C4B1A7008210B4800F008F9FFF7E4 -S31508000F9851FC0A4B1B881844054B186008BD0022EB -S31508000FA8044B1A700821044800F0FBF8EFE700BF65 -S31508000FB83C0500203A0500200004004838050020B2 -S31508000FC808B50821014800F0ECF808BD00040048F7 -S31508000FD8054B1B6913F0800F05D0034B034A5A606B -S31508000FE802F188325A60704700200240230167459B -S31508000FF8024A136943F080031361704700200240D0 -S31508001008014BD860704700BF002002400B4BDB68D5 -S3150800101813F0010F0DD1094BDB6813F0100F01D03F -S3150800102802207047054BDB6813F0040F03D0032032 -S315080010387047012070470420704700BF002002400F -S3150800104810B50446FFF7E2FF02E0FFF7DFFF013CB1 -S31508001058012801D1002CF8D104B9052010BD0000DB -S3150800106838B505464FF43020FFF7EAFF042800D0C4 -S3150800107838BD094C236943F0020323616561236976 -S3150800108843F0400323614FF43020FFF7D9FF236963 -S3150800109823F002032361EBE70020024030B583B052 -S315080010A805460C46002301934FF43020FFF7C8FF86 -S315080010B8042801D003B030BD104A136943F0010370 -S315080010C81361A3B22B804FF43020FFF7B9FF042829 -S315080010D805D00A4A136923F001031361EAE70235C2 -S315080010E80195019B240C1C804FF43020FFF7A8FFBC -S315080010F8024A136923F001031361DBE70020024063 -S3150800110800230F2B43D8F0B434E085685F00032624 -S31508001118BE4025EA0605856082684C79BC402243AC -S3150800112882608588ADB29FB20126BE4025EA0605CB -S315080011388580828892B28C79BC40A4B22243828088 -S3150800114802685D00032404FA05F622EA060202602C -S3150800115802680E79AE4032430260C2689EB27600D3 -S31508001168B44022EA0402CC79AC402243C260013377 -S315080011780F2B0AD801229A400C68A243F7D10A799C -S31508001188013AD2B2012ADBD8BFE7F0BC70477047EC -S31508001198038A194201D100207047012070478161EE -S315080011A870470185704730B401F007039B009A40E1 -S315080011B8C908083150F821400F2505FA03F324EA2F -S315080011C8030340F8213050F821301A4340F821200B -S315080011D830BC7047F0B5A04B5A6802F00C02042AD6 -S315080011E83AD0082A3CD01AB19C4B0360002201E089 -S315080011F89A4B0360984D6B68C3F30313DFF864E2F0 -S315080012081EF80370FFB2016821FA07F343606C6899 -S31508001218C4F302241EF80440E4B223FA04F48460F2 -S315080012286E68C6F3C2261EF80660F6B2F340C360B7 -S31508001238ED6A2D0905F00F0CDFF82CE23EF81CE0E4 -S315080012481FFA8EFE15F0100F23D0BEF1000F20D01E -S31508001258B2FBFEF505611DE0804B03600022C9E775 -S315080012687D4B5A685B68C2F38342023213F4803FA7 -S3150800127804D17B4B03FB02F20260BBE7764BDB6AC1 -S3150800128803F00F0301337549B1FBF3F303FB02F2CD -S31508001298F2E70161704DED6A6D0A05F00F0CDFF88B -S315080012A8C8E13EF81CE01FFA8EFE15F0100F06D0AE -S315080012B8BEF1000F03D0B2FBFEF5456100E04161BF -S315080012C8654D2D6B15F0100F40F08180634D8561D3 -S315080012D8614D2D6B15F0200F7BD1604DC5615E4DB4 -S315080012E82D6B15F0400F76D15C4D05625A4D2D6B66 -S315080012F815F4807F01D08A426FD04362564D2D6B14 -S3150800130815F4805F01D08A426CD08362524D2D6BEA -S3150800131815F4007F01D08A4269D0C3624E4D2D6B01 -S3150800132815F4806F01D08A4266D0C3644A4D2D6B86 -S3150800133815F4006F01D08A4263D00365464D2D6BBC -S3150800134815F4005F01D08A4260D00365424D2D6BC3 -S3150800135815F4004F01D08A425DD083653E4B1B6B5E -S3150800136813F0030F5CD184633B4B1B6B13F4403FAC -S315080013787CD1C463384B1B6B13F4402F40F095801F -S315080013880464354B1B6B13F4401F40F0AD8044646E -S31508001398314B1B6B13F4400F00F0C5802E4B1B6BAB -S315080013A803F44003B3F5800F00F0BF802A4B1B6B8C -S315080013B803F44003B3F5000F00F0B980264B1B6B06 -S315080013C803F44003B3F5400F00F0B580F0BD816122 -S315080013D87EE7C16183E7016288E7B7428DD1550088 -S315080013E845628BE7B74290D1550085628EE7B742CA -S315080013F893D15500C56291E7B74296D15500C564A1 -S3150800140894E7B74299D15500056597E7B7429CD145 -S31508001418550045659AE7B7429FD1520082659DE710 -S315080014280D4B1B6B03F00303012B0ED00A4B1B6BEA -S3150800143803F00303022B0AD0074B1B6B03F00303C5 -S31508001448032B91D1054B83638EE781638CE74FF4B1 -S315080014580043836388E700BF0010024000127A0041 -S3150800146800093D002400002004000020334B1B6BB4 -S3150800147803F44033B3F5803F11D0304B1B6B03F4AC -S315080014884033B3F5003F0CD02C4B1B6B03F44033A9 -S31508001498B3F5403F7FF46EAF294BC3636AE7C16370 -S315080014A868E74FF40043C36364E7244B1B6B03F4F4 -S315080014B84023B3F5802F11D0204B1B6B03F4402330 -S315080014C8B3F5002F0CD01D4B1B6B03F44023B3F563 -S315080014D8402F7FF456AF1A4B036452E7016450E76E -S315080014E84FF4004303644CE7144B1B6B03F4401397 -S315080014F8B3F5801F11D0114B1B6B03F44013B3F5DA -S31508001508001F0CD00D4B1B6B03F44013B3F5401F9B -S315080015187FF43EAF0A4B43643AE7416438E74FF431 -S315080015280043436434E78464F0BD8164F0BD4FF436 -S3150800153800438364F0BD024B836447E7001002400A -S3150800154800127A0029B9054A536923EA000050614E -S315080015587047024A536918435061704700100240A1 -S3150800156829B9054AD36923EA0000D0617047024AB7 -S31508001578D3691843D06170470010024030B599B056 -S3150800158804460D46036823F001030360436823F401 -S3150800159840538A6813434360036823F4B05323F01F -S315080015A80C034A68C9680A4329690A43134303604E -S315080015B8836823F440736A691343836001A8FFF7B5 -S315080015C809FE1C4B9C4227D01B4B9C4226D01B4B22 -S315080015D89C4225D01A4B9C4224D01399236813F4AD -S315080015E8004F21D049002A68B1FBF2F302FB131217 -S315080015F82968B2EB510F00D30133226812F4004F61 -S3150800160806D0C3F3420223F00F031B041B0C134333 -S315080016189BB2A38119B030BD0F99DFE71099DDE7B2 -S315080016281199DBE71299D9E72A68B1FBF2F302FBAD -S315080016381312DDE700380140004400400048004026 -S31508001648004C004021B9036823F001030360704782 -S31508001658036843F0010303607047C1F30801018575 -S315080016687047808CC0F308007047C3690B4201D1E4 -S3150800167800207047012070471222164B1A60A3F5FE -S3150800168880535A685A605A685A605A6842F480629F -S315080016985A605A6822F47C125A605A6842F46012F0 -S315080016A85A601A6842F080721A600B4B1B6813F06E -S315080016B8007FFAD0084B5A6822F003025A605A6823 -S315080016C842F002025A60044B5B6803F00C03082BCD -S315080016D8F9D17047002002400010024010B5174C97 -S315080016E8D4F8883043F47003C4F88830144B1A6861 -S315080016F842F001021A605968124A0A405A601A6882 -S3150800170822F0847222F480321A601A6822F480223F -S315080017181A605A6822F4FE025A60DA6A22F00F0240 -S31508001728DA62196B084A0A401A6300229A60FFF7B8 -S31508001738A3FF4FF00063A36010BD00BF00ED00E0F3 -S31508001748001002400CC07FF8CCFC00FF2DE9F041E0 -S3150800175882B001214FF48020FFF7F4FE4FF0080805 -S31508001768CDF8008001248DF8044000258DF8065030 -S3150800177803268DF805608DF80750394F69463846AF -S31508001788FFF7BEFC41463846FFF70BFD21464FF4E6 -S315080017980030FFF7D7FE8DF804508DF807404FF450 -S315080017A80073009369464FF09040FFF7A9FC21465D -S315080017B84FF40030FFF7D4FE21464FF40030FFF708 -S315080017C8C1FE072202214FF09040FFF7ECFC0722E2 -S315080017D80F214FF09040FFF7E6FC8DF806508DF87C -S315080017E8074002278DF80470042300938DF80560D6 -S315080017F869464FF09040FFF783FC8DF804704FF464 -S315080018080043009369464FF09040FFF779FC21465C -S315080018184FF40030FFF796FE09220B214FF090404F -S31508001828FFF7C1FC09220C214FF09040FFF7BBFCDB -S315080018384FF4C05300938DF804708DF805608DF841 -S3150800184806508DF8074069464FF09040FFF758FC58 -S3150800185821464FF00070FFF783FE02B0BDE8F0811D -S315080018680004004808B5FFF771FFFFF7D9F8FFF736 -S31508001878E5F8FCE7DFF834D0002103E00C4B5B58A9 -S31508001888435004310B480C4B42189A42F6D30B4A7C -S3150800189802E0002342F8043B094B9A42F9D3FFF7C2 -S315080018A81DFF00F011F8FFF7DDFFFEE70030002006 -S315080018B8B819000800000020340000203400002071 -S315080018C840050020FEE7000070B50D4E0D4CA41B20 -S315080018D8A4100025A54209D10B4E0C4C00F018F8A7 -S315080018E8A41BA4100025A54205D170BD56F82530BD -S315080018F898470135EEE756F8253098470135F2E757 -S31508001908B0190008B0190008B0190008B419000879 -S31508001918F8B500BFF8BC08BC9E467047F8B500BFC6 -S30D08001928F8BC08BC9E46704796 -S315080019302E2E2F2E2E2F2E2E2F2E2E2F536F7572C4 -S3150800194063652F41524D434D345F53544D333246F0 -S31508001950332F63616E2E6300050206020603070332 -S315080019600803090309040A040B040C040C050D05F5 -S315080019700E050F050F061006100710082E2E2F2E1F -S315080019802E2F2E2E2F2E2E2F536F757263652F41F5 -S31508001990524D434D345F53544D333246332F7561A0 -S315080019A072742E63000000004F70656E424C54003E -S309080019B0AD0100086F -S309080019B4890100088F -S315080019B804000000010002000400060008000A00EE -S315080019C80C00100020004000800000010000000004 -S315080019D800000000000000000102030401020304DD -S309080019E806070809CF -S7050800187D5D +S315080003980102C3F8802107E040F22511064800F05B +S315080003A81BFBC9E700F0D4FB024B9B6813F0806F70 +S315080003B8F8D038BD0064004048190008214BDB68AE +S315080003C813F0030F3CD01F4BD3F8B03113F0040FCA +S315080003D80ED11C4BD3F8B0315B0D40F267629342DD +S315080003E80DD00020174AD36843F02003D36070471E +S315080003F8144BD3F8B031DB0843F00043EDE7114B53 +S31508000408D3F8B8210270D3F8B821120A4270D3F883 +S31508000418B821120C8270D3F8B821120EC270D3F81C +S31508000428BC210271D3F8BC21120A4271D3F8BC2147 +S31508000438120C8271D3F8BC311B0EC3710120D1E7A7 +S31508000448002070470064004008B5FFF7B9FE08BDEC +S3150800045810B500F0C1F900B910BD00F077FD002805 +S31508000468FAD000F02FFB00F0CBF900F0B9F920F02C +S31508000478604020F07F00044B186000F0B1F944682A +S31508000488FFF7A0FEA047E7E708ED00E070B508E02B +S31508000498461C4D1C0B78037000F05AFB304629465B +S315080004A82246531E9CB2002AF2D170BD08B5C1F384 +S315080004B8080353B90368994209D040F8041B4FF456 +S315080004C80072FFF7E3FF012008BD002008BD0120E0 +S315080004D808BD2DE9F041074600F086FD342000F0F6 +S315080004E89BFD00F09FFD012816D000247F2C15D807 +S315080004F83E68A30006EB03083B445D6800F028FB4A +S315080005082946404600F0D6FD042809D156F8243075 +S315080005189D420BD10134E9E7002402E0012400E0FA +S31508000528002400F071FD2046BDE8F0810024F8E7B4 +S3150800053838B50D460B4B984208D004460A4B9942E3 +S3150800054806D0FFF7C6FF20B9002407E0074C00E0ED +S31508000558044C29462046FFF7A9FF08B1204638BDAE +S315080005680024FBE754020020002000085000002061 +S315080005782DE9F04106460C4617461D4621F4FF7834 +S3150800058828F001080368B3F1FF3F0DD03368984592 +S3150800059805D041463046FFF7CBFF064628B33368F1 +S315080005A8E41A344404340CE04146FFF77FFF034657 +S315080005B80028EBD116E017F8013B04F8013B013D8A +S315080005C80FD000F0C5FA331DE31AB3F5007FF2D34E +S315080005D808F500713046FFF7ABFF064638B1041D2B +S315080005E8E9E701231846BDE8F0810023FAE7002366 +S315080005F8F8E700004FF0FF33024A1360024A136017 +S31508000608704700BF50000020540200200E4B984245 +S3150800061816D910B54318013B0C4CA34212D80B4601 +S31508000628014620F4FF7020F00100094CA04203D0CF +S315080006380848FFF79DFF10BD0748FFF799FF10BD4B +S3150800064800207047002010BDFF1F0008FFFF0008A4 +S3150800065800200008500000205402002070B520F43D +S31508000668FF6525F007051A4B9D422CD94318013B0F +S31508000678184A93422AD8401B0144C1F3CF26C1F32E +S315080006880A0109B10136B6B200F0AEFC342000F012 +S31508000698C3FC00F0C7FC01280DD00024A6420CD9DB +S315080006A800F056FA05EBC42000F0E6FC042808D149 +S315080006B80134A4B2F2E7002400E0012400F0A4FC07 +S315080006C802E00024FAE70024204670BD0024FBE770 +S315080006D8FF1F0008FFFF0008104B1B68B3F1FF3F18 +S315080006E81AD000B583B00D4A536891680B44D1688F +S315080006F80B4411690B4451690B4491690B44D2693F +S3150800070813445B4202AA42F8043D04210448FFF751 +S315080007187DFF03B05DF804FB0120704754020020F2 +S31508000728882100080D4B1B680D4A126813440D4AA8 +S31508000738126813440C4A126813440C4A1268134484 +S315080007480B4A126813440B4A126813440A4A126879 +S31508000758D34201D0002070470120704700200008C6 +S3150800076804200008082000080C20000810200008AB +S3150800077814200008182000088821000808B50C4B22 +S315080007881B68B3F1FF3F04D00948FFF7A2FE0346EA +S3150800079850B1084B1B68B3F1FF3F07D00548FFF770 +S315080007A898FE034600B10123184608BD0123FBE756 +S315080007B85402002050000020004870470020000816 +S315080007C808B5FFF717FF08BD08B5FFF71FFF08BDEF +S315080007D808B5FFF743FF08BD08B5FFF7A3FF08BD2F +S315080007E808B5FFF7E9FF08BD08B5FFF775FF034623 +S315080007F808B9184608BDFFF7C1FF0346F9E7000020 +S315080008080022014B1A60704710E000E008B5FFF7B0 +S31508000818F7FF054B4FF6FF125A6000229A6005212A +S315080008281960024B1A6008BD10E000E05804002061 +S31508000838044B1B6813F4803F03D0034A136801333B +S315080008481360704710E000E05804002008B5FFF769 +S31508000858EFFF014B186808BD5804002010B5044678 +S315080008688021094800F00DFF08B9002010BD21466F +S31508000878054800F0FEFE8021034800F002FF002824 +S31508000888F9D0012010BD00BF0044004010B5044649 +S315080008982021064800F0F5FE012801D0002010BDE9 +S315080008A8024800F0EAFE2070012010BD004400400E +S315080008B810B586B04FF46143009300230193029361 +S315080008C8039305930C230493054C6946204600F0C8 +S315080008D861FE0121204600F0C1FE06B010BD00BF2A +S315080008E80044004070B506460D4640290AD82846F1 +S315080008F8FFF7B4FF012803D079210E4800F06CF8F9 +S31508000908002406E075210B4800F066F8EFE7013485 +S31508000918A4B2ABB29C420BD200F01AF9305DFFF7CD +S315080009289DFF0128F3D08221024800F055F8EEE72A +S3150800093870BD00BF9419000838B5224B1C78ACB9AD +S315080009482148FFF7A3FF012801D0204638BD1E4BD2 +S315080009581B78002BF9D0FFF779FF1C4B186000228B +S315080009681B4B1A700122174B1A70EEE70546184BEF +S315080009781B78013314481844FFF788FF04460128F2 +S315080009880AD0FFF763FF114B1B686433984216D9E0 +S3150800099800240C4B1C70D8E70D4B1A780132D2B2DA +S315080009A81A70094B1B789A4201D00024CDE70949E9 +S315080009B82846FFF76BFD0022024B1A70C5E700248C +S315080009C8C3E700BF9D0400205C040020A0040020A3 +S315080009D89E0400205D04002008B500F0B9F8FCE77D +S315080009E808B500F0ADF8012803D0094B1B78012B90 +S315080009F800D008BDFFF72AFF064B1B6803F5FA73F4 +S31508000A089842F6D30022024B1A70FFF721FDF0E749 +S31508000A18A4040020A804002008B50122044B1A7073 +S31508000A28FFF714FF034B1860FFF7DAFF08BD00BF8E +S31508000A38A4040020A804002008B5FFF705FD00F067 +S31508000A4883F8FFF7E3FEFFF7BBFE00F00DF8FFF7A4 +S31508000A58E3FF08BD08B500F07BF8FFF7E9FE00F0EC +S31508000A6813F8FFF7BDFF08BD10B500F0DDF9FFF76D +S31508000A78D7FB044C01232370FFF71AFF00232370C2 +S31508000A8810BD00BF0000002008B50C48FFF796FC0B +S31508000A98012805D00948FFF74FFF012807D008BDE8 +S31508000AA80122074B1A70054800F0DEF9F2E7002222 +S31508000AB8034B1A70014800F0D7F9F0E7AC04002098 +S31508000AC8000000207047000038B504460D46094B5B +S31508000AD81B78012B05D0074B1B7833B100F0BCF9FE +S31508000AE838BDC9B2FFF720FCF5E7E9B22046FFF79B +S31508000AF8F9FEF3E700000020074B1B78022B05D008 +S31508000B08032B05D0012B05D04020704700207047DD +S31508000B18002070470820704700000020074B1B7804 +S31508000B28022B05D0032B05D0012B05D04020704792 +S31508000B3800207047002070470820704700000020F2 +S31508000B4808B500F07FF908BD08B500F00BFA08BD2E +S31508000B5808B500F00CFA08BD10B4002304E010F834 +S31508000B68011B0B44DBB221464C1E0029F7D1136042 +S31508000B7801205DF8044B70470022014B5A707047F4 +S31508000B88EC040020034BFE22DA7018710222A3F83F +S31508000B9844207047EC04002010B5054C0023237048 +S31508000BA8FFF7EAFFFF23E3700123A4F8443010BDDA +S31508000BB8EC040020064BFF22DA7000221A715978D5 +S31508000BC859719A71DA711A720622A3F84420704785 +S31508000BD8EC04002008B50020FFF7D4FF08BD000084 +S31508000BE8074BFF22DA70074A9A6400221A715A716B +S31508000BF89A710722C3F807200822A3F844207047E9 +S31508000C08EC040020C0190008044BFF22DA70426879 +S31508000C189A640122A3F84420704700BFEC04002018 +S31508000C2810B5084CFF23E370E21D4168A06CFFF776 +S31508000C3893FF207100236371A3710823A4F8443035 +S31508000C4810BD00BFEC04002008B53120FFF79AFF55 +S31508000C5808BD000038B5084CFF23E3700025257148 +S31508000C686571FFF749FFA071E5712572657207235B +S31508000C78A4F8443038BD00BFEC04002038B5FFF7A7 +S31508000C887BFF0E4C01252570FF23E3701023237183 +S31508000C9800236371FFF730FFA071FFF73FFFE0718C +S31508000CA8FFF73CFFC0F3072020726572A572082378 +S31508000CB8A4F84430FFF784FD38BD00BFEC040020D3 +S31508000CC838B505464478FFF717FF0138844210DC23 +S31508000CD80A4C6A78A16C201DFFF7D8FBFF23E3703E +S31508000CE86A78A36C1344A3646B780133A4F8443078 +S31508000CF838BD2220FFF746FF38BD00BFEC040020A8 +S31508000D0838B504464578FFF7F7FE0138854211DC01 +S31508000D1861680A4DA9646278281DFFF7B7FBFF23A7 +S31508000D28EB706278AB6C1344AB6463780133A5F84F +S31508000D38443038BD2220FFF725FF38BDEC040020D3 +S31508000D4838B505460D4B9C6CFFF7D6FE6A1C411E46 +S31508000D582046FFF739FD60B1084CFF23E370FFF71B +S31508000D68CBFE0138A36C0344A3640123A4F84430DA +S31508000D7838BD3120FFF706FF38BD00BFEC04002058 +S31508000D8838B504464578FFF7B7FE023885420EDCC3 +S31508000D98114BFF22DA700122A3F84420617851B971 +S31508000DA8FFF722FD90B93120FFF7ECFE38BD222067 +S31508000DB8FFF7E8FE38BDA21C074B986CFFF704FD41 +S31508000DC828B16178044A936C0B44936438BD312082 +S31508000DD8FFF7D8FE38BD00BFEC04002008B5416807 +S31508000DE8074B986CFFF7F4FC30B1054BFF22DA7015 +S31508000DF80122A3F8442008BD3120FFF7C3FE08BD29 +S31508000E08EC04002008B5FFF723FB034BFF22DA7032 +S31508000E180122A3F8442008BDEC04002008B589B2CD +S31508000E28FFF752FE08BD0000054B00221A709A64A7 +S31508000E3883F84320A3F844209A705A70704700BF75 +S31508000E48EC040020034B1B780BB1012070470020E7 +S31508000E58704700BFEC0400200022024B83F84320A9 +S31508000E68704700BFEC04002008B50378FF2B04D0B0 +S31508000E78354A1278012A14D008BDFFF7FFFE324B0F +S31508000E8893F84330012B5AD02F4BB3F94410002955 +S31508000E98F2DD1846012380F843300330FFF7BEFF1A +S31508000EA8EAE7C93B352B46D8DFE803F03345454220 +S31508000EB845453F363C3945454545454545454545F6 +S31508000EC845454545454545454545454545454545BC +S31508000ED8454545454545241E1B2145454527452A7B +S31508000EE82D30FFF7EDFECAE7FFF70AFFC7E7FFF75A +S31508000EF88BFEC4E7FFF794FEC1E7FFF771FEBEE76E +S31508000F08FFF768FEBBE7FFF755FEB8E7FFF744FEAD +S31508000F18B5E7FFF715FFB2E7FFF732FFAFE7FFF7C9 +S31508000F2899FEACE7FFF75AFFA9E7FFF76BFFA6E7B5 +S31508000F38FFF78AFEA3E72020FFF724FE9FE7102085 +S31508000F48FFF720FEA0E700BFEC04002008B500F074 +S31508000F5843F84FF400714FF0904000F025F900B1BE +S31508000F68012008BD08B5642000F006F808BD08B5D4 +S31508000F7800F008F808BD0000014B1880704700BF4C +S31508000F883805002008B5FFF761FC0F4B1B68984227 +S31508000F9810D30E4B1B7873B901220C4B1A70082113 +S31508000FA80B4800F008F9FFF751FC0A4B1B88184450 +S31508000FB8054B186008BD0022044B1A70082104481E +S31508000FC800F0FBF8EFE700BF3C0500203A050020D3 +S31508000FD8000400483805002008B50821014800F033 +S31508000FE8ECF808BD00040048054B1B6913F0800F90 +S31508000FF805D0034B034A5A6002F188325A60704793 +S315080010080020024023016745024A136943F080031A +S315080010181361704700200240014BD860704700BF33 +S31508001028002002400B4BDB6813F0010F0DD1094B6A +S31508001038DB6813F0100F01D002207047054BDB68F8 +S3150800104813F0040F03D003207047012070470420CB +S31508001058704700BF0020024010B50446FFF7E2FFBC +S3150800106802E0FFF7DFFF013C012801D1002CF8D187 +S3150800107804B9052010BD000038B505464FF43020E0 +S31508001088FFF7EAFF042800D038BD094C236943F066 +S31508001098020323616561236943F0400323614FF422 +S315080010A83020FFF7D9FF236923F002032361EBE712 +S315080010B80020024030B583B005460C46002301934C +S315080010C84FF43020FFF7C8FF042801D003B030BD1D +S315080010D8104A136943F001031361A3B22B804FF436 +S315080010E83020FFF7B9FF042805D00A4A136923F008 +S315080010F801031361EAE702350195019B240C1C805C +S315080011084FF43020FFF7A8FF024A136923F00103BA +S315080011181361DBE70020024000230F2B43D8F0B405 +S3150800112834E085685F000326BE4025EA0605856023 +S3150800113882684C79BC40224382608588ADB29FB2EA +S315080011480126BE4025EA06058580828892B28C79F2 +S31508001158BC40A4B22243828002685D00032404FAD4 +S3150800116805F622EA0602026002680E79AE403243A4 +S315080011780260C2689EB27600B44022EA0402CC79BC +S31508001188AC402243C26001330F2B0AD801229A4089 +S315080011980C68A243F7D10A79013AD2B2012ADBD8F8 +S315080011A8BFE7F0BC70477047038A194201D100208F +S315080011B8704701207047816170470185704730B4D0 +S315080011C801F007039B009A40C908083150F82140E6 +S315080011D80F2505FA03F324EA030340F8213050F8EB +S315080011E821301A4340F8212030BC7047F0B5A04B8F +S315080011F85A6802F00C02042A3AD0082A3CD01AB1D6 +S315080012089C4B0360002201E09A4B0360984D6B687B +S31508001218C3F30313DFF864E21EF80370FFB201682C +S3150800122821FA07F343606C68C4F302241EF80440E5 +S31508001238E4B223FA04F484606E68C6F3C2261EF87C +S315080012480660F6B2F340C360ED6A2D0905F00F0C87 +S31508001258DFF82CE23EF81CE01FFA8EFE15F0100F98 +S3150800126823D0BEF1000F20D0B2FBFEF505611DE0C4 +S31508001278804B03600022C9E77D4B5A685B68C2F356 +S315080012888342023213F4803F04D17B4B03FB02F2FC +S315080012980260BBE7764BDB6A03F00F030133754937 +S315080012A8B1FBF3F303FB02F2F2E70161704DED6A55 +S315080012B86D0A05F00F0CDFF8C8E13EF81CE01FFAC6 +S315080012C88EFE15F0100F06D0BEF1000F03D0B2FB44 +S315080012D8FEF5456100E04161654D2D6B15F0100F6F +S315080012E840F08180634D8561614D2D6B15F0200FA7 +S315080012F87BD1604DC5615E4D2D6B15F0400F76D1DB +S315080013085C4D05625A4D2D6B15F4807F01D08A42D3 +S315080013186FD04362564D2D6B15F4805F01D08A4213 +S315080013286CD08362524D2D6B15F4007F01D08A422A +S3150800133869D0C3624E4D2D6B15F4806F01D08A4271 +S3150800134866D0C3644A4D2D6B15F4006F01D08A42E6 +S3150800135863D00365464D2D6B15F4005F01D08A42AC +S3150800136860D00365424D2D6B15F4004F01D08A42B3 +S315080013785DD083653E4B1B6B13F0030F5CD184630A +S315080013883B4B1B6B13F4403F7CD1C463384B1B6B38 +S3150800139813F4402F40F095800464354B1B6B13F407 +S315080013A8401F40F0AD804464314B1B6B13F4400F6B +S315080013B800F0C5802E4B1B6B03F44003B3F5800F72 +S315080013C800F0BF802A4B1B6B03F44003B3F5000FEC +S315080013D800F0B980264B1B6B03F44003B3F5400FA6 +S315080013E800F0B580F0BD81617EE7C16183E70162DF +S315080013F888E7B7428DD1550045628BE7B74290D149 +S31508001408550085628EE7B74293D15500C56291E7C4 +S31508001418B74296D15500C56494E7B74299D15500A5 +S31508001428056597E7B7429CD1550045659AE7B742DF +S315080014389FD1520082659DE70D4B1B6B03F0030392 +S31508001448012B0ED00A4B1B6B03F00303022B0AD0A1 +S31508001458074B1B6B03F00303032B91D1054B8363DF +S315080014688EE781638CE74FF40043836388E700BF00 +S315080014780010024000127A0000093D0024000020EE +S3150800148804000020334B1B6B03F44033B3F5803F4D +S3150800149811D0304B1B6B03F44033B3F5003F0CD027 +S315080014A82C4B1B6B03F44033B3F5403F7FF46EAF08 +S315080014B8294BC3636AE7C16368E74FF40043C3630C +S315080014C864E7244B1B6B03F44023B3F5802F11D034 +S315080014D8204B1B6B03F44023B3F5002F0CD01D4B90 +S315080014E81B6B03F44023B3F5402F7FF456AF1A4B12 +S315080014F8036452E7016450E74FF4004303644CE77A +S31508001508144B1B6B03F44013B3F5801F11D0114B12 +S315080015181B6B03F44013B3F5001F0CD00D4B1B6B64 +S3150800152803F44013B3F5401F7FF43EAF0A4B4364F8 +S315080015383AE7416438E74FF40043436434E7846480 +S31508001548F0BD8164F0BD4FF400438364F0BD024BDF +S31508001558836447E70010024000127A0029B9054A51 +S31508001568536923EA000050617047024A53691843D1 +S31508001578506170470010024029B9054AD36923EA21 +S315080015880000D0617047024AD3691843D061704792 +S315080015980010024030B599B004460D46036823F09A +S315080015A801030360436823F440538A68134343607E +S315080015B8036823F4B05323F00C034A68C9680A433E +S315080015C829690A4313430360836823F440736A69E5 +S315080015D81343836001A8FFF709FE1C4B9C4227D0DA +S315080015E81B4B9C4226D01B4B9C4225D01A4B9C422F +S315080015F824D01399236813F4004F21D049002A6888 +S31508001608B1FBF2F302FB13122968B2EB510F00D3B0 +S315080016180133226812F4004F06D0C3F3420223F0BE +S315080016280F031B041B0C13439BB2A38119B030BDCF +S315080016380F99DFE71099DDE71199DBE71299D9E7E2 +S315080016482A68B1FBF2F302FB1312DDE70038014002 +S315080016580044004000480040004C004021B9036897 +S3150800166823F0010303607047036843F0010303602E +S315080016787047C1F3080101857047808CC0F30800DC +S315080016887047C3690B4201D1002070470120704793 +S315080016981222164B1A60A3F580535A685A605A687C +S315080016A85A605A6842F480625A605A6822F47C1270 +S315080016B85A605A6842F460125A601A6842F0807290 +S315080016C81A600B4B1B6813F0007FFAD0084B5A6850 +S315080016D822F003025A605A6842F002025A60044B22 +S315080016E85B6803F00C03082BF9D170470020024009 +S315080016F80010024010B5174CD4F8883043F470032C +S31508001708C4F88830144B1A6842F001021A605968FE +S31508001718124A0A405A601A6822F0847222F4803201 +S315080017281A601A6822F480221A605A6822F4FE029D +S315080017385A60DA6A22F00F02DA62196B084A0A4016 +S315080017481A6300229A60FFF7A3FF4FF00063A360AD +S3150800175810BD00BF00ED00E0001002400CC07FF885 +S31508001768CCFC00FF2DE9F04182B001214FF480201E +S31508001778FFF7F4FE4FF00808CDF8008001248DF82D +S31508001788044000258DF8065003268DF805608DF867 +S315080017980750394F69463846FFF7BEFC4146384672 +S315080017A8FFF70BFD21464FF40030FFF7D7FE8DF8FB +S315080017B804508DF807404FF40073009369464FF0BC +S315080017C89040FFF7A9FC21464FF40030FFF7D4FEF6 +S315080017D821464FF40030FFF7C1FE072202214FF0D9 +S315080017E89040FFF7ECFC07220F214FF09040FFF7D7 +S315080017F8E6FC8DF806508DF8074002278DF8047028 +S31508001808042300938DF8056069464FF09040FFF76A +S3150800181883FC8DF804704FF40043009369464FF033 +S315080018289040FFF779FC21464FF40030FFF796FE03 +S3150800183809220B214FF09040FFF7C1FC09220C2121 +S315080018484FF09040FFF7BBFC4FF4C05300938DF858 +S3150800185804708DF805608DF806508DF807406946BE +S315080018684FF09040FFF758FC21464FF00070FFF7FD +S3150800187883FE02B0BDE8F0810004004808B5FFF70A +S3150800188871FFFFF7D9F8FFF7E5F8FCE7DFF834D07A +S31508001898002103E00C4B5B58435004310B480C4BB2 +S315080018A842189A42F6D30B4A02E0002342F8043B50 +S315080018B8094B9A42F9D3FFF71DFF00F011F8FFF715 +S315080018C8DDFFFEE700300020D019000800000020E0 +S315080018D8340000203400002040050020FEE7000000 +S315080018E870B50D4E0D4CA41BA4100025A54209D1B0 +S315080018F80B4E0C4C00F018F8A41BA4100025A542A2 +S3150800190805D170BD56F8253098470135EEE756F8E3 +S31508001918253098470135F2E7C8190008C81900089C +S31508001928C8190008CC190008F8B500BFF8BC08BCE7 +S315080019389E467047F8B500BFF8BC08BC9E46704777 +S315080019482E2E2F2E2E2F2E2E2F2E2E2F536F7572AC +S3150800195863652F41524D434D345F53544D333246D8 +S31508001968332F63616E2E630005020602060307031A +S315080019780803090309040A040B040C040C050D05DD +S315080019880E050F050F061006100710082E2E2F2E07 +S315080019982E2F2E2E2F2E2E2F536F757263652F41DD +S315080019A8524D434D345F53544D333246332F756188 +S315080019B872742E63000000004F70656E424C540026 +S309080019C8AD01000857 +S309080019CC8901000877 +S315080019D004000000010002000400060008000A00D6 +S315080019E00C001000200040008000000100000000EC +S315080019F000000000000000000102030401020304C5 +S30908001A0006070809B6 +S7050800189545 diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/blt_conf.h b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/blt_conf.h index c0a60511..a3897843 100644 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/blt_conf.h +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Boot/blt_conf.h @@ -61,7 +61,8 @@ * in bits/second. Two CAN messages are reserved for communication with the host. The * message identifier for sending data from the target to the host is configured with * BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with - * BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data + * BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by + * OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data * transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and * BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more * than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the @@ -73,11 +74,11 @@ /** \brief Configure the desired CAN baudrate. */ #define BOOT_COM_CAN_BAUDRATE (500000) /** \brief Configure CAN message ID target->host. */ -#define BOOT_COM_CAN_TX_MSG_ID (0x7E1) +#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/) /** \brief Configure number of bytes in the target->host CAN message. */ #define BOOT_COM_CAN_TX_MAX_DATA (8) /** \brief Configure CAN message ID host->target. */ -#define BOOT_COM_CAN_RX_MSG_ID (0x667) +#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/) /** \brief Configure number of bytes in the host->target CAN message. */ #define BOOT_COM_CAN_RX_MAX_DATA (8) /** \brief Select the desired CAN peripheral as a zero based index. */ diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.elf b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.elf index cb0fbc0f..ff014118 100755 Binary files a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.elf and b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.elf differ diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.srec b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.srec index 92960f12..6736b6c2 100755 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.srec +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/Debug/demoprog_stm32f303.srec @@ -1,269 +1,270 @@ S02B00002F686F6D652F766F6F72627572672F446576656C6F706D656E742F4F70656E424C542F5461726765E0 -S3150800200000300020F52E0008452F0008452F00084F -S31508002010452F0008452F0008452F0008000000003E -S31508002020000000000000000000000000452F000826 -S31508002030452F000800000000452F0008A52F0008BE -S31508002040452F0008452F0008452F0008452F000892 -S31508002050452F0008452F0008452F0008452F000882 -S31508002060452F0008452F0008452F0008452F000872 -S31508002070452F0008452F0008452F0008452F000862 -S31508002080452F0008452F0008452F0008452F000852 -S31508002090452F0008452F0008452F0008452F000842 -S315080020A0452F0008452F0008452F0008452F000832 -S315080020B0452F0008452F000800000000452F00089E -S315080020C0452F00080000000000000000452F00080A -S315080020D000000000452F0008452F0008452F00087E -S315080020E0452F0008452F00080000000000000000EA +S3150800200000300020092F0008592F0008592F000812 +S31508002010592F0008592F0008592F00080000000002 +S31508002020000000000000000000000000592F000812 +S31508002030592F000800000000592F0008B92F000882 +S31508002040592F0008592F0008592F0008592F000842 +S31508002050592F0008592F0008592F0008592F000832 +S31508002060592F0008592F0008592F0008592F000822 +S31508002070592F0008592F0008592F0008592F000812 +S31508002080592F0008592F0008592F0008592F000802 +S31508002090592F0008592F0008592F0008592F0008F2 +S315080020A0592F0008592F0008592F0008592F0008E2 +S315080020B0592F0008592F000800000000592F000862 +S315080020C0592F00080000000000000000592F0008E2 +S315080020D000000000592F0008592F0008592F000842 +S315080020E0592F0008592F00080000000000000000C2 S315080020F000000000000000000000000000000000D2 S3150800210000000000000000000000000000000000C1 -S315080021100000000000000000452F0008452F0008B9 +S315080021100000000000000000592F0008592F000891 S3150800212000000000000000000000000000000000A1 S315080021300000000000000000000000000000000091 -S31508002140452F0008452F0008000000000000000089 +S31508002140592F0008592F0008000000000000000061 S315080021500000000000000000000000000000000071 S315080021600000000000000000000000000000000061 S315080021700000000000000000000000000000000051 -S3110800218000000000452F0008EE11AA55CB +S3110800218000000000592F0008EE11AA55B7 S3150800218C10B5054C237833B9044B13B10448AFF397 S3150800219C00800123237010BD3400002000000000CD -S315080021ACFC2F000808B5034B1BB103490348AFF3D2 -S315080021BC008008BD0000000038000020FC2F000835 +S315080021AC1030000808B5034B1BB103490348AFF3BD +S315080021BC008008BD00000000380000201030000820 S315080021CCF0B5002401E00134E4B2112C20D8114DED S315080021DC15F814E005EB44056F780EEB070606FBBD S315080021EC00064FF4FA4595FBF6F506FB05F5B5F52D S315080021FCFA4FE8D195FBF6F6B6B20E80013EB6B2AA S3150800220CB6F5806FDFD282F800E01F700120F0BDB2 -S3150800221C0020F0BD1430000870B588B001214FF4C9 -S3150800222C003000F055FD01214FF4003000F042FD5E -S3150800223C072202214FF0904000F06CFB07220F2179 -S3150800224C4FF0904000F066FB00248DF81E400125E7 +S3150800221C0020F0BD2830000870B588B001214FF4B5 +S3150800222C003000F05FFD01214FF4003000F04CFD4A +S3150800223C072202214FF0904000F076FB07220F216F +S3150800224C4FF0904000F070FB00248DF81E400125DD S3150800225C8DF81F5002268DF81C6004230693032361 -S3150800226C8DF81D3006A94FF0904000F007FB8DF84D +S3150800226C8DF81D3006A94FF0904000F011FB8DF843 S3150800227C1C604FF40043069306A94FF0904000F0FB -S3150800228CFDFA4FF461430093019402940394059468 -S3150800229C0C230493054C6946204600F035FD294667 -S315080022AC204600F095FD08B070BD00BF0044004004 -S315080022BC70B58AB001214FF4003000F0FBFC0922FE -S315080022CC0B214FF0904000F025FB09220C214FF012 -S315080022DC904000F01FFB4FF4C053089302238DF86F +S3150800228C07FB4FF46143009301940294039405945D +S3150800229C0C230493054C6946204600F03FFD29465D +S315080022AC204600F09FFD08B070BD00BF00440040FA +S315080022BC70B58AB001214FF4003000F005FD0922F3 +S315080022CC0B214FF0904000F02FFB09220C214FF008 +S315080022DC904000F029FB4FF4C053089302238DF865 S315080022EC243003238DF8253000248DF8264001254B -S315080022FC8DF8275008A94FF0904000F0BFFA2946F0 -S3150800230C4FF0007000F0E4FC244E304600F02AF939 -S3150800231C05A800F043FA6B460DEB05020DF1020118 +S315080022FC8DF8275008A94FF0904000F0C9FA2946E6 +S3150800230C4FF0007000F0EEFC244E304600F034F925 +S3150800231C05A800F04DFA6B460DEB05020DF102010E S3150800232C4FF4FA70FFF74CFF8DF81A408DF81B40E6 S3150800233C8DF81C408DF81D408DF81E408DF81F40F9 S3150800234C8DF816408DF817409DF80130013B8DF835 S3150800235C18309DF80030013B8DF81930BDF8023065 -S3150800236CADF8143005A9304600F008F98DF80E4082 +S3150800236CADF8143005A9304600F012F98DF80E4078 S3150800237C8DF80F408DF81050ADF80440ADF80640B6 S3150800238CADF80840ADF80A40ADF80C408DF8115080 -S3150800239C01A800F085F90AB070BD00BF00640040C2 -S315080023AC10B504462021064800F021FD012801D06D -S315080023BC002010BD024800F016FD2070012010BD4B +S3150800239C01A800F08FF90AB070BD00BF00640040B8 +S315080023AC10B504462021064800F02BFD012801D063 +S315080023BC002010BD024800F020FD2070012010BD41 S315080023CC0044004008B5FFF727FFFFF771FF08BD6B S315080023DCBFF34F8F0449CA6802F4E062034B1343F8 S315080023ECCB60BFF34F8FFEE700ED00E00400FA0563 S315080023FC08B5214B1B78A3B92048FFF7D1FF012854 -S3150800240C00D008BD1D4B1B78002BFAD000F0BEFD82 +S3150800240C00D008BD1D4B1B78002BFAD000F0C8FD78 S3150800241C1B4B18600122184B1A700022194B1A70A4 S3150800242C08BD184B1B78013314481844FFF7B8FF3E -S3150800243C01280AD000F0AAFD114B1B686433984298 +S3150800243C01280AD000F0B4FD114B1B68643398428E S3150800244CDFD900220C4B1A70DBE70E4A13780133DE S3150800245CDBB21370094A12789342D2D10022064B8A S3150800246C1A70064B5B78FF2BCBD1044B9B78002B51 S3150800247CC7D1FFF7ADFF00BF910000205000002028 -S3150800248C940000209200002000B587B000210D486A -S3150800249C00F0E7F910B907B05DF804FB01AA0021B2 -S315080024AC084800F08BF940F26763019A9A42F2D118 -S315080024BC9DF80F30FF2BEED19DF81030002BEAD18A -S315080024CCFFF786FF0064004008B5FFF791FFFFF79A -S315080024DCDBFF08BD30B583B001214FF4802000F036 -S315080024ECE9FB0825009501238DF8043000238DF8A7 -S315080024FC063003228DF805208DF80730054C694601 -S3150800250C204600F0BBF92946204600F001FA03B034 -S3150800251C30BD00BF0004004810B500F037FD0E4B67 -S3150800252C1B68C31AB3F5FA7F0CD304460B4B1B78FE -S3150800253C4BB90122094B1A700821094800F0E6F933 -S3150800254C054B1C6010BD0022044B1A700821044868 -S3150800255C00F0DEF9F4E700BF9C000020980000208C -S3150800256C0004004808B501214FF0007000F0BEFBCE -S3150800257C00214FF0007000F0B9FB08BD82B00023B3 -S3150800258C0193036823F002030360036843F0010315 -S3150800259C036002E0019B01330193436813F0010FBA -S315080025AC04D1019A6FF07F439A42F3D1436813F032 -S315080025BC010F71D08B79012B3CD0036823F0800373 -S315080025CC0360CB79012B3AD0036823F040030360F0 -S315080025DC0B7A012B38D0036823F0200303604B7A5F -S315080025EC012B36D0036823F0100303608B7A012B7A -S315080025FC34D0036823F008030360CB7A012B32D05E -S3150800260C036823F0040303608A78CB781B0643EA35 -S3150800261C82730A7943EA02434A7943EA02530A88DF -S3150800262C013A1343C361036823F0010303600023D3 -S3150800263C019320E0036843F080030360C1E7036855 -S3150800264C43F040030360C3E7036843F020030360C9 -S3150800265CC5E7036843F010030360C7E7036843F054 -S3150800266C08030360C9E7036843F004030360CBE778 -S3150800267C019B01330193436813F0010F04D0019AAF -S3150800268C6FF07F439A42F3D1436813F0010F01D1DF -S3150800269C012002E0002000E0002002B07047000094 -S315080026AC70B4837A012202FA03F33B4AD2F8001279 -S315080026BC41F00101C2F80012D2F81C42D9430C4071 -S315080026CCC2F81C42027BB2B9334AD2F80C420C400F -S315080026DCC2F80C42847AC688458845EA06454834C9 -S315080026EC42F83450857A8688048844EA0644483584 -S315080026FC02EBC5025460027B012A1FD0C27A002A5B -S3150800270C34D1254CD4F804220A40C4F80422028990 -S3150800271C2AB9214AD2F814422140C2F81412028965 -S3150800272C012A2AD0427B012A2ED01B4AD2F8003223 -S3150800273C23F00103C2F8003270BC7047164AD2F86F -S3150800274C0C421C43C2F80C42847A0688458845EA32 -S3150800275C0645483442F83450857A8688C48844EA53 -S3150800276C0644483502EBC5025460C7E70A4CD4F850 -S3150800277C04221A43C4F80422C9E70749D1F81422DB -S3150800278C1A43C1F81422CDE7034AD2F81C120B439C -S3150800279CC2F81C32C9E700BF0064004000238371ED -S315080027ACC371037243728372C3728370C37003233B -S315080027BC03710223437101230380704710B401F19E -S315080027CC1B031B01C35803F004031372002B3CD1E3 -S315080027DC01F11B031B01C3585B0D136001F11B03AD -S315080027EC1B01C418C35803F002035372636803F041 -S315080027FC0F03937263681B0AD37400EB0113D3F8A7 -S3150800280CB841D472D3F8B841240A1473D3F8B84132 -S3150800281C240C5473D3F8B841240E9473D3F8BC41E2 -S3150800282CD473D3F8BC41240A1474D3F8BC41240CD1 -S3150800283C5474D3F8BC311B0E937469B1036943F015 -S3150800284C200303615DF8044B704701F11B031B0160 -S3150800285CC358DB085360C1E7C36843F02003C36061 -S3150800286CF0E719B1012905D000207047C06800F0BF -S3150800287C03007047006900F00300704700230F2B14 -S3150800288C43D8F0B434E085685F000326BE4025EAD9 -S3150800289C0605856082684C79BC402243826085882F -S315080028ACADB29FB20126BE4025EA06058580828810 -S315080028BC92B28C79BC40A4B22243828002685D0035 -S315080028CC032404FA05F622EA0602026002680E7967 -S315080028DCAE4032430260C2689EB27600B44022EA29 -S315080028EC0402CC79AC402243C26001330F2B0AD8C0 -S315080028FC01229A400C68A243F7D10A79013AD2B25E -S3150800290C012ADBD8BFE7F0BC704770478161704776 -S3150800291C0185704730B401F007039B009A40C9083B -S3150800292C083150F821400F2505FA03F324EA03036E -S3150800293C40F8213050F821301A4340F8212030BC99 -S3150800294C70470000F0B5A04B5A6802F00C02042A36 -S3150800295C3AD0082A3CD01AB19C4B0360002201E0FD -S3150800296C9A4B0360984D6B68C3F30313DFF864E264 -S3150800297C1EF80370FFB2016821FA07F343606C680E -S3150800298CC4F302241EF80440E4B223FA04F4846067 -S3150800299C6E68C6F3C2261EF80660F6B2F340C3602C -S315080029ACED6A2D0905F00F0CDFF82CE23EF81CE059 -S315080029BC1FFA8EFE15F0100F23D0BEF1000F20D093 -S315080029CCB2FBFEF505611DE0804B03600022C9E7EA -S315080029DC7D4B5A685B68C2F38342023213F4803F1C -S315080029EC04D17B4B03FB02F20260BBE7764BDB6A36 -S315080029FC03F00F0301337549B1FBF3F303FB02F242 -S31508002A0CF2E70161704DED6A6D0A05F00F0CDFF8FF -S31508002A1CC8E13EF81CE01FFA8EFE15F0100F06D022 -S31508002A2CBEF1000F03D0B2FBFEF5456100E0416133 -S31508002A3C654D2D6B15F0100F40F08180634D856147 -S31508002A4C614D2D6B15F0200F7BD1604DC5615E4D28 -S31508002A5C2D6B15F0400F76D15C4D05625A4D2D6BDA -S31508002A6C15F4807F01D08A426FD04362564D2D6B88 -S31508002A7C15F4805F01D08A426CD08362524D2D6B5F -S31508002A8C15F4007F01D08A4269D0C3624E4D2D6B76 -S31508002A9C15F4806F01D08A4266D0C3644A4D2D6BFB -S31508002AAC15F4006F01D08A4263D00365464D2D6B31 -S31508002ABC15F4005F01D08A4260D00365424D2D6B38 -S31508002ACC15F4004F01D08A425DD083653E4B1B6BD3 -S31508002ADC13F0030F5CD184633B4B1B6B13F4403F21 -S31508002AEC7CD1C463384B1B6B13F4402F40F0958094 -S31508002AFC0464354B1B6B13F4401F40F0AD804464E3 -S31508002B0C314B1B6B13F4400F00F0C5802E4B1B6B1F -S31508002B1C03F44003B3F5800F00F0BF802A4B1B6B00 -S31508002B2C03F44003B3F5000F00F0B980264B1B6B7A -S31508002B3C03F44003B3F5400F00F0B580F0BD816196 -S31508002B4C7EE7C16183E7016288E7B7428DD15500FC -S31508002B5C45628BE7B74290D1550085628EE7B7423E -S31508002B6C93D15500C56291E7B74296D15500C56415 -S31508002B7C94E7B74299D15500056597E7B7429CD1BA -S31508002B8C550045659AE7B7429FD1520082659DE785 -S31508002B9C0D4B1B6B03F00303012B0ED00A4B1B6B5F -S31508002BAC03F00303022B0AD0074B1B6B03F003033A -S31508002BBC032B91D1054B83638EE781638CE74FF426 -S31508002BCC0043836388E700BF0010024000127A00B6 -S31508002BDC00093D002000002000000020334B1B6B31 -S31508002BEC03F44033B3F5803F11D0304B1B6B03F421 -S31508002BFC4033B3F5003F0CD02C4B1B6B03F440331E -S31508002C0CB3F5403F7FF46EAF294BC3636AE7C163E4 -S31508002C1C68E74FF40043C36364E7244B1B6B03F468 -S31508002C2C4023B3F5802F11D0204B1B6B03F44023A4 -S31508002C3CB3F5002F0CD01D4B1B6B03F44023B3F5D7 -S31508002C4C402F7FF456AF1A4B036452E7016450E7E2 -S31508002C5C4FF4004303644CE7144B1B6B03F440130B -S31508002C6CB3F5801F11D0114B1B6B03F44013B3F54E -S31508002C7C001F0CD00D4B1B6B03F44013B3F5401F10 -S31508002C8C7FF43EAF0A4B43643AE7416438E74FF4A6 -S31508002C9C0043436434E78464F0BD8164F0BD4FF4AB -S31508002CAC00438364F0BD024B836447E7001002407F -S31508002CBC00127A0029B9054A536923EA00005061C3 -S31508002CCC7047024A53691843506170470010024016 -S31508002CDC29B9054AD36923EA0000D0617047024A2C -S31508002CECD3691843D06170470010024029B9054AC8 -S31508002CFC136923EA000010617047024A13691843E6 -S31508002D0C106170470010024030B599B004460D4664 -S31508002D1C036823F001030360436823F440538A686D -S31508002D2C13434360036823F4B05323F00C034A6837 -S31508002D3CC9680A4329690A4313430360836823F461 -S31508002D4C40736A691343836001A8FFF7FBFD1C4BAC -S31508002D5C9C4227D01B4B9C4226D01B4B9C4225D011 -S31508002D6C1A4B9C4224D01399236813F4004F21D094 -S31508002D7C49002A68B1FBF2F302FB13122968B2EB7D -S31508002D8C510F00D30133226812F4004F06D0C3F357 -S31508002D9C420223F00F031B041B0C13439BB2A381A3 -S31508002DAC19B030BD0F99DFE71099DDE71199DBE70C -S31508002DBC1299D9E72A68B1FBF2F302FB1312DDE785 -S31508002DCC003801400044004000480040004C0040D8 -S31508002DDC21B9036823F0010303607047036843F0C5 -S31508002DEC010303607047808CC0F308007047C36901 -S31508002DFC0B4201D100207047012070471222164B56 -S31508002E0C1A60A3F580535A685A605A685A605A6809 -S31508002E1C42F480625A605A6822F47C125A605A68E4 -S31508002E2C42F460125A601A6842F080721A600B4BB0 -S31508002E3C1B6813F0007FFAD0084B5A6822F003027D -S31508002E4C5A605A6842F002025A60044B5B6803F0F7 -S31508002E5C0C03082BF9D170470020024000100240E1 -S31508002E6C08B5154AD2F8883043F47003C2F888308E -S31508002E7C124B1A6842F001021A605968104A0A4045 -S31508002E8C5A601A6822F0847222F480321A601A6820 -S31508002E9C22F480221A605A6822F4FE025A60DA6A10 -S31508002EAC22F00F02DA62196B064A0A401A630022EC -S31508002EBC9A60FFF7A3FF08BD00ED00E00010024082 -S31508002ECC0CC07FF8CCFC00FF08B5FFF703FB00F03D -S31508002EDC3BF808BD08B5FFF7F7FFFFF773FAFFF7DE -S31508002EEC1BFBFFF7F1FAFAE7DFF834D0002103E011 -S31508002EFC0C4B5B58435004310B480C4B42189A4206 -S31508002F0CF6D30B4A02E0002342F8043B094B9A42DB -S31508002F1CF9D3FFF7A5FF00F047F8FFF7DBFFFEE74D -S31508002F2C003000204030000800000020340000204B -S31508002F3C34000020A4000020FEE70000014B1860B6 -S31508002F4C704700BFA000002008B50C4B1B680C4A44 -S31508002F5CA2FB03239B09013BB3F1807F09D2094AE3 -S31508002F6C5360F021084B83F8231000239360072342 -S31508002F7C13600020FFF7E2FF08BD00BF30000020F9 -S31508002F8CD34D621010E000E000ED00E0014B18682C -S31508002F9C704700BFA0000020024A13680133136073 -S31508002FAC704700BFA000002070B50D4E0D4CA41B39 -S31508002FBCA4100025A54209D10B4E0C4C00F018F8AC -S31508002FCCA41BA4100025A54205D170BD56F82530C2 -S31508002FDC98470135EEE756F8253098470135F2E75C -S31508002FEC3830000838300008383000083C30000803 -S31508002FFCF8B500BFF8BC08BC9E467047F8B500BFCC -S30D0800300CF8BC08BC9E4670479B -S3150800301405020602060307030803090309040A044A -S315080030240B040C040C050D050E050F050F061006FA -S30908003034100710085B -S30908003038B1210008AC -S3090800303C8D210008CC -S31508003040010002000400060008000A000C00100037 -S315080030502000400080000001000000000000000081 -S315080030600000000001020304010203040607080920 -S309080030700090D003EB -S70508002EF5CF +S3150800248C940000209200002000B587B00021124865 +S3150800249C00F0F1F910B907B05DF804FB01AA0021A8 +S315080024AC0D4800F095F99DF80C3033B940F2676386 +S315080024BC019A9A420ED0002300E00023002BEAD0A2 +S315080024CC9DF80F30FF2BE6D19DF81030002BE2D18A +S315080024DCFFF77EFF0123F1E70064004008B5FFF71C +S315080024EC87FFFFF7D1FF08BD30B583B001214FF444 +S315080024FC802000F0E9FB0825009501238DF80430AF +S3150800250C00238DF8063003228DF805208DF8073048 +S3150800251C054C6946204600F0BBF92946204600F0D2 +S3150800252C01FA03B030BD00BF0004004810B500F036 +S3150800253C37FD0E4B1B68C31AB3F5FA7F0CD304464A +S3150800254C0B4B1B784BB90122094B1A700821094809 +S3150800255C00F0E6F9054B1C6010BD0022044B1A70FE +S3150800256C0821044800F0DEF9F4E700BF9C000020BF +S3150800257C980000200004004808B501214FF00070AF +S3150800258C00F0BEFB00214FF0007000F0B9FB08BD4F +S3150800259C82B000230193036823F0020303600368E7 +S315080025AC43F00103036002E0019B01330193436886 +S315080025BC13F0010F04D1019A6FF07F439A42F3D1BD +S315080025CC436813F0010F71D08B79012B3CD003684B +S315080025DC23F080030360CB79012B3AD0036823F0F0 +S315080025EC400303600B7A012B38D0036823F02003D1 +S315080025FC03604B7A012B36D0036823F01003036073 +S3150800260C8B7A012B34D0036823F008030360CB7A4A +S3150800261C012B32D0036823F0040303608A78CB7845 +S3150800262C1B0643EA82730A7943EA02434A7943EA68 +S3150800263C02530A88013A1343C361036823F0010362 +S3150800264C03600023019320E0036843F080030360D2 +S3150800265CC1E7036843F040030360C3E7036843F02C +S3150800266C20030360C5E7036843F010030360C7E75C +S3150800267C036843F008030360C9E7036843F00403DF +S3150800268C0360CBE7019B01330193436813F0010FF9 +S3150800269C04D0019A6FF07F439A42F3D1436813F042 +S315080026AC010F01D1012002E0002000E0002002B059 +S315080026BC7047000070B4837A012202FA03F33B4A8E +S315080026CCD2F8001241F00101C2F80012D2F81C42ED +S315080026DCD9430C40C2F81C42027BB2B9334AD2F831 +S315080026EC0C420C40C2F80C42847AC688458845EAE6 +S315080026FC0645483442F83450857A8688048844EA74 +S3150800270C0644483502EBC5025460027B012A1FD0E9 +S3150800271CC27A002A34D1254CD4F804220A40C4F8CB +S3150800272C042202892AB9214AD2F814422140C2F855 +S3150800273C14120289012A2AD0427B012A2ED01B4A5E +S3150800274CD2F8003223F00103C2F8003270BC70478D +S3150800275C164AD2F80C421C43C2F80C42847A0688F4 +S3150800276C458845EA0645483442F83450857A8688C1 +S3150800277CC48844EA0644483502EBC5025460C7E7E8 +S3150800278C0A4CD4F804221A43C4F80422C9E70749A8 +S3150800279CD1F814221A43C1F81422CDE7034AD2F809 +S315080027AC1C120B43C2F81C32C9E700BF0064004078 +S315080027BC00238371C371037243728372C37283706D +S315080027CCC3700323037102234371012303807047EB +S315080027DC10B401F11B031B01C35803F00403137255 +S315080027EC002B3CD101F11B031B01C3585B0D136075 +S315080027FC01F11B031B01C418C35803F002035372DF +S3150800280C636803F00F03937263681B0AD37400EBB7 +S3150800281C0113D3F8B841D472D3F8B841240A147307 +S3150800282CD3F8B841240C5473D3F8B841240E9473D6 +S3150800283CD3F8BC41D473D3F8BC41240A1474D3F826 +S3150800284CBC41240C5474D3F8BC311B0E937469B177 +S3150800285C036943F0200303615DF8044B704701F1EB +S3150800286C1B031B01C358DB085360C1E7C36843F05D +S3150800287C2003C360F0E719B1012905D00020704781 +S3150800288CC06800F003007047006900F00300704749 +S3150800289C00230F2B43D8F0B434E085685F00032679 +S315080028ACBE4025EA0605856082684C79BC40224301 +S315080028BC82608588ADB29FB20126BE4025EA060520 +S315080028CC8580828892B28C79BC40A4B222438280DD +S315080028DC02685D00032404FA05F622EA0602026081 +S315080028EC02680E79AE4032430260C2689EB2760028 +S315080028FCB44022EA0402CC79AC402243C2600133CC +S3150800290C0F2B0AD801229A400C68A243F7D10A79F0 +S3150800291C013AD2B2012ADBD8BFE7F0BC7047704740 +S3150800292C816170470185704730B401F007039B003D +S3150800293C9A40C908083150F821400F2505FA03F3C7 +S3150800294C24EA030340F8213050F821301A4340F8A2 +S3150800295C212030BC70470000F0B5A04B5A6802F035 +S3150800296C0C02042A3AD0082A3CD01AB19C4B0360B4 +S3150800297C002201E09A4B0360984D6B68C3F303136E +S3150800298CDFF864E21EF80370FFB2016821FA07F358 +S3150800299C43606C68C4F302241EF80440E4B223FABC +S315080029AC04F484606E68C6F3C2261EF80660F6B296 +S315080029BCF340C360ED6A2D0905F00F0CDFF82CE225 +S315080029CC3EF81CE01FFA8EFE15F0100F23D0BEF150 +S315080029DC000F20D0B2FBFEF505611DE0804B0360AD +S315080029EC0022C9E77D4B5A685B68C2F38342023200 +S315080029FC13F4803F04D17B4B03FB02F20260BBE766 +S31508002A0C764BDB6A03F00F0301337549B1FBF3F31D +S31508002A1C03FB02F2F2E70161704DED6A6D0A05F0EF +S31508002A2C0F0CDFF8C8E13EF81CE01FFA8EFE15F015 +S31508002A3C100F06D0BEF1000F03D0B2FBFEF54561B0 +S31508002A4C00E04161654D2D6B15F0100F40F081804B +S31508002A5C634D8561614D2D6B15F0200F7BD1604D53 +S31508002A6CC5615E4D2D6B15F0400F76D15C4D056238 +S31508002A7C5A4D2D6B15F4807F01D08A426FD0436274 +S31508002A8C564D2D6B15F4805F01D08A426CD083624B +S31508002A9C524D2D6B15F4007F01D08A4269D0C36262 +S31508002AAC4E4D2D6B15F4806F01D08A4266D0C364E7 +S31508002ABC4A4D2D6B15F4006F01D08A4263D003651D +S31508002ACC464D2D6B15F4005F01D08A4260D0036524 +S31508002ADC424D2D6B15F4004F01D08A425DD08365AB +S31508002AEC3E4B1B6B13F0030F5CD184633B4B1B6B88 +S31508002AFC13F4403F7CD1C463384B1B6B13F4402F43 +S31508002B0C40F095800464354B1B6B13F4401F40F062 +S31508002B1CAD804464314B1B6B13F4400F00F0C58039 +S31508002B2C2E4B1B6B03F44003B3F5800F00F0BF80EC +S31508002B3C2A4B1B6B03F44003B3F5000F00F0B98066 +S31508002B4C264B1B6B03F44003B3F5400F00F0B5801E +S31508002B5CF0BD81617EE7C16183E7016288E7B74210 +S31508002B6C8DD1550045628BE7B74290D155008562E9 +S31508002B7C8EE7B74293D15500C56291E7B74296D115 +S31508002B8C5500C56494E7B74299D15500056597E792 +S31508002B9CB7429CD1550045659AE7B7429FD152007A +S31508002BAC82659DE70D4B1B6B03F00303012B0ED0BF +S31508002BBC0A4B1B6B03F00303022B0AD0074B1B6B48 +S31508002BCC03F00303032B91D1054B83638EE78163D3 +S31508002BDC8CE74FF40043836388E700BF001002407C +S31508002BEC00127A0000093D00200000200000002099 +S31508002BFC334B1B6B03F44033B3F5803F11D0304B8A +S31508002C0C1B6B03F44033B3F5003F0CD02C4B1B6BFA +S31508002C1C03F44033B3F5403F7FF46EAF294BC363DF +S31508002C2C6AE7C16368E74FF40043C36364E7244B60 +S31508002C3C1B6B03F44023B3F5802F11D0204B1B6B71 +S31508002C4C03F44023B3F5002F0CD01D4B1B6B03F478 +S31508002C5C4023B3F5402F7FF456AF1A4B036452E763 +S31508002C6C016450E74FF4004303644CE7144B1B6BA9 +S31508002C7C03F44013B3F5801F11D0114B1B6B03F4EF +S31508002C8C4013B3F5001F0CD00D4B1B6B03F440130C +S31508002C9CB3F5401F7FF43EAF0A4B43643AE74164F1 +S31508002CAC38E74FF40043436434E78464F0BD816429 +S31508002CBCF0BD4FF400438364F0BD024B836447E7D1 +S31508002CCC0010024000127A0029B9054A536923EA12 +S31508002CDC000050617047024A5369184350617047A7 +S31508002CEC0010024029B9054AD36923EA0000D061CD +S31508002CFC7047024AD3691843D061704700100240E6 +S31508002D0C29B9054A136923EA000010617047024A7B +S31508002D1C13691843106170470010024030B599B01A +S31508002D2C04460D46036823F001030360436823F445 +S31508002D3C40538A6813434360036823F4B05323F063 +S31508002D4C0C034A68C9680A4329690A431343036092 +S31508002D5C836823F440736A691343836001A8FFF7F9 +S31508002D6CFBFD1C4B9C4227D01B4B9C4226D01B4B75 +S31508002D7C9C4225D01A4B9C4224D01399236813F4F1 +S31508002D8C004F21D049002A68B1FBF2F302FB13125B +S31508002D9C2968B2EB510F00D30133226812F4004FA5 +S31508002DAC06D0C3F3420223F00F031B041B0C134378 +S31508002DBC9BB2A38119B030BD0F99DFE71099DDE7F7 +S31508002DCC1199DBE71299D9E72A68B1FBF2F302FBF2 +S31508002DDC1312DDE70038014000440040004800406B +S31508002DEC004C004021B9036823F0010303607047C7 +S31508002DFC036843F0010303607047808CC0F3080036 +S31508002E0C7047C3690B4201D10020704701207047F7 +S31508002E1C1222164B1A60A3F580535A685A605A68E0 +S31508002E2C5A605A6842F480625A605A6822F47C12D4 +S31508002E3C5A605A6842F460125A601A6842F08072F4 +S31508002E4C1A600B4B1B6813F0007FFAD0084B5A68B4 +S31508002E5C22F003025A605A6842F002025A60044B86 +S31508002E6C5B6803F00C03082BF9D17047002002406D +S31508002E7C0010024008B5154AD2F8883043F470039E +S31508002E8CC2F88830124B1A6842F001021A60596867 +S31508002E9C104A0A405A601A6822F0847222F4803268 +S31508002EAC1A601A6822F480221A605A6822F4FE0202 +S31508002EBC5A60DA6A22F00F02DA62196B064A0A407D +S31508002ECC1A6300229A60FFF7A3FF08BD00ED00E025 +S31508002EDC001002400CC07FF8CCFC00FF08B5FFF7C9 +S31508002EEC03FB00F03BF808BD08B5FFF7F7FFFFF743 +S31508002EFC69FAFFF71BFBFFF7F1FAFAE7DFF834D0AC +S31508002F0C002103E00C4B5B58435004310B480C4B27 +S31508002F1C42189A42F6D30B4A02E0002342F8043BC5 +S31508002F2C094B9A42F9D3FFF7A5FF00F047F8FFF7CC +S31508002F3CDBFFFEE7003000205430000800000020BC +S31508002F4C3400002034000020A4000020FEE7000016 +S31508002F5C014B1860704700BFA000002008B50C4B49 +S31508002F6C1B680C4AA2FB03239B09013BB3F1807F28 +S31508002F7C09D2094A5360F021084B83F82310002321 +S31508002F8C9360072313600020FFF7E2FF08BD00BF1C +S31508002F9C30000020D34D621010E000E000ED00E098 +S31508002FAC014B1868704700BFA0000020024A13683E +S31508002FBC01331360704700BFA000002070B50D4E9A +S31508002FCC0D4CA41BA4100025A54209D10B4E0C4C84 +S31508002FDC00F018F8A41BA4100025A54205D170BD55 +S31508002FEC56F8253098470135EEE756F825309847B8 +S31508002FFC0135F2E74C3000084C3000084C3000081C +S3150800300C50300008F8B500BFF8BC08BC9E4670479F +S3110800301CF8B500BFF8BC08BC9E4670471B +S3150800302805020602060307030803090309040A0436 +S315080030380B040C040C050D050E050F050F061006E6 +S309080030481007100847 +S3090800304CB121000898 +S309080030508D210008B8 +S31508003054010002000400060008000A000C00100023 +S31508003064200040008000000100000000000000006D +S31508003074000000000102030401020304060708090C +S309080030840090D003D7 +S70508002F09BA diff --git a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/boot.c b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/boot.c index 713f3784..706c74e5 100644 --- a/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/boot.c +++ b/Target/Demo/ARMCM4_STM32F3_Nucleo_F303K8_TrueStudio/Prog/boot.c @@ -399,13 +399,27 @@ static void BootComCanInit(void) static void BootComCanCheckActivationRequest(void) { CanRxMsg RxMessage; + unsigned char canIdMatched = 0; + /* check if a new message was received */ if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0) { /* receive the message */ CAN_Receive(CAN1, CAN_FIFO0, &RxMessage); - if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) + /* check if the message identifier matches the bootloader reception message */ + if ( (RxMessage.IDE == CAN_Id_Standard) && + (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = 1; + } + if ( (RxMessage.IDE == CAN_Id_Extended) && + ((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) ) + { + canIdMatched = 1; + } + /* is the identifier a match to the bootloader reception message identifier? */ + if (canIdMatched == 1) { /* check if this was an XCP CONNECT command */ if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00)) diff --git a/Target/Source/ARMCM4_STM32F3/can.c b/Target/Source/ARMCM4_STM32F3/can.c index e781c76a..639ecde7 100644 --- a/Target/Source/ARMCM4_STM32F3/can.c +++ b/Target/Source/ARMCM4_STM32F3/can.c @@ -107,6 +107,8 @@ typedef struct #define CAN_BIT_FINIT ((blt_int32u)0x00000001) /** \brief Transmit mailbox 0 empty bit. */ #define CAN_BIT_TME0 ((blt_int32u)0x04000000) +/** \brief Identifier extension bit. */ +#define CAN_BIT_IDE ((blt_int32u)0x00000004) /** \brief Transmit mailbox request bit. */ #define CAN_BIT_TXRQ ((blt_int32u)0x00000001) /** \brief Release FIFO 0 mailbox bit. */ @@ -285,11 +287,28 @@ void CanInit(void) ****************************************************************************************/ void CanTransmitPacket(blt_int8u *data, blt_int8u len) { + blt_int32u txMsgId = BOOT_COM_CAN_TX_MSG_ID; + /* make sure that transmit mailbox 0 is available */ ASSERT_RT((CANx->TSR&CAN_BIT_TME0) == CAN_BIT_TME0); - /* store the 11-bit message identifier */ + + /* reset all CAN identifier related bits */ CANx->sTxMailBox[0].TIR &= CAN_BIT_TXRQ; - CANx->sTxMailBox[0].TIR |= ((blt_int32u)BOOT_COM_CAN_TX_MSG_ID << 21); + /* is it a 11-bit standard CAN identifier? */ + if ((txMsgId & 0x80000000) == 0) + { + /* store the 11-bit message identifier */ + CANx->sTxMailBox[0].TIR |= ((blt_int32u)txMsgId << 21); + } + /* it is a 29-bit extended CAN identifier */ + else + { + /* negate the ID-type bit */ + txMsgId &= ~0x80000000; + /* store the 29-bit message identifier */ + CANx->sTxMailBox[0].TIR |= (((blt_int32u)txMsgId << 3) | CAN_BIT_IDE); + } + /* store the message date length code (DLC) */ CANx->sTxMailBox[0].TDTR = len; /* store the message data bytes */ @@ -326,8 +345,18 @@ blt_bool CanReceivePacket(blt_int8u *data) /* check if a new message was received */ if ((CANx->RF0R&(blt_int32u)0x00000003) > 0) { - /* read out the message identifier */ - rxMsgId = (blt_int32u)0x000007FF & (CANx->sFIFOMailBox[0].RIR >> 21); + /* read out the CAN identifier */ + if ((CANx->sFIFOMailBox[0].RIR & CAN_BIT_IDE) == 0) + { + /* read out the 11-bit standard CAN identifier */ + rxMsgId = (blt_int32u)0x000007FF & (CANx->sFIFOMailBox[0].RIR >> 21); + } + else + { + /* read out the 29-bit extended CAN identifier */ + rxMsgId = (blt_int32u)0x1FFFFFFF & (CANx->sFIFOMailBox[0].RIR >> 3); + rxMsgId |= 0x80000000; + } /* is this the packet identifier */ if (rxMsgId == BOOT_COM_CAN_RX_MSG_ID) {