Refs #225. Added 29-bit CAN identifier support to the STM32F1 port.

git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@350 5dc33758-31d5-4daf-9ae8-b24bf3d40d73
This commit is contained in:
Frank Voorburg 2017-08-21 13:33:55 +00:00
parent 524b0fa008
commit d3ca84dcdb
40 changed files with 6877 additions and 9697 deletions

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -395,13 +395,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -411,7 +424,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -2160,7 +2160,7 @@ Linker script and memory map
0x00000001 . = ASSERT (((__init_end__ >= __FLASH_segment_start__) && (__init_end__ <= __FLASH_segment_end__)), error: .init is too large to fit in FLASH memory segment)
0x08000258 __text_load_start__ = ALIGN (__init_end__, 0x4)
.text 0x08000258 0x4318
.text 0x08000258 0x4328
0x08000258 __text_start__ = .
*(.text .text.* .glue_7t .glue_7 .gnu.linkonce.t.* .gcc_except_table .ARM.extab* .gnu.linkonce.armextab.*)
.glue_7 0x08000258 0x0 linker stubs
@ -2260,277 +2260,277 @@ Linker script and memory map
0x08001034 0x98 THUMB Debug/../../obj/can.o
0x08001034 CanTransmitPacket
.text.CanReceivePacket
0x080010cc 0x74 THUMB Debug/../../obj/can.o
0x080010cc 0x84 THUMB Debug/../../obj/can.o
0x080010cc CanReceivePacket
.text.CpuInit 0x08001140 0x8 THUMB Debug/../../obj/cpu.o
0x08001140 CpuInit
.text.CpuInit 0x08001150 0x8 THUMB Debug/../../obj/cpu.o
0x08001150 CpuInit
.text.CpuStartUserProgram
0x08001148 0x34 THUMB Debug/../../obj/cpu.o
0x08001148 CpuStartUserProgram
0x08001158 0x34 THUMB Debug/../../obj/cpu.o
0x08001158 CpuStartUserProgram
.text.CpuMemCopy
0x0800117c 0x24 THUMB Debug/../../obj/cpu.o
0x0800117c CpuMemCopy
0x0800118c 0x24 THUMB Debug/../../obj/cpu.o
0x0800118c CpuMemCopy
.text.FlashLock
0x080011a0 0x10 THUMB Debug/../../obj/flash.o
0x080011b0 0x10 THUMB Debug/../../obj/flash.o
.text.FlashGetSector
0x080011b0 0x38 THUMB Debug/../../obj/flash.o
0x080011c0 0x38 THUMB Debug/../../obj/flash.o
.text.FlashWriteBlock
0x080011e8 0xb4 THUMB Debug/../../obj/flash.o
0x080011f8 0xb4 THUMB Debug/../../obj/flash.o
.text.FlashSwitchBlock
0x0800129c 0x58 THUMB Debug/../../obj/flash.o
0x080012ac 0x58 THUMB Debug/../../obj/flash.o
.text.FlashAddToBlock
0x080012f4 0x84 THUMB Debug/../../obj/flash.o
0x08001304 0x84 THUMB Debug/../../obj/flash.o
.text.FlashInit
0x08001378 0x18 THUMB Debug/../../obj/flash.o
0x08001378 FlashInit
0x08001388 0x18 THUMB Debug/../../obj/flash.o
0x08001388 FlashInit
.text.FlashWrite
0x08001390 0x50 THUMB Debug/../../obj/flash.o
0x08001390 FlashWrite
0x080013a0 0x50 THUMB Debug/../../obj/flash.o
0x080013a0 FlashWrite
.text.FlashErase
0x080013e0 0x148 THUMB Debug/../../obj/flash.o
0x080013e0 FlashErase
0x080013f0 0x148 THUMB Debug/../../obj/flash.o
0x080013f0 FlashErase
.text.FlashWriteChecksum
0x08001528 0x50 THUMB Debug/../../obj/flash.o
0x08001528 FlashWriteChecksum
0x08001538 0x50 THUMB Debug/../../obj/flash.o
0x08001538 FlashWriteChecksum
.text.FlashVerifyChecksum
0x08001578 0x50 THUMB Debug/../../obj/flash.o
0x08001578 FlashVerifyChecksum
0x08001588 0x50 THUMB Debug/../../obj/flash.o
0x08001588 FlashVerifyChecksum
.text.FlashDone
0x080015c8 0x3c THUMB Debug/../../obj/flash.o
0x080015c8 FlashDone
0x080015d8 0x3c THUMB Debug/../../obj/flash.o
0x080015d8 FlashDone
.text.FlashGetUserProgBaseAddress
0x08001604 0x8 THUMB Debug/../../obj/flash.o
0x08001604 FlashGetUserProgBaseAddress
.text.NvmInit 0x0800160c 0x8 THUMB Debug/../../obj/nvm.o
0x0800160c NvmInit
0x08001614 0x8 THUMB Debug/../../obj/flash.o
0x08001614 FlashGetUserProgBaseAddress
.text.NvmInit 0x0800161c 0x8 THUMB Debug/../../obj/nvm.o
0x0800161c NvmInit
.text.NvmWrite
0x08001614 0x8 THUMB Debug/../../obj/nvm.o
0x08001614 NvmWrite
.text.NvmErase
0x0800161c 0x8 THUMB Debug/../../obj/nvm.o
0x0800161c NvmErase
.text.NvmVerifyChecksum
0x08001624 0x8 THUMB Debug/../../obj/nvm.o
0x08001624 NvmVerifyChecksum
.text.NvmGetUserProgBaseAddress
0x08001624 NvmWrite
.text.NvmErase
0x0800162c 0x8 THUMB Debug/../../obj/nvm.o
0x0800162c NvmGetUserProgBaseAddress
.text.NvmDone 0x08001634 0x14 THUMB Debug/../../obj/nvm.o
0x08001634 NvmDone
0x0800162c NvmErase
.text.NvmVerifyChecksum
0x08001634 0x8 THUMB Debug/../../obj/nvm.o
0x08001634 NvmVerifyChecksum
.text.NvmGetUserProgBaseAddress
0x0800163c 0x8 THUMB Debug/../../obj/nvm.o
0x0800163c NvmGetUserProgBaseAddress
.text.NvmDone 0x08001644 0x14 THUMB Debug/../../obj/nvm.o
0x08001644 NvmDone
.text.TimerInit
0x08001648 0x24 THUMB Debug/../../obj/timer.o
0x08001648 TimerInit
0x08001658 0x24 THUMB Debug/../../obj/timer.o
0x08001658 TimerInit
.text.TimerReset
0x0800166c 0xc THUMB Debug/../../obj/timer.o
0x0800166c TimerReset
0x0800167c 0xc THUMB Debug/../../obj/timer.o
0x0800167c TimerReset
.text.TimerUpdate
0x08001678 0x1c THUMB Debug/../../obj/timer.o
0x08001678 TimerUpdate
0x08001688 0x1c THUMB Debug/../../obj/timer.o
0x08001688 TimerUpdate
.text.TimerGet
0x08001694 0x10 THUMB Debug/../../obj/timer.o
0x08001694 TimerGet
0x080016a4 0x10 THUMB Debug/../../obj/timer.o
0x080016a4 TimerGet
.text.UartTransmitByte
0x080016a4 0x40 THUMB Debug/../../obj/uart.o
0x080016b4 0x40 THUMB Debug/../../obj/uart.o
.text.UartInit
0x080016e4 0x28 THUMB Debug/../../obj/uart.o
0x080016e4 UartInit
0x080016f4 0x28 THUMB Debug/../../obj/uart.o
0x080016f4 UartInit
.text.UartTransmitPacket
0x0800170c 0x58 THUMB Debug/../../obj/uart.o
0x0800170c UartTransmitPacket
0x0800171c 0x58 THUMB Debug/../../obj/uart.o
0x0800171c UartTransmitPacket
.text.UartReceivePacket
0x08001764 0xb0 THUMB Debug/../../obj/uart.o
0x08001764 UartReceivePacket
0x08001774 0xb0 THUMB Debug/../../obj/uart.o
0x08001774 UartReceivePacket
.text.CpuIrqDisable
0x08001814 0x4 THUMB Debug/../../obj/cpu_comp.o
0x08001814 CpuIrqDisable
0x08001824 0x4 THUMB Debug/../../obj/cpu_comp.o
0x08001824 CpuIrqDisable
.text.CpuIrqEnable
0x08001818 0x4 THUMB Debug/../../obj/cpu_comp.o
0x08001818 CpuIrqEnable
0x08001828 0x4 THUMB Debug/../../obj/cpu_comp.o
0x08001828 CpuIrqEnable
.text.AssertFailure
0x0800181c 0x8 THUMB Debug/../../obj/assert.o
0x0800181c AssertFailure
0x0800182c 0x8 THUMB Debug/../../obj/assert.o
0x0800182c AssertFailure
.text.BackDoorCheck
0x08001824 0x44 THUMB Debug/../../obj/backdoor.o
0x08001824 BackDoorCheck
0x08001834 0x44 THUMB Debug/../../obj/backdoor.o
0x08001834 BackDoorCheck
.text.BackDoorInit
0x08001868 0x20 THUMB Debug/../../obj/backdoor.o
0x08001868 BackDoorInit
0x08001878 0x20 THUMB Debug/../../obj/backdoor.o
0x08001878 BackDoorInit
.text.BootInit
0x08001888 0x20 THUMB Debug/../../obj/boot.o
0x08001888 BootInit
0x08001898 0x20 THUMB Debug/../../obj/boot.o
0x08001898 BootInit
.text.BootTask
0x080018a8 0x18 THUMB Debug/../../obj/boot.o
0x080018a8 BootTask
.text.ComInit 0x080018c0 0x20 THUMB Debug/../../obj/com.o
0x080018c0 ComInit
.text.ComTask 0x080018e0 0x38 THUMB Debug/../../obj/com.o
0x080018e0 ComTask
.text.ComFree 0x08001918 0x4 THUMB Debug/../../obj/com.o
0x08001918 ComFree
0x080018b8 0x18 THUMB Debug/../../obj/boot.o
0x080018b8 BootTask
.text.ComInit 0x080018d0 0x20 THUMB Debug/../../obj/com.o
0x080018d0 ComInit
.text.ComTask 0x080018f0 0x38 THUMB Debug/../../obj/com.o
0x080018f0 ComTask
.text.ComFree 0x08001928 0x4 THUMB Debug/../../obj/com.o
0x08001928 ComFree
.text.ComTransmitPacket
0x0800191c 0x2c THUMB Debug/../../obj/com.o
0x0800191c ComTransmitPacket
0x0800192c 0x2c THUMB Debug/../../obj/com.o
0x0800192c ComTransmitPacket
.text.ComGetActiveInterfaceMaxRxLen
0x08001948 0x24 THUMB Debug/../../obj/com.o
0x08001948 ComGetActiveInterfaceMaxRxLen
0x08001958 0x24 THUMB Debug/../../obj/com.o
0x08001958 ComGetActiveInterfaceMaxRxLen
.text.ComGetActiveInterfaceMaxTxLen
0x0800196c 0x24 THUMB Debug/../../obj/com.o
0x0800196c ComGetActiveInterfaceMaxTxLen
0x0800197c 0x24 THUMB Debug/../../obj/com.o
0x0800197c ComGetActiveInterfaceMaxTxLen
.text.ComIsConnected
0x08001990 0x8 THUMB Debug/../../obj/com.o
0x08001990 ComIsConnected
.text.CopInit 0x08001998 0x4 THUMB Debug/../../obj/cop.o
0x08001998 CopInit
0x080019a0 0x8 THUMB Debug/../../obj/com.o
0x080019a0 ComIsConnected
.text.CopInit 0x080019a8 0x4 THUMB Debug/../../obj/cop.o
0x080019a8 CopInit
.text.CopService
0x0800199c 0x4 THUMB Debug/../../obj/cop.o
0x0800199c CopService
0x080019ac 0x4 THUMB Debug/../../obj/cop.o
0x080019ac CopService
.text.XcpSetCtoError
0x080019a0 0x14 THUMB Debug/../../obj/xcp.o
.text.XcpInit 0x080019b4 0x1c THUMB Debug/../../obj/xcp.o
0x080019b4 XcpInit
0x080019b0 0x14 THUMB Debug/../../obj/xcp.o
.text.XcpInit 0x080019c4 0x1c THUMB Debug/../../obj/xcp.o
0x080019c4 XcpInit
.text.XcpIsConnected
0x080019d0 0x10 THUMB Debug/../../obj/xcp.o
0x080019d0 XcpIsConnected
.text.XcpPacketTransmitted
0x080019e0 0x10 THUMB Debug/../../obj/xcp.o
0x080019e0 XcpPacketTransmitted
0x080019e0 XcpIsConnected
.text.XcpPacketTransmitted
0x080019f0 0x10 THUMB Debug/../../obj/xcp.o
0x080019f0 XcpPacketTransmitted
.text.XcpPacketReceived
0x080019f0 0x320 THUMB Debug/../../obj/xcp.o
0x080019f0 XcpPacketReceived
0x08001a00 0x320 THUMB Debug/../../obj/xcp.o
0x08001a00 XcpPacketReceived
.text.FileLibLongToIntString
0x08001d10 0x3c THUMB Debug/../../obj/file.o
0x08001d20 0x3c THUMB Debug/../../obj/file.o
.text.FileLibHexStringToByte
0x08001d4c 0x48 THUMB Debug/../../obj/file.o
0x08001d5c 0x48 THUMB Debug/../../obj/file.o
.text.FileLibByteToHexString
0x08001d94 0x38 THUMB Debug/../../obj/file.o
0x08001da4 0x38 THUMB Debug/../../obj/file.o
.text.FileInit
0x08001dcc 0x2c THUMB Debug/../../obj/file.o
0x08001dcc FileInit
0x08001ddc 0x2c THUMB Debug/../../obj/file.o
0x08001ddc FileInit
.text.FileIsIdle
0x08001df8 0x10 THUMB Debug/../../obj/file.o
0x08001df8 FileIsIdle
0x08001e08 0x10 THUMB Debug/../../obj/file.o
0x08001e08 FileIsIdle
.text.FileHandleFirmwareUpdateRequest
0x08001e08 0x30 THUMB Debug/../../obj/file.o
0x08001e08 FileHandleFirmwareUpdateRequest
0x08001e18 0x30 THUMB Debug/../../obj/file.o
0x08001e18 FileHandleFirmwareUpdateRequest
.text.FileSrecGetLineType
0x08001e38 0x3c THUMB Debug/../../obj/file.o
0x08001e38 FileSrecGetLineType
0x08001e48 0x3c THUMB Debug/../../obj/file.o
0x08001e48 FileSrecGetLineType
.text.FileSrecVerifyChecksum
0x08001e74 0x38 THUMB Debug/../../obj/file.o
0x08001e74 FileSrecVerifyChecksum
0x08001e84 0x38 THUMB Debug/../../obj/file.o
0x08001e84 FileSrecVerifyChecksum
.text.FileSrecParseLine
0x08001eac 0x1a4 THUMB Debug/../../obj/file.o
0x08001eac FileSrecParseLine
0x08001ebc 0x1a4 THUMB Debug/../../obj/file.o
0x08001ebc FileSrecParseLine
.text.FileTask
0x08002050 0x410 THUMB Debug/../../obj/file.o
0x08002050 FileTask
0x08002060 0x410 THUMB Debug/../../obj/file.o
0x08002060 FileTask
.text.ld_dword
0x08002460 0x18 THUMB Debug/../../obj/ff.o
.text.mem_cpy 0x08002478 0x14 THUMB Debug/../../obj/ff.o
0x08002470 0x18 THUMB Debug/../../obj/ff.o
.text.mem_cpy 0x08002488 0x14 THUMB Debug/../../obj/ff.o
.text.clust2sect
0x0800248c 0x18 THUMB Debug/../../obj/ff.o
.text.sum_sfn 0x080024a4 0x20 THUMB Debug/../../obj/ff.o
0x0800249c 0x18 THUMB Debug/../../obj/ff.o
.text.sum_sfn 0x080024b4 0x20 THUMB Debug/../../obj/ff.o
.text.get_ldnumber
0x080024c4 0x58 THUMB Debug/../../obj/ff.o
0x080024d4 0x58 THUMB Debug/../../obj/ff.o
.text.validate
0x0800251c 0x34 THUMB Debug/../../obj/ff.o
0x0800252c 0x34 THUMB Debug/../../obj/ff.o
.text.sync_window
0x08002550 0x68 THUMB Debug/../../obj/ff.o
0x08002560 0x68 THUMB Debug/../../obj/ff.o
.text.move_window
0x080025b8 0x38 THUMB Debug/../../obj/ff.o
.text.sync_fs 0x080025f0 0xb8 THUMB Debug/../../obj/ff.o
.text.put_fat 0x080026a8 0x14c THUMB Debug/../../obj/ff.o
0x080025c8 0x38 THUMB Debug/../../obj/ff.o
.text.sync_fs 0x08002600 0xb8 THUMB Debug/../../obj/ff.o
.text.put_fat 0x080026b8 0x14c THUMB Debug/../../obj/ff.o
.text.st_clust
0x080027f4 0x18 THUMB Debug/../../obj/ff.o
0x08002804 0x18 THUMB Debug/../../obj/ff.o
.text.get_fileinfo
0x0800280c 0xf8 THUMB Debug/../../obj/ff.o
.text.get_fat 0x08002904 0xd4 THUMB Debug/../../obj/ff.o
.text.dir_sdi 0x080029d8 0xbc THUMB Debug/../../obj/ff.o
0x0800281c 0xf8 THUMB Debug/../../obj/ff.o
.text.get_fat 0x08002914 0xd4 THUMB Debug/../../obj/ff.o
.text.dir_sdi 0x080029e8 0xbc THUMB Debug/../../obj/ff.o
.text.create_chain
0x08002a94 0xd8 THUMB Debug/../../obj/ff.o
0x08002aa4 0xd8 THUMB Debug/../../obj/ff.o
.text.remove_chain
0x08002b6c 0x94 THUMB Debug/../../obj/ff.o
0x08002b7c 0x94 THUMB Debug/../../obj/ff.o
.text.dir_next
0x08002c00 0x128 THUMB Debug/../../obj/ff.o
0x08002c10 0x128 THUMB Debug/../../obj/ff.o
.text.dir_remove
0x08002d28 0x58 THUMB Debug/../../obj/ff.o
0x08002d38 0x58 THUMB Debug/../../obj/ff.o
.text.dir_find
0x08002d80 0x1bc THUMB Debug/../../obj/ff.o
0x08002d90 0x1bc THUMB Debug/../../obj/ff.o
.text.dir_register
0x08002f3c 0x2dc THUMB Debug/../../obj/ff.o
0x08002f4c 0x2dc THUMB Debug/../../obj/ff.o
.text.check_fs
0x08003218 0x68 THUMB Debug/../../obj/ff.o
0x08003228 0x68 THUMB Debug/../../obj/ff.o
.text.find_volume
0x08003280 0x338 THUMB Debug/../../obj/ff.o
0x08003290 0x338 THUMB Debug/../../obj/ff.o
.text.dir_read
0x080035b8 0x140 THUMB Debug/../../obj/ff.o
0x080035c8 0x140 THUMB Debug/../../obj/ff.o
.text.ld_clust
0x080036f8 0x20 THUMB Debug/../../obj/ff.o
0x08003708 0x20 THUMB Debug/../../obj/ff.o
.text.follow_path
0x08003718 0x308 THUMB Debug/../../obj/ff.o
.text.f_mount 0x08003a20 0x64 THUMB Debug/../../obj/ff.o
0x08003a20 f_mount
.text.f_open 0x08003a84 0x1a0 THUMB Debug/../../obj/ff.o
0x08003a84 f_open
.text.f_read 0x08003c24 0x170 THUMB Debug/../../obj/ff.o
0x08003c24 f_read
.text.f_write 0x08003d94 0x1a4 THUMB Debug/../../obj/ff.o
0x08003d94 f_write
0x08003728 0x308 THUMB Debug/../../obj/ff.o
.text.f_mount 0x08003a30 0x64 THUMB Debug/../../obj/ff.o
0x08003a30 f_mount
.text.f_open 0x08003a94 0x1a0 THUMB Debug/../../obj/ff.o
0x08003a94 f_open
.text.f_read 0x08003c34 0x170 THUMB Debug/../../obj/ff.o
0x08003c34 f_read
.text.f_write 0x08003da4 0x1a4 THUMB Debug/../../obj/ff.o
0x08003da4 f_write
.text.putc_bfd
0x08003f38 0x48 THUMB Debug/../../obj/ff.o
.text.f_sync 0x08003f80 0x9c THUMB Debug/../../obj/ff.o
0x08003f80 f_sync
.text.f_close 0x0800401c 0x24 THUMB Debug/../../obj/ff.o
0x0800401c f_close
.text.f_lseek 0x08004040 0x170 THUMB Debug/../../obj/ff.o
0x08004040 f_lseek
.text.f_stat 0x080041b0 0x4c THUMB Debug/../../obj/ff.o
0x080041b0 f_stat
0x08003f48 0x48 THUMB Debug/../../obj/ff.o
.text.f_sync 0x08003f90 0x9c THUMB Debug/../../obj/ff.o
0x08003f90 f_sync
.text.f_close 0x0800402c 0x24 THUMB Debug/../../obj/ff.o
0x0800402c f_close
.text.f_lseek 0x08004050 0x170 THUMB Debug/../../obj/ff.o
0x08004050 f_lseek
.text.f_stat 0x080041c0 0x4c THUMB Debug/../../obj/ff.o
0x080041c0 f_stat
.text.f_unlink
0x080041fc 0xb8 THUMB Debug/../../obj/ff.o
0x080041fc f_unlink
.text.f_gets 0x080042b4 0x54 THUMB Debug/../../obj/ff.o
0x080042b4 f_gets
.text.f_puts 0x08004308 0x58 THUMB Debug/../../obj/ff.o
0x08004308 f_puts
0x0800420c 0xb8 THUMB Debug/../../obj/ff.o
0x0800420c f_unlink
.text.f_gets 0x080042c4 0x54 THUMB Debug/../../obj/ff.o
0x080042c4 f_gets
.text.f_puts 0x08004318 0x58 THUMB Debug/../../obj/ff.o
0x08004318 f_puts
.text.ff_convert
0x08004360 0x44 THUMB Debug/../../obj/unicode.o
0x08004360 ff_convert
0x08004370 0x44 THUMB Debug/../../obj/unicode.o
0x08004370 ff_convert
.text.ff_wtoupper
0x080043a4 0x9c THUMB Debug/../../obj/unicode.o
0x080043a4 ff_wtoupper
0x080043b4 0x9c THUMB Debug/../../obj/unicode.o
0x080043b4 ff_wtoupper
.text.libc.__RAL_ascii_isctype
0x08004440 0x1c C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004450 0x1c C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_toupper
0x0800445c 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x0800446c 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_tolower
0x08004468 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004478 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_iswctype
0x08004474 0x1c C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004484 0x1c C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_towupper
0x08004490 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044a0 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_towlower
0x0800449c 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044ac 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.text.libc.__RAL_ascii_wctomb
0x080044a8 0x10 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044a8 __RAL_ascii_wctomb
0x080044b8 0x10 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044b8 __RAL_ascii_wctomb
.text.libc.__RAL_ascii_mbtowc
0x080044b8 0x24 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044b8 __RAL_ascii_mbtowc
0x080044c8 0x24 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044c8 __RAL_ascii_mbtowc
.text.libc.__RAL_isctype
0x080044dc 0x14 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044dc __RAL_isctype
0x080044ec 0x14 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044ec __RAL_isctype
.text.libc.isdigit
0x080044f0 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044f0 isdigit
0x08004500 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004500 isdigit
.text.libc.toupper
0x080044fc 0x14 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080044fc toupper
0x0800450c 0x14 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x0800450c toupper
.text.libc.memset
0x08004510 0x60 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2_asm.o)
0x08004510 memset
0x08004570 __text_end__ = (__text_start__ + SIZEOF (.text))
0x08004570 __text_load_end__ = __text_end__
0x08004520 0x60 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2_asm.o)
0x08004520 memset
0x08004580 __text_end__ = (__text_start__ + SIZEOF (.text))
0x08004580 __text_load_end__ = __text_end__
.vfp11_veneer 0x00000000 0x0
.vfp11_veneer 0x00000000 0x0 linker stubs
@ -2541,152 +2541,152 @@ Linker script and memory map
.iplt 0x00000000 0x0
.iplt 0x00000000 0x0 THUMB Debug/../../obj/hooks.o
0x00000001 . = ASSERT (((__text_end__ >= __FLASH_segment_start__) && (__text_end__ <= __FLASH_segment_end__)), error: .text is too large to fit in FLASH memory segment)
0x08004570 __dtors_load_start__ = ALIGN (__text_end__, 0x4)
0x08004580 __dtors_load_start__ = ALIGN (__text_end__, 0x4)
.dtors 0x08004570 0x0
0x08004570 __dtors_start__ = .
.dtors 0x08004580 0x0
0x08004580 __dtors_start__ = .
*(SORT(.dtors.*))
*(.dtors)
*(.fini_array .fini_array.*)
0x08004570 __dtors_end__ = (__dtors_start__ + SIZEOF (.dtors))
0x08004570 __dtors_load_end__ = __dtors_end__
0x08004580 __dtors_end__ = (__dtors_start__ + SIZEOF (.dtors))
0x08004580 __dtors_load_end__ = __dtors_end__
0x00000001 . = ASSERT (((__dtors_end__ >= __FLASH_segment_start__) && (__dtors_end__ <= __FLASH_segment_end__)), error: .dtors is too large to fit in FLASH memory segment)
0x08004570 __ctors_load_start__ = ALIGN (__dtors_end__, 0x4)
0x08004580 __ctors_load_start__ = ALIGN (__dtors_end__, 0x4)
.ctors 0x08004570 0x0
0x08004570 __ctors_start__ = .
.ctors 0x08004580 0x0
0x08004580 __ctors_start__ = .
*(SORT(.ctors.*))
*(.ctors)
*(.init_array .init_array.*)
0x08004570 __ctors_end__ = (__ctors_start__ + SIZEOF (.ctors))
0x08004570 __ctors_load_end__ = __ctors_end__
0x08004580 __ctors_end__ = (__ctors_start__ + SIZEOF (.ctors))
0x08004580 __ctors_load_end__ = __ctors_end__
0x00000001 . = ASSERT (((__ctors_end__ >= __FLASH_segment_start__) && (__ctors_end__ <= __FLASH_segment_end__)), error: .ctors is too large to fit in FLASH memory segment)
0x08004570 __rodata_load_start__ = ALIGN (__ctors_end__, 0x4)
0x08004580 __rodata_load_start__ = ALIGN (__ctors_end__, 0x4)
.rodata 0x08004570 0xc58
0x08004570 __rodata_start__ = .
.rodata 0x08004580 0xc58
0x08004580 __rodata_start__ = .
*(.rodata .rodata.* .gnu.linkonce.r.*)
.rodata.firmwareFilename
0x08004570 0x20 THUMB Debug/../../obj/hooks.o
0x08004580 0x20 THUMB Debug/../../obj/hooks.o
.rodata.str1.4
0x08004590 0xd THUMB Debug/../../obj/hooks.o
*fill* 0x0800459d 0x3
0x080045a0 0xd THUMB Debug/../../obj/hooks.o
*fill* 0x080045ad 0x3
.rodata.str1.4
0x080045a0 0x63 THUMB Debug/../../obj/main.o
*fill* 0x08004603 0x1
0x080045b0 0x63 THUMB Debug/../../obj/main.o
*fill* 0x08004613 0x1
.rodata.str1.4
0x08004604 0x66 THUMB Debug/../../obj/vectors.o
*fill* 0x0800466a 0x2
0x08004614 0x66 THUMB Debug/../../obj/vectors.o
*fill* 0x0800467a 0x2
.rodata.str1.4
0x0800466c 0x81 THUMB Debug/../../obj/can.o
*fill* 0x080046ed 0x3
0x0800467c 0x81 THUMB Debug/../../obj/can.o
*fill* 0x080046fd 0x3
.rodata.canTiming
0x080046f0 0x24 THUMB Debug/../../obj/can.o
0x08004700 0x24 THUMB Debug/../../obj/can.o
.rodata.flashLayout
0x08004714 0x9c THUMB Debug/../../obj/flash.o
0x08004724 0x9c THUMB Debug/../../obj/flash.o
.rodata.str1.4
0x080047b0 0x82 THUMB Debug/../../obj/uart.o
*fill* 0x08004832 0x2
0x080047c0 0x82 THUMB Debug/../../obj/uart.o
*fill* 0x08004842 0x2
.rodata.xcpStationId
0x08004834 0x8 THUMB Debug/../../obj/xcp.o
0x08004844 0x8 THUMB Debug/../../obj/xcp.o
.rodata.str1.4
0x0800483c 0x21d THUMB Debug/../../obj/file.o
0x0800484c 0x21d THUMB Debug/../../obj/file.o
0x221 (size before relaxing)
*fill* 0x08004a59 0x3
*fill* 0x08004a69 0x3
.rodata.str1.4
0x08004a5c 0x13 THUMB Debug/../../obj/ff.o
*fill* 0x08004a6f 0x1
.rodata.ExCvt 0x08004a70 0x80 THUMB Debug/../../obj/ff.o
0x08004a6c 0x13 THUMB Debug/../../obj/ff.o
*fill* 0x08004a7f 0x1
.rodata.ExCvt 0x08004a80 0x80 THUMB Debug/../../obj/ff.o
.rodata.LfnOfs
0x08004af0 0xd THUMB Debug/../../obj/ff.o
*fill* 0x08004afd 0x3
.rodata.Tbl 0x08004b00 0x100 THUMB Debug/../../obj/unicode.o
0x08004b00 0xd THUMB Debug/../../obj/ff.o
*fill* 0x08004b0d 0x3
.rodata.Tbl 0x08004b10 0x100 THUMB Debug/../../obj/unicode.o
.rodata.cvt2.3900
0x08004c00 0xbc THUMB Debug/../../obj/unicode.o
0x08004c10 0xbc THUMB Debug/../../obj/unicode.o
.rodata.cvt1.3899
0x08004cbc 0x1f2 THUMB Debug/../../obj/unicode.o
*fill* 0x08004eae 0x2
0x08004ccc 0x1f2 THUMB Debug/../../obj/unicode.o
*fill* 0x08004ebe 0x2
.rodata.libc.__RAL_c_locale_abbrev_month_names
0x08004eb0 0x31 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004eb0 __RAL_c_locale_abbrev_month_names
*fill* 0x08004ee1 0x3
0x08004ec0 0x31 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004ec0 __RAL_c_locale_abbrev_month_names
*fill* 0x08004ef1 0x3
.rodata.libc.__RAL_data_utf8_period
0x08004ee4 0x2 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004ee4 __RAL_data_utf8_period
*fill* 0x08004ee6 0x2
0x08004ef4 0x2 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004ef4 __RAL_data_utf8_period
*fill* 0x08004ef6 0x2
.rodata.libc.str1.4
0x08004ee8 0xe4 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004ef8 0xe4 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0xf1 (size before relaxing)
.rodata.libc.__RAL_data_empty_string
0x08004fcc 0x1 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004fcc __RAL_data_empty_string
*fill* 0x08004fcd 0x3
0x08004fdc 0x1 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004fdc __RAL_data_empty_string
*fill* 0x08004fdd 0x3
.rodata.libc.__RAL_c_locale
0x08004fd0 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004fd0 __RAL_c_locale
0x08004fe0 0xc C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004fe0 __RAL_c_locale
.rodata.libc.__RAL_ascii_ctype_mask
0x08004fdc 0xd C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
*fill* 0x08004fe9 0x3
0x08004fec 0xd C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
*fill* 0x08004ff9 0x3
.rodata.libc.__RAL_c_locale_day_names
0x08004fec 0x3a C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004fec __RAL_c_locale_day_names
*fill* 0x08005026 0x2
0x08004ffc 0x3a C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08004ffc __RAL_c_locale_day_names
*fill* 0x08005036 0x2
.rodata.libc.__RAL_c_locale_abbrev_day_names
0x08005028 0x1d C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005028 __RAL_c_locale_abbrev_day_names
*fill* 0x08005045 0x3
0x08005038 0x1d C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005038 __RAL_c_locale_abbrev_day_names
*fill* 0x08005055 0x3
.rodata.libc.__RAL_c_locale_am_pm_indicator
0x08005048 0x7 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005048 __RAL_c_locale_am_pm_indicator
*fill* 0x0800504f 0x1
0x08005058 0x7 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005058 __RAL_c_locale_am_pm_indicator
*fill* 0x0800505f 0x1
.rodata.libc.__RAL_c_locale_data
0x08005050 0x58 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005050 __RAL_c_locale_data
0x08005060 0x58 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005060 __RAL_c_locale_data
.rodata.libc.__RAL_codeset_ascii
0x080050a8 0x20 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080050a8 __RAL_codeset_ascii
0x080050b8 0x20 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080050b8 __RAL_codeset_ascii
.rodata.libc.__RAL_c_locale_month_names
0x080050c8 0x57 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080050c8 __RAL_c_locale_month_names
*fill* 0x0800511f 0x1
.rodata.libc.__RAL_c_locale_date_time_format
0x08005120 0xf C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005120 __RAL_c_locale_date_time_format
0x080050d8 0x57 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x080050d8 __RAL_c_locale_month_names
*fill* 0x0800512f 0x1
.rodata.libc.__RAL_c_locale_date_time_format
0x08005130 0xf C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005130 __RAL_c_locale_date_time_format
*fill* 0x0800513f 0x1
.rodata.libc.__RAL_c_locale_time_format
0x08005130 0x9 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005130 __RAL_c_locale_time_format
*fill* 0x08005139 0x3
0x08005140 0x9 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005140 __RAL_c_locale_time_format
*fill* 0x08005149 0x3
.rodata.libc.__RAL_c_locale_date_format
0x0800513c 0x9 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x0800513c __RAL_c_locale_date_format
*fill* 0x08005145 0x3
0x0800514c 0x9 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x0800514c __RAL_c_locale_date_format
*fill* 0x08005155 0x3
.rodata.libc.__RAL_ascii_ctype_map
0x08005148 0x80 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005148 __RAL_ascii_ctype_map
0x080051c8 __rodata_end__ = (__rodata_start__ + SIZEOF (.rodata))
0x080051c8 __rodata_load_end__ = __rodata_end__
0x08005158 0x80 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x08005158 __RAL_ascii_ctype_map
0x080051d8 __rodata_end__ = (__rodata_start__ + SIZEOF (.rodata))
0x080051d8 __rodata_load_end__ = __rodata_end__
.rel.dyn 0x08000000 0x0
.rel.iplt 0x08000000 0x0 THUMB Debug/../../obj/hooks.o
0x00000001 . = ASSERT (((__rodata_end__ >= __FLASH_segment_start__) && (__rodata_end__ <= __FLASH_segment_end__)), error: .rodata is too large to fit in FLASH memory segment)
0x080051c8 __ARM.exidx_load_start__ = ALIGN (__rodata_end__, 0x4)
0x080051d8 __ARM.exidx_load_start__ = ALIGN (__rodata_end__, 0x4)
.ARM.exidx 0x080051c8 0x0
0x080051c8 __ARM.exidx_start__ = .
0x080051c8 __exidx_start = __ARM.exidx_start__
.ARM.exidx 0x080051d8 0x0
0x080051d8 __ARM.exidx_start__ = .
0x080051d8 __exidx_start = __ARM.exidx_start__
*(.ARM.exidx .ARM.exidx.*)
0x080051c8 __ARM.exidx_end__ = (__ARM.exidx_start__ + SIZEOF (.ARM.exidx))
0x080051c8 __exidx_end = __ARM.exidx_end__
0x080051c8 __ARM.exidx_load_end__ = __ARM.exidx_end__
0x080051d8 __ARM.exidx_end__ = (__ARM.exidx_start__ + SIZEOF (.ARM.exidx))
0x080051d8 __exidx_end = __ARM.exidx_end__
0x080051d8 __ARM.exidx_load_end__ = __ARM.exidx_end__
0x00000001 . = ASSERT (((__ARM.exidx_end__ >= __FLASH_segment_start__) && (__ARM.exidx_end__ <= __FLASH_segment_end__)), error: .ARM.exidx is too large to fit in FLASH memory segment)
0x080051c8 __fast_load_start__ = ALIGN (__ARM.exidx_end__, 0x4)
0x080051d8 __fast_load_start__ = ALIGN (__ARM.exidx_end__, 0x4)
.fast 0x20000000 0x0 load address 0x080051c8
.fast 0x20000000 0x0 load address 0x080051d8
0x20000000 __fast_start__ = .
*(.fast .fast.*)
0x20000000 __fast_end__ = (__fast_start__ + SIZEOF (.fast))
0x080051c8 __fast_load_end__ = (__fast_load_start__ + SIZEOF (.fast))
0x080051d8 __fast_load_end__ = (__fast_load_start__ + SIZEOF (.fast))
0x00000001 . = ASSERT (((__fast_load_end__ >= __FLASH_segment_start__) && (__fast_load_end__ <= __FLASH_segment_end__)), error: .fast is too large to fit in FLASH memory segment)
.fast_run 0x20000000 0x0
@ -2695,9 +2695,9 @@ Linker script and memory map
0x20000000 __fast_run_end__ = (__fast_run_start__ + SIZEOF (.fast_run))
0x20000000 __fast_run_load_end__ = __fast_run_end__
0x00000001 . = ASSERT (((__fast_run_end__ >= __SRAM_segment_start__) && (__fast_run_end__ <= __SRAM_segment_end__)), error: .fast_run is too large to fit in SRAM memory segment)
0x080051c8 __data_load_start__ = ALIGN ((__fast_load_start__ + SIZEOF (.fast)), 0x4)
0x080051d8 __data_load_start__ = ALIGN ((__fast_load_start__ + SIZEOF (.fast)), 0x4)
.data 0x20000000 0x18 load address 0x080051c8
.data 0x20000000 0x18 load address 0x080051d8
0x20000000 __data_start__ = .
*(.data .data.* .gnu.linkonce.d.*)
.data.Stat 0x20000000 0x1 THUMB Debug/../../obj/mmc.o
@ -2708,13 +2708,13 @@ Linker script and memory map
0x20000004 0x14 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x20000004 __RAL_global_locale
0x20000018 __data_end__ = (__data_start__ + SIZEOF (.data))
0x080051e0 __data_load_end__ = (__data_load_start__ + SIZEOF (.data))
0x080051f0 __data_load_end__ = (__data_load_start__ + SIZEOF (.data))
.igot.plt 0x00000000 0x0
.igot.plt 0x00000000 0x0 THUMB Debug/../../obj/hooks.o
0x00000001 . = ASSERT (((__data_load_end__ >= __FLASH_segment_start__) && (__data_load_end__ <= __FLASH_segment_end__)), error: .data is too large to fit in FLASH memory segment)
.data_run 0x20000000 0x18 load address 0x080051c8
.data_run 0x20000000 0x18 load address 0x080051d8
0x20000000 __data_run_start__ = .
0x20000018 . = MAX ((__data_run_start__ + SIZEOF (.data)), .)
*fill* 0x20000000 0x18
@ -2815,14 +2815,14 @@ Linker script and memory map
0x200011e0 __tbss_end__ = (__tbss_start__ + SIZEOF (.tbss))
0x200011e0 __tbss_load_end__ = __tbss_end__
0x00000001 . = ASSERT (((__tbss_end__ >= __SRAM_segment_start__) && (__tbss_end__ <= __SRAM_segment_end__)), error: .tbss is too large to fit in SRAM memory segment)
0x080051e0 __tdata_load_start__ = ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4)
0x080051f0 __tdata_load_start__ = ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4)
.tdata 0x200011e0 0x0 load address 0x080051e0
.tdata 0x200011e0 0x0 load address 0x080051f0
0x200011e0 __tdata_start__ = .
*(.tdata .tdata.*)
0x200011e0 __tdata_end__ = (__tdata_start__ + SIZEOF (.tdata))
0x080051e0 __tdata_load_end__ = (__tdata_load_start__ + SIZEOF (.tdata))
0x080051e0 __FLASH_segment_used_end__ = (ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4) + SIZEOF (.tdata))
0x080051f0 __tdata_load_end__ = (__tdata_load_start__ + SIZEOF (.tdata))
0x080051f0 __FLASH_segment_used_end__ = (ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4) + SIZEOF (.tdata))
0x00000001 . = ASSERT (((__tdata_load_end__ >= __FLASH_segment_start__) && (__tdata_load_end__ <= __FLASH_segment_end__)), error: .tdata is too large to fit in FLASH memory segment)
.tdata_run 0x200011e0 0x0
@ -2899,7 +2899,7 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_frame 0x00001b04 0x3d6c C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_frame 0x00005870 0xb0 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2_asm.o)
.debug_info 0x00000000 0xdde0
.debug_info 0x00000000 0xddee
.debug_info 0x00000000 0x79d THUMB Debug/../../obj/hooks.o
.debug_info 0x0000079d 0x3a2 THUMB Debug/../../obj/main.o
.debug_info 0x00000b3f 0x1251 THUMB Debug/../../obj/mmc.o
@ -2909,25 +2909,25 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_info 0x0000381d 0xaee THUMB Debug/../../obj/stm32f10x_usart.o
.debug_info 0x0000430b 0xe6 THUMB Debug/../../obj/cstart.o
.debug_info 0x000043f1 0x11f THUMB Debug/../../obj/vectors.o
.debug_info 0x00004510 0x519 THUMB Debug/../../obj/can.o
.debug_info 0x00004a29 0x1e4 THUMB Debug/../../obj/cpu.o
.debug_info 0x00004c0d 0x8db THUMB Debug/../../obj/flash.o
.debug_info 0x000054e8 0x263 THUMB Debug/../../obj/nvm.o
.debug_info 0x0000574b 0x13c THUMB Debug/../../obj/timer.o
.debug_info 0x00005887 0x397 THUMB Debug/../../obj/uart.o
.debug_info 0x00005c1e 0x95 THUMB Debug/../../obj/cpu_comp.o
.debug_info 0x00005cb3 0xd7 THUMB Debug/../../obj/assert.o
.debug_info 0x00005d8a 0x14d THUMB Debug/../../obj/backdoor.o
.debug_info 0x00005ed7 0x18f THUMB Debug/../../obj/boot.o
.debug_info 0x00006066 0x308 THUMB Debug/../../obj/com.o
.debug_info 0x0000636e 0x95 THUMB Debug/../../obj/cop.o
.debug_info 0x00006403 0x85d THUMB Debug/../../obj/xcp.o
.debug_info 0x00006c60 0x1284 THUMB Debug/../../obj/file.o
.debug_info 0x00007ee4 0x39db THUMB Debug/../../obj/ff.o
.debug_info 0x0000b8bf 0x1ad THUMB Debug/../../obj/unicode.o
.debug_info 0x0000ba6c 0x2374 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_info 0x00004510 0x527 THUMB Debug/../../obj/can.o
.debug_info 0x00004a37 0x1e4 THUMB Debug/../../obj/cpu.o
.debug_info 0x00004c1b 0x8db THUMB Debug/../../obj/flash.o
.debug_info 0x000054f6 0x263 THUMB Debug/../../obj/nvm.o
.debug_info 0x00005759 0x13c THUMB Debug/../../obj/timer.o
.debug_info 0x00005895 0x397 THUMB Debug/../../obj/uart.o
.debug_info 0x00005c2c 0x95 THUMB Debug/../../obj/cpu_comp.o
.debug_info 0x00005cc1 0xd7 THUMB Debug/../../obj/assert.o
.debug_info 0x00005d98 0x14d THUMB Debug/../../obj/backdoor.o
.debug_info 0x00005ee5 0x18f THUMB Debug/../../obj/boot.o
.debug_info 0x00006074 0x308 THUMB Debug/../../obj/com.o
.debug_info 0x0000637c 0x95 THUMB Debug/../../obj/cop.o
.debug_info 0x00006411 0x85d THUMB Debug/../../obj/xcp.o
.debug_info 0x00006c6e 0x1284 THUMB Debug/../../obj/file.o
.debug_info 0x00007ef2 0x39db THUMB Debug/../../obj/ff.o
.debug_info 0x0000b8cd 0x1ad THUMB Debug/../../obj/unicode.o
.debug_info 0x0000ba7a 0x2374 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_abbrev 0x00000000 0x2450
.debug_abbrev 0x00000000 0x245f
.debug_abbrev 0x00000000 0x223 THUMB Debug/../../obj/hooks.o
.debug_abbrev 0x00000223 0x159 THUMB Debug/../../obj/main.o
.debug_abbrev 0x0000037c 0x2db THUMB Debug/../../obj/mmc.o
@ -2937,42 +2937,42 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_abbrev 0x00000bfd 0x1cb THUMB Debug/../../obj/stm32f10x_usart.o
.debug_abbrev 0x00000dc8 0x14 THUMB Debug/../../obj/cstart.o
.debug_abbrev 0x00000ddc 0xee THUMB Debug/../../obj/vectors.o
.debug_abbrev 0x00000eca 0x209 THUMB Debug/../../obj/can.o
.debug_abbrev 0x000010d3 0xc8 THUMB Debug/../../obj/cpu.o
.debug_abbrev 0x0000119b 0x24b THUMB Debug/../../obj/flash.o
.debug_abbrev 0x000013e6 0xca THUMB Debug/../../obj/nvm.o
.debug_abbrev 0x000014b0 0x104 THUMB Debug/../../obj/timer.o
.debug_abbrev 0x000015b4 0x1b6 THUMB Debug/../../obj/uart.o
.debug_abbrev 0x0000176a 0x45 THUMB Debug/../../obj/cpu_comp.o
.debug_abbrev 0x000017af 0x8b THUMB Debug/../../obj/assert.o
.debug_abbrev 0x0000183a 0x7f THUMB Debug/../../obj/backdoor.o
.debug_abbrev 0x000018b9 0x63 THUMB Debug/../../obj/boot.o
.debug_abbrev 0x0000191c 0x153 THUMB Debug/../../obj/com.o
.debug_abbrev 0x00001a6f 0x45 THUMB Debug/../../obj/cop.o
.debug_abbrev 0x00001ab4 0x214 THUMB Debug/../../obj/xcp.o
.debug_abbrev 0x00001cc8 0x25b THUMB Debug/../../obj/file.o
.debug_abbrev 0x00001f23 0x302 THUMB Debug/../../obj/ff.o
.debug_abbrev 0x00002225 0xb2 THUMB Debug/../../obj/unicode.o
.debug_abbrev 0x000022d7 0x179 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_abbrev 0x00000eca 0x218 THUMB Debug/../../obj/can.o
.debug_abbrev 0x000010e2 0xc8 THUMB Debug/../../obj/cpu.o
.debug_abbrev 0x000011aa 0x24b THUMB Debug/../../obj/flash.o
.debug_abbrev 0x000013f5 0xca THUMB Debug/../../obj/nvm.o
.debug_abbrev 0x000014bf 0x104 THUMB Debug/../../obj/timer.o
.debug_abbrev 0x000015c3 0x1b6 THUMB Debug/../../obj/uart.o
.debug_abbrev 0x00001779 0x45 THUMB Debug/../../obj/cpu_comp.o
.debug_abbrev 0x000017be 0x8b THUMB Debug/../../obj/assert.o
.debug_abbrev 0x00001849 0x7f THUMB Debug/../../obj/backdoor.o
.debug_abbrev 0x000018c8 0x63 THUMB Debug/../../obj/boot.o
.debug_abbrev 0x0000192b 0x153 THUMB Debug/../../obj/com.o
.debug_abbrev 0x00001a7e 0x45 THUMB Debug/../../obj/cop.o
.debug_abbrev 0x00001ac3 0x214 THUMB Debug/../../obj/xcp.o
.debug_abbrev 0x00001cd7 0x25b THUMB Debug/../../obj/file.o
.debug_abbrev 0x00001f32 0x302 THUMB Debug/../../obj/ff.o
.debug_abbrev 0x00002234 0xb2 THUMB Debug/../../obj/unicode.o
.debug_abbrev 0x000022e6 0x179 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_loc 0x00000000 0xa4ec
.debug_loc 0x00000000 0xa4e4
.debug_loc 0x00000000 0x5f THUMB Debug/../../obj/hooks.o
.debug_loc 0x0000005f 0x10f1 THUMB Debug/../../obj/mmc.o
.debug_loc 0x00001150 0x508 THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_loc 0x00001658 0x708 THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_loc 0x00001d60 0x90c THUMB Debug/../../obj/stm32f10x_spi.o
.debug_loc 0x0000266c 0x7e0 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_loc 0x00002e4c 0x1af THUMB Debug/../../obj/can.o
.debug_loc 0x00002ffb 0x123 THUMB Debug/../../obj/cpu.o
.debug_loc 0x0000311e 0x740 THUMB Debug/../../obj/flash.o
.debug_loc 0x0000385e 0xa5 THUMB Debug/../../obj/nvm.o
.debug_loc 0x00003903 0x17a THUMB Debug/../../obj/uart.o
.debug_loc 0x00003a7d 0x42 THUMB Debug/../../obj/assert.o
.debug_loc 0x00003abf 0x8b THUMB Debug/../../obj/com.o
.debug_loc 0x00003b4a 0x3b2 THUMB Debug/../../obj/xcp.o
.debug_loc 0x00003efc 0x8b2 THUMB Debug/../../obj/file.o
.debug_loc 0x000047ae 0x5ac5 THUMB Debug/../../obj/ff.o
.debug_loc 0x0000a273 0x279 THUMB Debug/../../obj/unicode.o
.debug_loc 0x00002e4c 0x1a7 THUMB Debug/../../obj/can.o
.debug_loc 0x00002ff3 0x123 THUMB Debug/../../obj/cpu.o
.debug_loc 0x00003116 0x740 THUMB Debug/../../obj/flash.o
.debug_loc 0x00003856 0xa5 THUMB Debug/../../obj/nvm.o
.debug_loc 0x000038fb 0x17a THUMB Debug/../../obj/uart.o
.debug_loc 0x00003a75 0x42 THUMB Debug/../../obj/assert.o
.debug_loc 0x00003ab7 0x8b THUMB Debug/../../obj/com.o
.debug_loc 0x00003b42 0x3b2 THUMB Debug/../../obj/xcp.o
.debug_loc 0x00003ef4 0x8b2 THUMB Debug/../../obj/file.o
.debug_loc 0x000047a6 0x5ac5 THUMB Debug/../../obj/ff.o
.debug_loc 0x0000a26b 0x279 THUMB Debug/../../obj/unicode.o
.debug_pubnames
0x00000000 0x20cf
@ -3157,7 +3157,7 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_ranges 0x00000928 0x18 THUMB Debug/../../obj/unicode.o
.debug_ranges 0x00000940 0xf90 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_line 0x00000000 0x5060
.debug_line 0x00000000 0x5065
.debug_line 0x00000000 0x2e6 THUMB Debug/../../obj/hooks.o
.debug_line 0x000002e6 0x232 THUMB Debug/../../obj/main.o
.debug_line 0x00000518 0x556 THUMB Debug/../../obj/mmc.o
@ -3167,25 +3167,25 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_line 0x000016b2 0x478 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_line 0x00001b2a 0x10d THUMB Debug/../../obj/cstart.o
.debug_line 0x00001c37 0xe6 THUMB Debug/../../obj/vectors.o
.debug_line 0x00001d1d 0x17e THUMB Debug/../../obj/can.o
.debug_line 0x00001e9b 0x157 THUMB Debug/../../obj/cpu.o
.debug_line 0x00001ff2 0x2e0 THUMB Debug/../../obj/flash.o
.debug_line 0x000022d2 0x197 THUMB Debug/../../obj/nvm.o
.debug_line 0x00002469 0x120 THUMB Debug/../../obj/timer.o
.debug_line 0x00002589 0x1b6 THUMB Debug/../../obj/uart.o
.debug_line 0x0000273f 0xca THUMB Debug/../../obj/cpu_comp.o
.debug_line 0x00002809 0x108 THUMB Debug/../../obj/assert.o
.debug_line 0x00002911 0x142 THUMB Debug/../../obj/backdoor.o
.debug_line 0x00002a53 0x12c THUMB Debug/../../obj/boot.o
.debug_line 0x00002b7f 0x1a1 THUMB Debug/../../obj/com.o
.debug_line 0x00002d20 0xb0 THUMB Debug/../../obj/cop.o
.debug_line 0x00002dd0 0x21c THUMB Debug/../../obj/xcp.o
.debug_line 0x00002fec 0x3fb THUMB Debug/../../obj/file.o
.debug_line 0x000033e7 0x103c THUMB Debug/../../obj/ff.o
.debug_line 0x00004423 0x117 THUMB Debug/../../obj/unicode.o
.debug_line 0x0000453a 0xb26 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_line 0x00001d1d 0x183 THUMB Debug/../../obj/can.o
.debug_line 0x00001ea0 0x157 THUMB Debug/../../obj/cpu.o
.debug_line 0x00001ff7 0x2e0 THUMB Debug/../../obj/flash.o
.debug_line 0x000022d7 0x197 THUMB Debug/../../obj/nvm.o
.debug_line 0x0000246e 0x120 THUMB Debug/../../obj/timer.o
.debug_line 0x0000258e 0x1b6 THUMB Debug/../../obj/uart.o
.debug_line 0x00002744 0xca THUMB Debug/../../obj/cpu_comp.o
.debug_line 0x0000280e 0x108 THUMB Debug/../../obj/assert.o
.debug_line 0x00002916 0x142 THUMB Debug/../../obj/backdoor.o
.debug_line 0x00002a58 0x12c THUMB Debug/../../obj/boot.o
.debug_line 0x00002b84 0x1a1 THUMB Debug/../../obj/com.o
.debug_line 0x00002d25 0xb0 THUMB Debug/../../obj/cop.o
.debug_line 0x00002dd5 0x21c THUMB Debug/../../obj/xcp.o
.debug_line 0x00002ff1 0x3fb THUMB Debug/../../obj/file.o
.debug_line 0x000033ec 0x103c THUMB Debug/../../obj/ff.o
.debug_line 0x00004428 0x117 THUMB Debug/../../obj/unicode.o
.debug_line 0x0000453f 0xb26 C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_str 0x00000000 0x4e4d
.debug_str 0x00000000 0x4e55
.debug_str 0x00000000 0x633 THUMB Debug/../../obj/hooks.o
0x6a6 (size before relaxing)
.debug_str 0x00000633 0x14c THUMB Debug/../../obj/main.o
@ -3202,39 +3202,39 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
0x7da (size before relaxing)
.debug_str 0x0000196e 0x95 THUMB Debug/../../obj/vectors.o
0x2a7 (size before relaxing)
.debug_str 0x00001a03 0x1b4 THUMB Debug/../../obj/can.o
0x426 (size before relaxing)
.debug_str 0x00001bb7 0x127 THUMB Debug/../../obj/cpu.o
.debug_str 0x00001a03 0x1bc THUMB Debug/../../obj/can.o
0x42e (size before relaxing)
.debug_str 0x00001bbf 0x127 THUMB Debug/../../obj/cpu.o
0x34b (size before relaxing)
.debug_str 0x00001cde 0x29d THUMB Debug/../../obj/flash.o
.debug_str 0x00001ce6 0x29d THUMB Debug/../../obj/flash.o
0x529 (size before relaxing)
.debug_str 0x00001f7b 0xad THUMB Debug/../../obj/nvm.o
.debug_str 0x00001f83 0xad THUMB Debug/../../obj/nvm.o
0x37c (size before relaxing)
.debug_str 0x00002028 0xc4 THUMB Debug/../../obj/timer.o
.debug_str 0x00002030 0xc4 THUMB Debug/../../obj/timer.o
0x2dc (size before relaxing)
.debug_str 0x000020ec 0x12a THUMB Debug/../../obj/uart.o
.debug_str 0x000020f4 0x12a THUMB Debug/../../obj/uart.o
0x3d0 (size before relaxing)
.debug_str 0x00002216 0x8a THUMB Debug/../../obj/cpu_comp.o
.debug_str 0x0000221e 0x8a THUMB Debug/../../obj/cpu_comp.o
0x29e (size before relaxing)
.debug_str 0x000022a0 0x75 THUMB Debug/../../obj/assert.o
.debug_str 0x000022a8 0x75 THUMB Debug/../../obj/assert.o
0x2a5 (size before relaxing)
.debug_str 0x00002315 0xea THUMB Debug/../../obj/backdoor.o
.debug_str 0x0000231d 0xea THUMB Debug/../../obj/backdoor.o
0x314 (size before relaxing)
.debug_str 0x000023ff 0x9d THUMB Debug/../../obj/boot.o
.debug_str 0x00002407 0x9d THUMB Debug/../../obj/boot.o
0x2f4 (size before relaxing)
.debug_str 0x0000249c 0x15b THUMB Debug/../../obj/com.o
.debug_str 0x000024a4 0x15b THUMB Debug/../../obj/com.o
0x40e (size before relaxing)
.debug_str 0x000025f7 0x72 THUMB Debug/../../obj/cop.o
.debug_str 0x000025ff 0x72 THUMB Debug/../../obj/cop.o
0x27e (size before relaxing)
.debug_str 0x00002669 0x21f THUMB Debug/../../obj/xcp.o
.debug_str 0x00002671 0x21f THUMB Debug/../../obj/xcp.o
0x53a (size before relaxing)
.debug_str 0x00002888 0x325 THUMB Debug/../../obj/file.o
.debug_str 0x00002890 0x325 THUMB Debug/../../obj/file.o
0x8e6 (size before relaxing)
.debug_str 0x00002bad 0x364 THUMB Debug/../../obj/ff.o
.debug_str 0x00002bb5 0x364 THUMB Debug/../../obj/ff.o
0x86d (size before relaxing)
.debug_str 0x00002f11 0x9d THUMB Debug/../../obj/unicode.o
.debug_str 0x00002f19 0x9d THUMB Debug/../../obj/unicode.o
0x253 (size before relaxing)
.debug_str 0x00002fae 0x1e9f C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
.debug_str 0x00002fb6 0x1e9f C:/Program Files (x86)/Rowley Associates Limited/CrossWorks for ARM 3.7/lib/libc_v7m_t_le_eabi.a(libc2.o)
0x203c (size before relaxing)
.comment 0x00000000 0x4c

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -73,5 +73,5 @@
<SessionOpenFile useTextEdit="1" useBinaryEdit="0" codecName="Latin1" x="0" debugPath="../main.c" y="51" path="../main.c" left="0" selected="0" name="unnamed" top="31" />
<SessionOpenFile useTextEdit="1" useBinaryEdit="0" codecName="Default" x="0" debugPath="../../../../Source/com.c" y="112" path="../../../../Source/com.c" left="0" selected="1" name="unnamed" top="88" />
</Files>
<ARMCrossStudioWindow activeProject="openblt_olimex_stm32p103" autoConnectTarget="SEGGER J-Link" debugSearchFileMap="" fileDialogInitialDirectory="C:/Work/software/OpenBLT/Target/Source/ARMCM3_STM32F1/GCC" fileDialogDefaultFilter="" autoConnectCapabilities="3711" debugSearchPath="" buildConfiguration="THUMB Debug" />
<ARMCrossStudioWindow activeProject="openblt_olimex_stm32p103" autoConnectTarget="SEGGER J-Link" debugSearchFileMap="" fileDialogInitialDirectory="C:/Work/software/OpenBLT/Target/Source/ARMCM3_STM32F1/GCC" fileDialogDefaultFilter="*.c" autoConnectCapabilities="3711" debugSearchPath="" buildConfiguration="THUMB Debug" />
</session>

View File

@ -3218,7 +3218,7 @@ Linker script and memory map
0x00000001 . = ASSERT (((__init_end__ >= __FLASH_segment_start__) && (__init_end__ <= __FLASH_segment_end__)), error: .init is too large to fit in FLASH memory segment)
0x0800626c __text_load_start__ = ALIGN (__init_end__, 0x4)
.text 0x0800626c 0x13a0
.text 0x0800626c 0x13b4
0x0800626c __text_start__ = .
*(.text .text.* .glue_7t .glue_7 .gnu.linkonce.t.* .gcc_except_table .ARM.extab* .gnu.linkonce.armextab.*)
.glue_7 0x0800626c 0x0 linker stubs
@ -3272,63 +3272,63 @@ Linker script and memory map
.text.BootComCanInit
0x0800686c 0x128 THUMB Debug/../../obj/boot.o
.text.BootComCanCheckActivationRequest
0x08006994 0x54 THUMB Debug/../../obj/boot.o
0x08006994 0x68 THUMB Debug/../../obj/boot.o
.text.CAN_DeInit
0x080069e8 0x4c THUMB Debug/../../obj/stm32f10x_can.o
0x080069e8 CAN_DeInit
0x080069fc 0x4c THUMB Debug/../../obj/stm32f10x_can.o
0x080069fc CAN_DeInit
.text.CAN_Init
0x08006a34 0x1a0 THUMB Debug/../../obj/stm32f10x_can.o
0x08006a34 CAN_Init
0x08006a48 0x1a0 THUMB Debug/../../obj/stm32f10x_can.o
0x08006a48 CAN_Init
.text.CAN_FilterInit
0x08006bd4 0x174 THUMB Debug/../../obj/stm32f10x_can.o
0x08006bd4 CAN_FilterInit
0x08006be8 0x174 THUMB Debug/../../obj/stm32f10x_can.o
0x08006be8 CAN_FilterInit
.text.CAN_StructInit
0x08006d48 0x54 THUMB Debug/../../obj/stm32f10x_can.o
0x08006d48 CAN_StructInit
0x08006d5c 0x54 THUMB Debug/../../obj/stm32f10x_can.o
0x08006d5c CAN_StructInit
.text.CAN_Receive
0x08006d9c 0x174 THUMB Debug/../../obj/stm32f10x_can.o
0x08006d9c CAN_Receive
0x08006db0 0x174 THUMB Debug/../../obj/stm32f10x_can.o
0x08006db0 CAN_Receive
.text.CAN_MessagePending
0x08006f10 0x48 THUMB Debug/../../obj/stm32f10x_can.o
0x08006f10 CAN_MessagePending
0x08006f24 0x48 THUMB Debug/../../obj/stm32f10x_can.o
0x08006f24 CAN_MessagePending
.text.GPIO_Init
0x08006f58 0x178 THUMB Debug/../../obj/stm32f10x_gpio.o
0x08006f58 GPIO_Init
0x08006f6c 0x178 THUMB Debug/../../obj/stm32f10x_gpio.o
0x08006f6c GPIO_Init
.text.GPIO_SetBits
0x080070d0 0x1c THUMB Debug/../../obj/stm32f10x_gpio.o
0x080070d0 GPIO_SetBits
0x080070e4 0x1c THUMB Debug/../../obj/stm32f10x_gpio.o
0x080070e4 GPIO_SetBits
.text.GPIO_ResetBits
0x080070ec 0x1c THUMB Debug/../../obj/stm32f10x_gpio.o
0x080070ec GPIO_ResetBits
0x08007100 0x1c THUMB Debug/../../obj/stm32f10x_gpio.o
0x08007100 GPIO_ResetBits
.text.GPIO_PinRemapConfig
0x08007108 0xe0 THUMB Debug/../../obj/stm32f10x_gpio.o
0x08007108 GPIO_PinRemapConfig
0x0800711c 0xe0 THUMB Debug/../../obj/stm32f10x_gpio.o
0x0800711c GPIO_PinRemapConfig
.text.RCC_GetClocksFreq
0x080071e8 0x160 THUMB Debug/../../obj/stm32f10x_rcc.o
0x080071e8 RCC_GetClocksFreq
0x080071fc 0x160 THUMB Debug/../../obj/stm32f10x_rcc.o
0x080071fc RCC_GetClocksFreq
.text.RCC_APB2PeriphClockCmd
0x08007348 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x08007348 RCC_APB2PeriphClockCmd
0x0800735c 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x0800735c RCC_APB2PeriphClockCmd
.text.RCC_APB1PeriphClockCmd
0x08007384 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x08007384 RCC_APB1PeriphClockCmd
0x08007398 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x08007398 RCC_APB1PeriphClockCmd
.text.RCC_APB1PeriphResetCmd
0x080073c0 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x080073c0 RCC_APB1PeriphResetCmd
0x080073d4 0x3c THUMB Debug/../../obj/stm32f10x_rcc.o
0x080073d4 RCC_APB1PeriphResetCmd
.text.USART_Init
0x080073fc 0x178 THUMB Debug/../../obj/stm32f10x_usart.o
0x080073fc USART_Init
0x08007410 0x178 THUMB Debug/../../obj/stm32f10x_usart.o
0x08007410 USART_Init
.text.USART_Cmd
0x08007574 0x40 THUMB Debug/../../obj/stm32f10x_usart.o
0x08007574 USART_Cmd
0x08007588 0x40 THUMB Debug/../../obj/stm32f10x_usart.o
0x08007588 USART_Cmd
.text.USART_ReceiveData
0x080075b4 0x20 THUMB Debug/../../obj/stm32f10x_usart.o
0x080075b4 USART_ReceiveData
0x080075c8 0x20 THUMB Debug/../../obj/stm32f10x_usart.o
0x080075c8 USART_ReceiveData
.text.USART_GetFlagStatus
0x080075d4 0x38 THUMB Debug/../../obj/stm32f10x_usart.o
0x080075d4 USART_GetFlagStatus
0x0800760c __text_end__ = (__text_start__ + SIZEOF (.text))
0x0800760c __text_load_end__ = __text_end__
0x080075e8 0x38 THUMB Debug/../../obj/stm32f10x_usart.o
0x080075e8 USART_GetFlagStatus
0x08007620 __text_end__ = (__text_start__ + SIZEOF (.text))
0x08007620 __text_load_end__ = __text_end__
.vfp11_veneer 0x00000000 0x0
.vfp11_veneer 0x00000000 0x0 linker stubs
@ -3339,56 +3339,56 @@ Linker script and memory map
.iplt 0x00000000 0x0
.iplt 0x00000000 0x0 THUMB Debug/../../obj/cstart.o
0x00000001 . = ASSERT (((__text_end__ >= __FLASH_segment_start__) && (__text_end__ <= __FLASH_segment_end__)), error: .text is too large to fit in FLASH memory segment)
0x0800760c __dtors_load_start__ = ALIGN (__text_end__, 0x4)
0x08007620 __dtors_load_start__ = ALIGN (__text_end__, 0x4)
.dtors 0x0800760c 0x0
0x0800760c __dtors_start__ = .
.dtors 0x08007620 0x0
0x08007620 __dtors_start__ = .
*(SORT(.dtors.*))
*(.dtors)
*(.fini_array .fini_array.*)
0x0800760c __dtors_end__ = (__dtors_start__ + SIZEOF (.dtors))
0x0800760c __dtors_load_end__ = __dtors_end__
0x08007620 __dtors_end__ = (__dtors_start__ + SIZEOF (.dtors))
0x08007620 __dtors_load_end__ = __dtors_end__
0x00000001 . = ASSERT (((__dtors_end__ >= __FLASH_segment_start__) && (__dtors_end__ <= __FLASH_segment_end__)), error: .dtors is too large to fit in FLASH memory segment)
0x0800760c __ctors_load_start__ = ALIGN (__dtors_end__, 0x4)
0x08007620 __ctors_load_start__ = ALIGN (__dtors_end__, 0x4)
.ctors 0x0800760c 0x0
0x0800760c __ctors_start__ = .
.ctors 0x08007620 0x0
0x08007620 __ctors_start__ = .
*(SORT(.ctors.*))
*(.ctors)
*(.init_array .init_array.*)
0x0800760c __ctors_end__ = (__ctors_start__ + SIZEOF (.ctors))
0x0800760c __ctors_load_end__ = __ctors_end__
0x08007620 __ctors_end__ = (__ctors_start__ + SIZEOF (.ctors))
0x08007620 __ctors_load_end__ = __ctors_end__
0x00000001 . = ASSERT (((__ctors_end__ >= __FLASH_segment_start__) && (__ctors_end__ <= __FLASH_segment_end__)), error: .ctors is too large to fit in FLASH memory segment)
0x0800760c __rodata_load_start__ = ALIGN (__ctors_end__, 0x4)
0x08007620 __rodata_load_start__ = ALIGN (__ctors_end__, 0x4)
.rodata 0x0800760c 0x24
0x0800760c __rodata_start__ = .
.rodata 0x08007620 0x24
0x08007620 __rodata_start__ = .
*(.rodata .rodata.* .gnu.linkonce.r.*)
.rodata.canTiming
0x0800760c 0x24 THUMB Debug/../../obj/boot.o
0x08007630 __rodata_end__ = (__rodata_start__ + SIZEOF (.rodata))
0x08007630 __rodata_load_end__ = __rodata_end__
0x08007620 0x24 THUMB Debug/../../obj/boot.o
0x08007644 __rodata_end__ = (__rodata_start__ + SIZEOF (.rodata))
0x08007644 __rodata_load_end__ = __rodata_end__
.rel.dyn 0x08006000 0x0
.rel.iplt 0x08006000 0x0 THUMB Debug/../../obj/cstart.o
0x00000001 . = ASSERT (((__rodata_end__ >= __FLASH_segment_start__) && (__rodata_end__ <= __FLASH_segment_end__)), error: .rodata is too large to fit in FLASH memory segment)
0x08007630 __ARM.exidx_load_start__ = ALIGN (__rodata_end__, 0x4)
0x08007644 __ARM.exidx_load_start__ = ALIGN (__rodata_end__, 0x4)
.ARM.exidx 0x08007630 0x0
0x08007630 __ARM.exidx_start__ = .
0x08007630 __exidx_start = __ARM.exidx_start__
.ARM.exidx 0x08007644 0x0
0x08007644 __ARM.exidx_start__ = .
0x08007644 __exidx_start = __ARM.exidx_start__
*(.ARM.exidx .ARM.exidx.*)
0x08007630 __ARM.exidx_end__ = (__ARM.exidx_start__ + SIZEOF (.ARM.exidx))
0x08007630 __exidx_end = __ARM.exidx_end__
0x08007630 __ARM.exidx_load_end__ = __ARM.exidx_end__
0x08007644 __ARM.exidx_end__ = (__ARM.exidx_start__ + SIZEOF (.ARM.exidx))
0x08007644 __exidx_end = __ARM.exidx_end__
0x08007644 __ARM.exidx_load_end__ = __ARM.exidx_end__
0x00000001 . = ASSERT (((__ARM.exidx_end__ >= __FLASH_segment_start__) && (__ARM.exidx_end__ <= __FLASH_segment_end__)), error: .ARM.exidx is too large to fit in FLASH memory segment)
0x08007630 __fast_load_start__ = ALIGN (__ARM.exidx_end__, 0x4)
0x08007644 __fast_load_start__ = ALIGN (__ARM.exidx_end__, 0x4)
.fast 0x20000000 0x0 load address 0x08007630
.fast 0x20000000 0x0 load address 0x08007644
0x20000000 __fast_start__ = .
*(.fast .fast.*)
0x20000000 __fast_end__ = (__fast_start__ + SIZEOF (.fast))
0x08007630 __fast_load_end__ = (__fast_load_start__ + SIZEOF (.fast))
0x08007644 __fast_load_end__ = (__fast_load_start__ + SIZEOF (.fast))
0x00000001 . = ASSERT (((__fast_load_end__ >= __FLASH_segment_start__) && (__fast_load_end__ <= __FLASH_segment_end__)), error: .fast is too large to fit in FLASH memory segment)
.fast_run 0x20000000 0x0
@ -3397,9 +3397,9 @@ Linker script and memory map
0x20000000 __fast_run_end__ = (__fast_run_start__ + SIZEOF (.fast_run))
0x20000000 __fast_run_load_end__ = __fast_run_end__
0x00000001 . = ASSERT (((__fast_run_end__ >= __SRAM_segment_start__) && (__fast_run_end__ <= __SRAM_segment_end__)), error: .fast_run is too large to fit in SRAM memory segment)
0x08007630 __data_load_start__ = ALIGN ((__fast_load_start__ + SIZEOF (.fast)), 0x4)
0x08007644 __data_load_start__ = ALIGN ((__fast_load_start__ + SIZEOF (.fast)), 0x4)
.data 0x20000000 0x14 load address 0x08007630
.data 0x20000000 0x14 load address 0x08007644
0x20000000 __data_start__ = .
*(.data .data.* .gnu.linkonce.d.*)
.data.APBAHBPrescTable
@ -3407,13 +3407,13 @@ Linker script and memory map
.data.ADCPrescTable
0x20000010 0x4 THUMB Debug/../../obj/stm32f10x_rcc.o
0x20000014 __data_end__ = (__data_start__ + SIZEOF (.data))
0x08007644 __data_load_end__ = (__data_load_start__ + SIZEOF (.data))
0x08007658 __data_load_end__ = (__data_load_start__ + SIZEOF (.data))
.igot.plt 0x00000000 0x0
.igot.plt 0x00000000 0x0 THUMB Debug/../../obj/cstart.o
0x00000001 . = ASSERT (((__data_load_end__ >= __FLASH_segment_start__) && (__data_load_end__ <= __FLASH_segment_end__)), error: .data is too large to fit in FLASH memory segment)
.data_run 0x20000000 0x14 load address 0x08007630
.data_run 0x20000000 0x14 load address 0x08007644
0x20000000 __data_run_start__ = .
0x20000014 . = MAX ((__data_run_start__ + SIZEOF (.data)), .)
*fill* 0x20000000 0x14
@ -3491,14 +3491,14 @@ Linker script and memory map
0x200001f0 __tbss_end__ = (__tbss_start__ + SIZEOF (.tbss))
0x200001f0 __tbss_load_end__ = __tbss_end__
0x00000001 . = ASSERT (((__tbss_end__ >= __SRAM_segment_start__) && (__tbss_end__ <= __SRAM_segment_end__)), error: .tbss is too large to fit in SRAM memory segment)
0x08007644 __tdata_load_start__ = ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4)
0x08007658 __tdata_load_start__ = ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4)
.tdata 0x200001f0 0x0 load address 0x08007644
.tdata 0x200001f0 0x0 load address 0x08007658
0x200001f0 __tdata_start__ = .
*(.tdata .tdata.*)
0x200001f0 __tdata_end__ = (__tdata_start__ + SIZEOF (.tdata))
0x08007644 __tdata_load_end__ = (__tdata_load_start__ + SIZEOF (.tdata))
0x08007644 __FLASH_segment_used_end__ = (ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4) + SIZEOF (.tdata))
0x08007658 __tdata_load_end__ = (__tdata_load_start__ + SIZEOF (.tdata))
0x08007658 __FLASH_segment_used_end__ = (ALIGN ((__data_load_start__ + SIZEOF (.data)), 0x4) + SIZEOF (.tdata))
0x00000001 . = ASSERT (((__tdata_load_end__ >= __FLASH_segment_start__) && (__tdata_load_end__ <= __FLASH_segment_end__)), error: .tdata is too large to fit in FLASH memory segment)
.tdata_run 0x200001f0 0x0
@ -3585,29 +3585,29 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.comment 0x0000004c 0x4d THUMB Debug/../../obj/stm32f10x_rcc.o
.comment 0x0000004c 0x4d THUMB Debug/../../obj/stm32f10x_usart.o
.debug_line 0x00000000 0x2526
.debug_line 0x00000000 0x2533
.debug_line 0x00000000 0x117 THUMB Debug/../../obj/cstart.o
.debug_line 0x00000117 0x2cf THUMB Debug/../../obj/led.o
.debug_line 0x000003e6 0x277 THUMB Debug/../../obj/main.o
.debug_line 0x0000065d 0x29a THUMB Debug/../../obj/timer.o
.debug_line 0x000008f7 0x180 THUMB Debug/../../obj/vectors.o
.debug_line 0x00000a77 0x438 THUMB Debug/../../obj/boot.o
.debug_line 0x00000eaf 0x65a THUMB Debug/../../obj/stm32f10x_can.o
.debug_line 0x00001509 0x4c0 THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_line 0x000019c9 0x5bb THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_line 0x00001f84 0x5a2 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_line 0x00000a77 0x445 THUMB Debug/../../obj/boot.o
.debug_line 0x00000ebc 0x65a THUMB Debug/../../obj/stm32f10x_can.o
.debug_line 0x00001516 0x4c0 THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_line 0x000019d6 0x5bb THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_line 0x00001f91 0x5a2 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_info 0x00000000 0x3bec
.debug_info 0x00000000 0x3bfb
.debug_info 0x00000000 0xe6 THUMB Debug/../../obj/cstart.o
.debug_info 0x000000e6 0x23e THUMB Debug/../../obj/led.o
.debug_info 0x00000324 0x232 THUMB Debug/../../obj/main.o
.debug_info 0x00000556 0x5ef THUMB Debug/../../obj/timer.o
.debug_info 0x00000b45 0xfc THUMB Debug/../../obj/vectors.o
.debug_info 0x00000c41 0xb66 THUMB Debug/../../obj/boot.o
.debug_info 0x000017a7 0xb6a THUMB Debug/../../obj/stm32f10x_can.o
.debug_info 0x00002311 0x6bc THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_info 0x000029cd 0x869 THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_info 0x00003236 0x9b6 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_info 0x00000c41 0xb75 THUMB Debug/../../obj/boot.o
.debug_info 0x000017b6 0xb6a THUMB Debug/../../obj/stm32f10x_can.o
.debug_info 0x00002320 0x6bc THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_info 0x000029dc 0x869 THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_info 0x00003245 0x9b6 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_abbrev 0x00000000 0xdb0
.debug_abbrev 0x00000000 0x14 THUMB Debug/../../obj/cstart.o
@ -3707,7 +3707,7 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
.debug_ranges 0x00000240 0x108 THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_ranges 0x00000348 0xf0 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_str 0x00000000 0x1cde
.debug_str 0x00000000 0x1ceb
.debug_str 0x00000000 0x37d THUMB Debug/../../obj/led.o
0x3b0 (size before relaxing)
.debug_str 0x0000037d 0xfb THUMB Debug/../../obj/main.o
@ -3716,13 +3716,13 @@ OUTPUT(C:/Work/software/OpenBLT/Target/Demo/ARMCM3_STM32F1_Olimex_STM32P103_Cros
0x662 (size before relaxing)
.debug_str 0x00000906 0x95 THUMB Debug/../../obj/vectors.o
0x253 (size before relaxing)
.debug_str 0x0000099b 0x4d1 THUMB Debug/../../obj/boot.o
0x86b (size before relaxing)
.debug_str 0x00000e6c 0x379 THUMB Debug/../../obj/stm32f10x_can.o
.debug_str 0x0000099b 0x4de THUMB Debug/../../obj/boot.o
0x878 (size before relaxing)
.debug_str 0x00000e79 0x379 THUMB Debug/../../obj/stm32f10x_can.o
0x7f5 (size before relaxing)
.debug_str 0x000011e5 0x2b1 THUMB Debug/../../obj/stm32f10x_gpio.o
.debug_str 0x000011f2 0x2b1 THUMB Debug/../../obj/stm32f10x_gpio.o
0x5e0 (size before relaxing)
.debug_str 0x00001496 0x455 THUMB Debug/../../obj/stm32f10x_rcc.o
.debug_str 0x000014a3 0x455 THUMB Debug/../../obj/stm32f10x_rcc.o
0x6e1 (size before relaxing)
.debug_str 0x000018eb 0x3f3 THUMB Debug/../../obj/stm32f10x_usart.o
.debug_str 0x000018f8 0x3f3 THUMB Debug/../../obj/stm32f10x_usart.o
0x757 (size before relaxing)

View File

@ -32,25 +32,25 @@ S315080061C403BCF7E700208646EC4600200021234A54
S315080061D49047FEE7884207D0521A05D00378013063
S315080061E40B700131013AF9D17047884202D0027026
S315080061F40130FAE770471A481A490160A8E700000F
S31508006204F001002030760008000000201400002069
S315080062146C6200086C6200080C7600083076000888
S3150800622400000020000000200C7600080C76000808
S315080062340C7600080C7600080C7600080C76000824
S315080062440C7600080C760008307600081400002046
S31508006204F001002044760008000000201400002055
S315080062146C6200086C620008207600084476000860
S3150800622400000020000000202076000820760008E0
S3150800623420760008207600082076000820760008D4
S31508006244207600082076000844760008140000200A
S315080062546D00002070000020F00000202163000873
S30D0800626408ED00E000600008E7
S3150800626C80B582B000AF012110200B4B98474FF434
S3150800627C8053BB800323BB711023FB713B1D19464E
S3150800628C0648074B98474FF480510448054B9847E6
S3150800629C00BF0837BD4680BD497300080010014091
S315080062AC596F0008D170000880B582B000AF134B47
S3150800629C00BF0837BD4680BD5D730008001001407D
S315080062AC6D6F0008E570000880B582B000AF134B1F
S315080062BC98477860124B1B687A68D31AB3F5FA7F3D
S315080062CC18D3104B1B78002B08D10E4B01221A70D1
S315080062DC4FF480510C480D4B984707E0094B0022A8
S315080062EC1A704FF480510848094B9847044A7B6842
S315080062FC136000E000BF0837BD4680BD8165000805
S3150800630C140000201800002000100140ED70000851
S3150800631CD170000880B500AF044B9847044B9847DA
S3150800630C140000201800002000100140017100083C
S3150800631CE570000880B500AF044B9847044B9847C6
S3150800632C044B9847044B9847FAE700BF49630008A3
S3150800633CE1650008B5620008F965000880B584B007
S3150800634C00AF0023BB6000237B604F4A4E4B1B6893
@ -105,9 +105,9 @@ S3150800664C154B98470423FB750823BB8207F11403E3
S3150800665C19461048104B98474FF461437B6000234A
S3150800666C3B8100237B810023BB8100233B820C23C7
S3150800667CFB813B1D19460948094B984701210748D8
S3150800668C084B984700BF1837BD4680BD8573000870
S3150800669C4973000800080140596F0008004400407F
S315080066ACFD7300087575000880B500AF254B1B787F
S3150800668C084B984700BF1837BD4680BD997300085C
S3150800669C5D730008000801406D6F00080044004057
S315080066AC117400088975000880B500AF254B1B7856
S315080066BC002B15D12448254B98470346012B3FD16F
S315080066CC214B1B78002B3BD0214B98470246214B7C
S315080066DC1A601C4B01221A701F4B00221A702FE0ED
@ -122,7 +122,7 @@ S3150800675C81650008680000206C000020116600089E
S3150800676C80B582B000AF786020210A480A4B98475A
S3150800677C0346012B08D10748084B98470346DAB25B
S3150800678C7B681A70012300E0002318460837BD46BB
S3150800679C80BD00BF00440040D5750008B5750008DB
S3150800679C80BD00BF00440040E9750008C9750008B3
S315080067AC80B487B000AFB9607A603B600346FB8162
S315080067BC0023FB7548E0FA89FB7D284911F813304C
S315080067CC1846FB7D25495B000B445B780344013373
@ -134,7 +134,7 @@ S3150800681C1A80BB681B88002B13D0BB681B88B3F582
S3150800682C806F0ED8FB7D0D4A12F813207B681A7000
S3150800683CFB7D0A4A5B0013445A783B681A7001239D
S3150800684C06E0FB7D0133FB75FB7D112BB3D90023C9
S3150800685C18461C37BD4680BC704700BF0C7600082E
S3150800685C18461C37BD4680BC704700BF207600081A
S3150800686C90B58BB000AF012101203C4B9847012114
S3150800687C08203A4B98474FF48073BB84482387F813
S3150800688C273007F1240319463548364B98474FF4F9
@ -150,212 +150,214 @@ S3150800691C013BDBB27B77FB883B8307F118031946EF
S3150800692C1348174B98470023BB740023FB740123A9
S3150800693C3B7500233B8100237B810023BB8100230D
S3150800694CFB8100233B8201237B7507F1080318465C
S3150800695C0C4B984700BF2C37BD4690BD49730008B1
S3150800696C000C0140596F000809710008857300086E
S3150800697C00640040E9690008496D0008AD67000825
S3150800698C356A0008D56B000880B586B000AF0021C3
S3150800699C0E480F4B98470346002B12D03B1D1A4640
S315080069AC00210A480B4B98477B6840F26762934272
S315080069BC07D1FB7BFF2B04D13B7C002B01D1064B6B
S315080069CC984700BF1837BD4680BD00BF006400401D
S315080069DC116F00089D6D00081166000880B582B01D
S315080069EC00AF78607B680E4A93420AD101214FF0BA
S315080069FC00700C4B984700214FF00070094B9847D4
S31508006A0C09E001214FF08060064B984700214FF0B2
S31508006A1C8060044B984700BF0837BD4680BD00BF51
S31508006A2C00640040C173000880B485B000AF78607C
S31508006A3C39600023FB730023BB607B681B6823F05B
S31508006A4C02027B681A607B681B6843F001027B684C
S31508006A5C1A6002E0BB680133BB607B685B6803F0B5
S31508006A6C0103002B04D1BB684FF6FF729342F1D198
S31508006A7C7B685B6803F00103002B02D10023FB73D0
S31508006A8C9CE03B689B79012B06D17B681B6843F01D
S31508006A9C80027B681A6005E07B681B6823F080021D
S31508006AAC7B681A603B68DB79012B06D17B681B680F
S31508006ABC43F040027B681A6005E07B681B6823F08C
S31508006ACC40027B681A603B681B7A012B06D17B68EF
S31508006ADC1B6843F020027B681A6005E07B681B681C
S31508006AEC23F020027B681A603B685B7A012B06D17F
S31508006AFC7B681B6843F010027B681A6005E07B68AC
S31508006B0C1B6823F010027B681A603B689B7A012B82
S31508006B1C06D17B681B6843F008027B681A6005E09F
S31508006B2C7B681B6823F008027B681A603B68DB7A73
S31508006B3C012B06D17B681B6843F004027B681A603C
S31508006B4C05E07B681B6823F004027B681A603B68C7
S31508006B5C9B789A073B68DB781B061A433B681B79BC
S31508006B6C1B041A433B685B791B051A433B681B8855
S31508006B7C013B1A437B68DA617B681B6823F00102C8
S31508006B8C7B681A600023BB6002E0BB680133BB60FC
S31508006B9C7B685B6803F00103002B04D0BB684FF6D7
S31508006BACFF729342F1D17B685B6803F00103002BFB
S31508006BBC02D00023FB7301E00123FB73FB7B184611
S31508006BCC1437BD4680BC704780B485B000AF78607A
S31508006BDC0023FB607B689B7A1A4601239340FB6073
S31508006BEC554A554BD3F8003243F00103C2F800322C
S31508006BFC5149514BD3F81C22FB68DB431340C1F8AF
S31508006C0C1C327B681B7B002B25D14B494A4BD3F88E
S31508006C1C0C22FB68DB431340C1F80C3246497B68EF
S31508006C2C9B7A18467B68DB881B047A6852881A4359
S31508006C3C00F1480341F833203F497B689B7A184694
S31508006C4C7B689B881B047A6812881A4300F14803F0
S31508006C5CDB000B445A607B681B7B012B24D136491D
S31508006C6C354BD3F80C22FB681343C1F80C32324966
S31508006C7C7B689B7A18467B681B881B047A68528843
S31508006C8C1A4300F1480341F833202B497B689B7A59
S31508006C9C18467B689B881B047A68D2881A4300F1CD
S31508006CAC4803DB000B445A607B68DB7A002B09D15E
S31508006CBC2149214BD3F80422FB68DB431340C1F866
S31508006CCC043207E01C491C4BD3F80422FB68134317
S31508006CDCC1F804327B681B89002B08D11649164B60
S31508006CECD3F81422FB68DB431340C1F814327B68D3
S31508006CFC1B89012B07D110490F4BD3F81422FB68BB
S31508006D0C1343C1F814327B685B7B012B07D10A4904
S31508006D1C094BD3F81C22FB681343C1F81C32064AEC
S31508006D2C054BD3F8003223F00103C2F8003200BF3A
S31508006D3C1437BD4680BC70470064004080B483B0ED
S31508006D4C00AF78607B6800229A717B680022DA7142
S31508006D5C7B6800221A727B6800225A727B680022B2
S31508006D6C9A727B680022DA727B6800229A707B68BA
S31508006D7C0022DA707B6803221A717B6802225A7128
S31508006D8C7B6801221A8000BF0C37BD4680BC704751
S31508006D9C80B485B000AFF8600B467A60FB72FB7A5C
S31508006DACFA681B331B0113441B68DBB203F004039C
S31508006DBCDAB27B681A727B681B7A002B09D1FB7ACC
S31508006DCCFA681B331B0113441B685A0D7B681A603F
S31508006DDC08E0FB7AFA681B331B0113441B68DA08B4
S31508006DEC7B685A60FB7AFA681B331B0113441B68D1
S31508006DFCDBB203F00203DAB27B685A72FB7AFA68E2
S31508006E0C1B331B01134404331B68DBB203F00F035B
S31508006E1CDAB27B689A72FB7AFA681B331B01134445
S31508006E2C04331B681B0ADAB27B68DA74FB7AFA68D5
S31508006E3C1B01134403F5DC731B68DAB27B68DA7240
S31508006E4CFB7AFA681B01134403F5DC731B681B0AEF
S31508006E5CDAB27B681A73FB7AFA681B01134403F5DA
S31508006E6CDC731B681B0CDAB27B685A73FB7AFA68FC
S31508006E7C1B01134403F5DC731B681B0EDAB27B6823
S31508006E8C9A73FB7AFA681B01134403F5DE731B68C5
S31508006E9CDAB27B68DA73FB7AFA681B01134403F5DA
S31508006EACDE731B681B0ADAB27B681A74FB7AFA68FB
S31508006EBC1B01134403F5DE731B681B0CDAB27B68E3
S31508006ECC5A74FB7AFA681B01134403F5DE731B68C4
S31508006EDC1B0EDAB27B689A74FB7A002B06D1FB6818
S31508006EECDB6843F02002FB68DA6005E0FB681B6987
S31508006EFC43F02002FB681A6100BF1437BD4680BCFC
S31508006F0C704700BF80B485B000AF78600B46FB7045
S31508006F1C0023FB73FB78002B06D17B68DB68DBB29E
S31508006F2C03F00303FB730BE0FB78012B06D17B689C
S31508006F3C1B69DBB203F00303FB7301E00023FB734D
S31508006F4CFB7B18461437BD4680BC704780B489B0A5
S31508006F5C00AF786039600023FB6100233B61002396
S31508006F6CBB610023FB6000237B610023BB603B688D
S31508006F7CDB7803F00F03FB613B68DB7803F0100347
S31508006F8C002B05D03B689B781A46FB691343FB61BB
S31508006F9C3B681B88DBB2002B44D07B681B687B6183
S31508006FAC0023BB6138E00122BB6902FA03F3FB60DC
S31508006FBC3B681B881A46FB6813403B613A69FB68B9
S31508006FCC9A4226D1BB699B00FB600F22FB6802FA2A
S31508006FDC03F3BB60BB68DB437A6913407B61FA69D0
S31508006FECFB6802FA03F37A6913437B613B68DB7827
S31508006FFC282B05D10122BB699A407B685A6108E0A7
S3150800700C3B68DB78482B04D10122BB699A407B6824
S3150800701C1A61BB690133BB61BB69072BC3D97B6892
S3150800702C7A691A603B681B88FF2B46D97B685B68B4
S3150800703C7B610023BB613AE0BB690833012202FA83
S3150800704C03F3FB603B681B881A46FB6813403B61DD
S3150800705C3A69FB689A4227D1BB699B00FB600F22F1
S3150800706CFB6802FA03F3BB60BB68DB437A6913401F
S3150800707C7B61FA69FB6802FA03F37A6913437B614D
S3150800708C3B68DB78282B05D1BB69083301229A406B
S3150800709C7B685A613B68DB78482B05D1BB6908339A
S315080070AC01229A407B681A61BB690133BB61BB69D3
S315080070BC072BC1D97B687A695A6000BF2437BD464D
S315080070CC80BC704780B483B000AF78600B467B8079
S315080070DC7A887B681A6100BF0C37BD4680BC70473E
S315080070EC80B483B000AF78600B467B807A887B6867
S315080070FC5A6100BF0C37BD4680BC704780B487B058
S3150800710C00AF78600B46FB7000233B610023FB60E5
S3150800711C00237B610023BB607B68002B03DA2E4BB4
S3150800712CDB697B6102E02C4B5B687B617B681B0C23
S3150800713C03F00F03BB607B689BB23B617B6803F46F
S3150800714C4013B3F5401F0AD17B6923F070637B614A
S3150800715C214A214B5B6823F07063536021E07B68FE
S3150800716C03F48013002B0ED00322BB6802FA03F338
S3150800717CFB60FB68DB437A6913407B617B6943F0F0
S3150800718C70637B610DE07B685B0D1B013A6902FA43
S3150800719C03F3DB437A6913407B617B6943F07063C5
S315080071AC7B61FB78002B08D07B685B0D1B013A6969
S315080071BC02FA03F37A6913437B617B68002B03DAC3
S315080071CC054A7B69D36102E0034A7B69536000BFB9
S315080071DC1C37BD4680BC70470000014080B487B0A0
S315080071EC00AF786000237B6100233B610023FB60C2
S315080071FC0023BB604C4B5B6803F00C037B617B691B
S3150800720C042B07D0082B09D0002B33D17B68474AAF
S3150800721C1A6033E07B68454A1A602FE0424B5B687C
S3150800722C03F470133B61404B5B6803F48033FB60DB
S3150800723C3B699B0C02333B61FB68002B06D13B690F
S3150800724C3B4A02FB03F27B681A6017E0364B5B6815
S3150800725C03F40033002B06D03B69354A02FB03F2D4
S3150800726C7B681A600AE03B69304A02FB03F27B68CA
S3150800727C1A6003E07B682D4A1A6000BF2A4B5B68CC
S3150800728C03F0F0037B617B691B097B61294A7B69E7
S3150800729C13441B78DBB2BB607B681A68BB68DA40A0
S315080072AC7B685A60204B5B6803F4E0637B617B69FF
S315080072BC1B0A7B611F4A7B6913441B78DBB2BB60D4
S315080072CC7B685A68BB68DA407B689A60164B5B68C1
S315080072DC03F460537B617B69DB0A7B61154A7B6926
S315080072EC13441B78DBB2BB607B685A68BB68DA4010
S315080072FC7B68DA600C4B5B6803F440437B617B6903
S3150800730C9B0B7B610C4A7B6913441B78DBB2BB6015
S3150800731C7B68DA68BB68B2FBF3F27B681A6100BF5C
S3150800732C1C37BD4680BC70470010024000127A001C
S3150800733C00093D00000000201000002080B483B036
S3150800734C00AF78600B46FB70FB78002B06D009491A
S3150800735C084B9A697B6813438B6106E00549054B14
S3150800736C9A697B68DB4313408B6100BF0C37BD46BB
S3150800737C80BC70470010024080B483B000AF7860C0
S3150800738C0B46FB70FB78002B06D00949084BDA69CB
S3150800739C7B681343CB6106E00549054BDA697B68C4
S315080073ACDB431340CB6100BF0C37BD4680BC70472E
S315080073BC0010024080B483B000AF78600B46FB70B7
S315080073CCFB78002B06D00949084B1A697B681343CE
S315080073DC0B6106E00549054B1A697B68DB431340CC
S315080073EC0B6100BF0C37BD4680BC704700100240CD
S315080073FC80B58CB000AF786039600023FB6200233F
S3150800740CBB6200237B6200233B620023FB617B6823
S3150800741CFB617B681B8A9BB2FB62FA6A4CF6FF73AC
S3150800742C1340FB623B68DB881A46FB6A1343FB6214
S3150800743CFB6A9AB27B681A827B689B899BB2FB6251
S3150800744CFA6A4EF6F3131340FB623B689A883B685C
S3150800745C1B8913439AB23B685B8913439BB21A4642
S3150800746CFB6A1343FB62FB6A9AB27B689A817B6858
S3150800747C9B8A9BB2FB62FA6A4FF6FF431340FB6288
S3150800748C3B689B891A46FB6A1343FB62FB6A9AB2F2
S3150800749C7B689A8207F108031846304B9847FB69B4
S315080074AC2F4A934202D17B69BB6201E03B69BB62FE
S315080074BC7B689B899BB21BB2002B0CDABA6A134603
S315080074CC9B0013449A001A443B681B685B00B2FB8A
S315080074DCF3F37B620BE0BA6A13469B0013449A00DB
S315080074EC1A443B681B689B00B2FBF3F37B627B6A0E
S315080074FC1C4AA2FB03235B091B01FB62FB6A1B09E3
S3150800750C642202FB03F37A6AD31A3B627B689B8973
S3150800751C9BB21BB2002B0CDA3B6ADB003233114AE6
S3150800752CA2FB03235B0903F00703FA6A1343FB6206
S3150800753C0BE03B6A1B0132330A4AA2FB03235B09A5
S3150800754C03F00F03FA6A1343FB62FB6A9AB27B6871
S3150800755C1A8100BF3037BD4680BD00BFE9710008EF
S3150800756C003801401F85EB5180B483B000AF7860BA
S3150800757C0B46FB70FB78002B08D07B689B899BB26B
S3150800758C43F400539AB27B689A8107E07B689B891F
S3150800759C9BB223F400539AB27B689A8100BF0C37CE
S315080075ACBD4680BC704700BF80B483B000AF78601E
S315080075BC7B689B889BB2C3F308039BB218460C37AF
S315080075CCBD4680BC704700BF80B485B000AF7860FC
S315080075DC0B467B800023FB737B681B889AB27B88DF
S315080075EC13409BB2002B02D00123FB7301E000234E
S315080075FCFB73FB7B18461437BD4680BC704700BF2F
S3150800760C05020602060307030803090309040A040C
S3150800761C0B040C040C050D050E050F050F061006BC
S3090800762C100710081D
S31508007630000000000102030401020304060708090A
S309080076400204060824
S3150800695C0C4B984700BF2C37BD4690BD5D7300089D
S3150800696C000C01406D6F00081D7100089973000832
S3150800697C00640040FD6900085D6D0008AD670008FD
S3150800698C496A0008E96B000880B586B000AF002399
S3150800699CFB7500211248134B98470346002B1AD057
S315080069AC3B461A4600210E480F4B98473B7A002B5C
S315080069BC06D13B6840F26762934201D10123FB750D
S315080069CCFB7D012B07D1FB7AFF2B04D13B7B002BDC
S315080069DC01D1064B984700BF1837BD4680BD00BF8E
S315080069EC00640040256F0008B16D000811660008A8
S315080069FC80B582B000AF78607B680E4A93420AD1A4
S31508006A0C01214FF000700C4B984700214FF0007095
S31508006A1C094B984709E001214FF08060064B9847CF
S31508006A2C00214FF08060044B984700BF0837BD46DD
S31508006A3C80BD00BF00640040D573000880B485B0E3
S31508006A4C00AF786039600023FB730023BB607B685A
S31508006A5C1B6823F002027B681A607B681B6843F08C
S31508006A6C01027B681A6002E0BB680133BB607B6875
S31508006A7C5B6803F00103002B04D1BB684FF6FF7269
S31508006A8C9342F1D17B685B6803F00103002B02D1BA
S31508006A9C0023FB739CE03B689B79012B06D17B6832
S31508006AAC1B6843F080027B681A6005E07B681B68EC
S31508006ABC23F080027B681A603B68DB79012B06D1D0
S31508006ACC7B681B6843F040027B681A6005E07B68AC
S31508006ADC1B6823F040027B681A603B681B7A012B03
S31508006AEC06D17B681B6843F020027B681A6005E0B8
S31508006AFC7B681B6823F020027B681A603B685B7A0C
S31508006B0C012B06D17B681B6843F010027B681A6060
S31508006B1C05E07B681B6823F010027B681A603B68EB
S31508006B2C9B7A012B06D17B681B6843F008027B68AD
S31508006B3C1A6005E07B681B6823F008027B681A60FC
S31508006B4C3B68DB7A012B06D17B681B6843F0040291
S31508006B5C7B681A6005E07B681B6823F004027B6877
S31508006B6C1A603B689B789A073B68DB781B061A43C6
S31508006B7C3B681B791B041A433B685B791B051A4354
S31508006B8C3B681B88013B1A437B68DA617B681B6888
S31508006B9C23F001027B681A600023BB6002E0BB6825
S31508006BAC0133BB607B685B6803F00103002B04D0E0
S31508006BBCBB684FF6FF729342F1D17B685B6803F0B2
S31508006BCC0103002B02D00023FB7301E00123FB73A6
S31508006BDCFB7B18461437BD4680BC704780B485B01D
S31508006BEC00AF78600023FB607B689B7A1A4601230A
S31508006BFC9340FB60554A554BD3F8003243F00103DA
S31508006C0CC2F800325149514BD3F81C22FB68DB43BE
S31508006C1C1340C1F81C327B681B7B002B25D14B49D2
S31508006C2C4A4BD3F80C22FB68DB431340C1F80C32F1
S31508006C3C46497B689B7A18467B68DB881B047A680E
S31508006C4C52881A4300F1480341F833203F497B68C0
S31508006C5C9B7A18467B689B881B047A6812881A43A9
S31508006C6C00F14803DB000B445A607B681B7B012B45
S31508006C7C24D13649354BD3F80C22FB681343C1F89B
S31508006C8C0C3232497B689B7A18467B681B881B0436
S31508006C9C7A6852881A4300F1480341F833202B4985
S31508006CAC7B689B7A18467B689B881B047A68D28813
S31508006CBC1A4300F14803DB000B445A607B68DB7A05
S31508006CCC002B09D12149214BD3F80422FB68DB435D
S31508006CDC1340C1F8043207E01C491C4BD3F80422B4
S31508006CECFB681343C1F804327B681B89002B08D157
S31508006CFC1649164BD3F81422FB68DB431340C1F82C
S31508006D0C14327B681B89012B07D110490F4BD3F81A
S31508006D1C1422FB681343C1F814327B685B7B012B86
S31508006D2C07D10A49094BD3F81C22FB681343C1F84F
S31508006D3C1C32064A054BD3F8003223F00103C2F87D
S31508006D4C003200BF1437BD4680BC70470064004053
S31508006D5C80B483B000AF78607B6800229A717B6838
S31508006D6C0022DA717B6800221A727B6800225A723A
S31508006D7C7B6800229A727B680022DA727B68002292
S31508006D8C9A707B680022DA707B6803221A717B681A
S31508006D9C02225A717B6801221A8000BF0C37BD4645
S31508006DAC80BC704780B485B000AFF8600B467A603B
S31508006DBCFB72FB7AFA681B331B0113441B68DBB2A4
S31508006DCC03F00403DAB27B681A727B681B7A002B11
S31508006DDC09D1FB7AFA681B331B0113441B685A0D3D
S31508006DEC7B681A6008E0FB7AFA681B331B011344AC
S31508006DFC1B68DA087B685A60FB7AFA681B331B0136
S31508006E0C13441B68DBB203F00203DAB27B685A72CE
S31508006E1CFB7AFA681B331B01134404331B68DBB279
S31508006E2C03F00F03DAB27B689A72FB7AFA681B33A3
S31508006E3C1B01134404331B681B0ADAB27B68DA7429
S31508006E4CFB7AFA681B01134403F5DC731B68DAB288
S31508006E5C7B68DA72FB7AFA681B01134403F5DC7358
S31508006E6C1B681B0ADAB27B681A73FB7AFA681B0171
S31508006E7C134403F5DC731B681B0CDAB27B685A7374
S31508006E8CFB7AFA681B01134403F5DC731B681B0EAB
S31508006E9CDAB27B689A73FB7AFA681B01134403F51A
S31508006EACDE731B68DAB27B68DA73FB7AFA681B0145
S31508006EBC134403F5DE731B681B0ADAB27B681A7473
S31508006ECCFB7AFA681B01134403F5DE731B681B0C6B
S31508006EDCDAB27B685A74FB7AFA681B01134403F519
S31508006EECDE731B681B0EDAB27B689A74FB7A002B6E
S31508006EFC06D1FB68DB6843F02002FB68DA6005E024
S31508006F0CFB681B6943F02002FB681A6100BF143743
S31508006F1CBD4680BC704700BF80B485B000AF7860B2
S31508006F2C0B46FB700023FB73FB78002B06D17B68A2
S31508006F3CDB68DBB203F00303FB730BE0FB78012B76
S31508006F4C06D17B681B69DBB203F00303FB7301E014
S31508006F5C0023FB73FB7B18461437BD4680BC704771
S31508006F6C80B489B000AF786039600023FB610023D8
S31508006F7C3B610023BB610023FB6000237B6100237C
S31508006F8CBB603B68DB7803F00F03FB613B68DB787F
S31508006F9C03F01003002B05D03B689B781A46FB6957
S31508006FAC1343FB613B681B88DBB2002B44D07B6820
S31508006FBC1B687B610023BB6138E00122BB6902FABE
S31508006FCC03F3FB603B681B881A46FB6813403B615E
S31508006FDC3A69FB689A4226D1BB699B00FB600F2273
S31508006FECFB6802FA03F3BB60BB68DB437A691340A0
S31508006FFC7B61FA69FB6802FA03F37A6913437B61CE
S3150800700C3B68DB78282B05D10122BB699A407B6843
S3150800701C5A6108E03B68DB78482B04D10122BB692E
S3150800702C9A407B681A61BB690133BB61BB69072B44
S3150800703CC3D97B687A691A603B681B88FF2B46D9CB
S3150800704C7B685B687B610023BB613AE0BB690833EC
S3150800705C012202FA03F3FB603B681B881A46FB689D
S3150800706C13403B613A69FB689A4227D1BB699B007E
S3150800707CFB600F22FB6802FA03F3BB60BB68DB43B9
S3150800708C7A6913407B61FA69FB6802FA03F37A6939
S3150800709C13437B613B68DB78282B05D1BB69083326
S315080070AC01229A407B685A613B68DB78482B05D1EC
S315080070BCBB69083301229A407B681A61BB690133A4
S315080070CCBB61BB69072BC1D97B687A695A6000BF5B
S315080070DC2437BD4680BC704780B483B000AF786057
S315080070EC0B467B807A887B681A6100BF0C37BD46D5
S315080070FC80BC704780B483B000AF78600B467B8049
S3150800710C7A887B685A6100BF0C37BD4680BC7047CD
S3150800711C80B487B000AF78600B46FB7000233B61E8
S3150800712C0023FB6000237B610023BB607B68002B7C
S3150800713C03DA2E4BDB697B6102E02C4B5B687B61C7
S3150800714C7B681B0C03F00F03BB607B689BB23B612F
S3150800715C7B6803F44013B3F5401F0AD17B6923F00F
S3150800716C70637B61214A214B5B6823F07063536023
S3150800717C21E07B6803F48013002B0ED00322BB6836
S3150800718C02FA03F3FB60FB68DB437A6913407B6105
S3150800719C7B6943F070637B610DE07B685B0D1B01BB
S315080071AC3A6902FA03F3DB437A6913407B617B691C
S315080071BC43F070637B61FB78002B08D07B685B0D12
S315080071CC1B013A6902FA03F37A6913437B617B68FC
S315080071DC002B03DA054A7B69D36102E0034A7B6913
S315080071EC536000BF1C37BD4680BC70470000014089
S315080071FC80B487B000AF786000237B6100233B61C5
S3150800720C0023FB600023BB604C4B5B6803F00C034C
S3150800721C7B617B69042B07D0082B09D0002B33D153
S3150800722C7B68474A1A6033E07B68454A1A602FE048
S3150800723C424B5B6803F470133B61404B5B6803F489
S3150800724C8033FB603B699B0C02333B61FB68002B6C
S3150800725C06D13B693B4A02FB03F27B681A6017E0CE
S3150800726C364B5B6803F40033002B06D03B69354A72
S3150800727C02FB03F27B681A600AE03B69304A02FBA0
S3150800728C03F27B681A6003E07B682D4A1A6000BF1C
S3150800729C2A4B5B6803F0F0037B617B691B097B61F6
S315080072AC294A7B6913441B78DBB2BB607B681A6876
S315080072BCBB68DA407B685A60204B5B6803F4E06372
S315080072CC7B617B691B0A7B611F4A7B6913441B78AC
S315080072DCDBB2BB607B685A68BB68DA407B689A602D
S315080072EC164B5B6803F460537B617B69DB0A7B6135
S315080072FC154A7B6913441B78DBB2BB607B685A68FA
S3150800730CBB68DA407B68DA600C4B5B6803F4404375
S3150800731C7B617B699B0B7B610C4A7B6913441B78ED
S3150800732CDBB2BB607B68DA68BB68B2FBF3F27B68DE
S3150800733C1A6100BF1C37BD4680BC7047001002405E
S3150800734C00127A0000093D00000000201000002001
S3150800735C80B483B000AF78600B46FB70FB78002BCB
S3150800736C06D00949084B9A697B6813438B6106E07A
S3150800737C0549054B9A697B68DB4313408B6100BF53
S3150800738C0C37BD4680BC70470010024080B483B0F1
S3150800739C00AF78600B46FB70FB78002B06D00949CA
S315080073AC084BDA697B681343CB6106E00549054B44
S315080073BCDA697B68DB431340CB6100BF0C37BD46EB
S315080073CC80BC70470010024080B483B000AF786070
S315080073DC0B46FB70FB78002B06D00949084B1A693B
S315080073EC7B6813430B6106E00549054B1A697B68F4
S315080073FCDB4313400B6100BF0C37BD4680BC70479E
S3150800740C0010024080B58CB000AF7860396000235C
S3150800741CFB620023BB6200237B6200233B620023D2
S3150800742CFB617B68FB617B681B8A9BB2FB62FA6A11
S3150800743C4CF6FF731340FB623B68DB881A46FB6A03
S3150800744C1343FB62FB6A9AB27B681A827B689B8938
S3150800745C9BB2FB62FA6A4EF6F3131340FB623B6867
S3150800746C9A883B681B8913439AB23B685B8913431A
S3150800747C9BB21A46FB6A1343FB62FB6A9AB27B6899
S3150800748C9A817B689B8A9BB2FB62FA6A4FF6FF432A
S3150800749C1340FB623B689B891A46FB6A1343FB62E3
S315080074ACFB6A9AB27B689A8207F108031846304B36
S315080074BC9847FB692F4A934202D17B69BB6201E06C
S315080074CC3B69BB627B689B899BB21BB2002B0CDAAF
S315080074DCBA6A13469B0013449A001A443B681B6805
S315080074EC5B00B2FBF3F37B620BE0BA6A13469B00B4
S315080074FC13449A001A443B681B689B00B2FBF3F3CF
S3150800750C7B627B6A1C4AA2FB03235B091B01FB6299
S3150800751CFB6A1B09642202FB03F37A6AD31A3B62E1
S3150800752C7B689B899BB21BB2002B0CDA3B6ADB008F
S3150800753C3233114AA2FB03235B0903F00703FA6AE9
S3150800754C1343FB620BE03B6A1B0132330A4AA2FB6C
S3150800755C03235B0903F00F03FA6A1343FB62FB6A06
S3150800756C9AB27B681A8100BF3037BD4680BD00BF12
S3150800757CFD710008003801401F85EB5180B483B0BB
S3150800758C00AF78600B46FB70FB78002B08D07B6845
S3150800759C9B899BB243F400539AB27B689A8107E0A5
S315080075AC7B689B899BB223F400539AB27B689A81B9
S315080075BC00BF0C37BD4680BC704700BF80B483B093
S315080075CC00AF78607B689B889BB2C3F308039BB2B9
S315080075DC18460C37BD4680BC704700BF80B485B0D2
S315080075EC00AF78600B467B800023FB737B681B8897
S315080075FC9AB27B8813409BB2002B02D00123FB73F3
S3150800760C01E00023FB73FB7B18461437BD4680BC90
S3090800761C704700BFE6
S3150800762005020602060307030803090309040A04F8
S315080076300B040C040C050D050E050F050F061006A8
S309080076401007100809
S3150800764400000000010203040102030406070809F6
S309080076540204060810
S705080061FB96

View File

@ -395,13 +395,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -411,7 +424,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -395,13 +395,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -411,7 +424,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -1,19 +1,19 @@
S02000006F70656E626C745F6F6C696D65785F73746D3332703130332E7372656307
S315080000000808002035520008C1520008C5520008E9
S31508000010C9520008CD520008D1520008000000005D
S31508000020000000000000000000000000D552000893
S31508000030D952000800000000DD520008E15200080D
S31508000040E5520008E9520008ED520008F15200088E
S31508000050F5520008F9520008FD520008015300083D
S3150800006005530008095300080D53000811530008EA
S3150800007015530008195300081D530008215300089A
S3150800008025530008295300082D530008315300084A
S3150800009035530008395300083D53000841530008FA
S315080000A045530008495300084D53000851530008AA
S315080000B055530008595300085D530008615300085A
S315080000C065530008695300086D530008715300080A
S315080000D075530008795300087D53000881530008BA
S315080000E085530008895300088D5300084178007825
S315080000000808002065520008F1520008F552000859
S31508000010F9520008FD5200080153000800000000CC
S315080000200000000000000000000000000553000862
S3150800003009530008000000000D530008115300087A
S3150800004015530008195300081D53000821530008CA
S3150800005025530008295300082D530008315300087A
S3150800006035530008395300083D530008415300082A
S3150800007045530008495300084D53000851530008DA
S3150800008055530008595300085D530008615300088A
S3150800009065530008695300086D530008715300083A
S315080000A075530008795300087D53000881530008EA
S315080000B085530008895300088D530008915300089A
S315080000C095530008995300089D530008A15300084A
S315080000D0A5530008A9530008AD530008B1530008FA
S315080000E0B5530008B9530008BD5300084178007895
S315080000F050EA0121080080B27047C178827852EA36
S315080001000121427852EA0121007850EA01210800CB
S3150800011070470170401C89B2090A0170401C70477B
@ -348,7 +348,7 @@ S31508001590FEF7B3FDDFF8841988420BD14FF40670C5
S315080015A02044FEF7AAFD20614FF407702044FEF799
S315080015B0A4FDE0602670DFF868090088401CDFF8A3
S315080015C060190880DFF858090088E080002005B017
S315080015D0F0BD00004C52000838B505000C00002D7F
S315080015D0F0BD00007C52000838B505000C00002D4F
S315080015E011D0286800280ED02868007800280AD06C
S315080015F02868C088A988884205D12868407800F0FC
S3150800160091FFC00703D500202060092002E0286862
@ -450,7 +450,7 @@ S31508001BF031002068FEF71CFEE16816F11C00FEF7AE
S31508001C008FFA290016F11600FEF78AFA002116F156
S31508001C101200FEF77EFA01200099C8700098FEF7B8
S31508001C20FCFA217C11F0DF012174C0B276BD0000F8
S31508001C307C52000810B582B004002000FFF7A9FF07
S31508001C30AC52000810B582B004002000FFF7A9FFD7
S31508001C40C0B2002808D169462000FFF7C5FCC0B21B
S31508001C50002801D100212160C0B216BD2DE9F0414E
S31508001C6082B004000D0069462000FFF7B5FC0600A7
@ -477,7 +477,7 @@ S31508001DA001201EE0207C10F0BF00207401233A00B9
S31508001DB014F128010098407800F0BDFB002803D0F4
S31508001DC00120607401200CE0E761E06861698842DF
S31508001DD005D26069E060207C50F020002074300055
S31508001DE0C0B2BDE8F6810000F44D0008A052000814
S31508001DE0C0B2BDE8F6810000244E0008D0520008B3
S31508001DF031B58EB00C00002203A90EA8FFF77BFAB6
S31508001E000500EDB2002D18D168460B90DFF81401D5
S31508001E100D900E9903A8FFF7D1F90500EDB2002D34
@ -668,7 +668,7 @@ S3150800299018D015D318E080B29BB2C01A32F81000CE
S315080029A012E0C11A11F00101401A0DE010380BE0CF
S315080029B0203809E0303807E01A3805E0083003E027
S315080029C0503801E0B0F5E35080B230BC70470000E3
S315080029D09C4B0008504800089C4C000870B4002224
S315080029D0CC4B000880480008CC4C000870B4002294
S315080029E000250023002600240025CA7812F00F02CD
S315080029F0CB78DB0601D58B781A430B781B0621D0DA
S31508002A000468002306E0CD78482D02D101259D40B3
@ -819,13 +819,13 @@ S315080033002168C0B240182060BF1CB6F10508002D20
S315080033100DD0002406E0380000F07EF8A4B2285547
S31508003320BF1C641CA4B20FFA88F84445F3DBFFE718
S31508003330404600B2BDE8F081471700200808002083
S31508003340884F0008B450000840500008380A00208A
S31508003350A8520008B0520008D8500008B84F000814
S3150800336028170020941400201416002088520008FC
S31508003370E816002088510008EA160020EC160020FE
S31508003380EE160020FC500008941500202051000875
S315080033905C52000804520008A45100081C52000898
S315080033A0E84F000880B5010011F00F003030C9B2AF
S31508003340B84F0008E450000870500008380A0020FA
S31508003350D8520008E052000808510008E84F000853
S31508003360281700209414002014160020B8520008CC
S31508003370E8160020B8510008EA160020EC160020CE
S31508003380EE1600202C510008941500205051000814
S315080033908C52000834520008D45100084C520008D8
S315080033A01850000880B5010011F00F003030C9B27E
S315080033B00A2901DBC01D02E0C0B200F096F9C0B2CE
S315080033C002BD38B504000D002000C0B20009FFF7A1
S315080033D0E9FF287014F00F00FFF7E4FF687000207B
@ -838,43 +838,43 @@ S3150800343010EB0515761CF6B2022E0FDAF6B2A05D71
S3150800344000F053F9C0B2B0F13001172904D2C0B266
S31508003450B0F13A010729E4D2002001E02800C0B201
S3150800346070BD80B500F04DF9FCE7000080B500F0AE
S3150800347049F900F0D8FB01203149087000F0F8FC42
S3150800348000202F49087001BD80B52E4800F08DFC3C
S3150800347049F900F0D8FB01203149087000F010FD29
S3150800348000202F49087001BD80B52E4800F09CFC2D
S31508003490012805D101202A4908702A4800F05CF95C
S315080034A0284800F02EFD012805D10020244908707F
S315080034A0284800F046FD012805D100202449087067
S315080034B0244800F051F901BD704738B504000D00E5
S315080034C01F480078012804D12900C9B2200000F05D
S315080034D02BFC1B480078002804D12900C9B220001B
S315080034E000F0E2FC00F032F931BD154800780028FA
S315080034E000F0FAFC00F032F931BD154800780028E2
S315080034F005D0022807D004D3032806D007E04020C9
S3150800350006E0082004E0002002E0002000E0402059
S3150800351080B270470A480078002805D0022807D0EC
S3150800352004D3032806D007E0402006E0082004E07C
S31508003530002002E0002000E0402080B27047000032
S3150800354000000020A816002080B500F0F6F802BD9D
S3150800355000B5C7B068464FF48C7100F051FD694656
S3150800355000B5C7B068464FF48C7100F069FD69463E
S315080035603648FEF745FC002808D10098002805D003
S315080035709DF80800C00601D4012000E0002047B0ED
S3150800358000BD2E48704780B500202D4981F82802D5
S315080035900A222C492A48FEF76EF8002803D1012092
S315080035A0274981F8280201BD10B5254890F8280258
S315080035B0012802D12248FEF73DFBFFF701FB10F177
S315080035C064044021204800F023FD002803D1FFF7BA
S315080035C064044021204800F03BFD002803D1FFF7A2
S315080035D0F7FA8442F5D21948FEF738FC10BD80B5D3
S315080035E0174890F82802012802D11548FEF722FB51
S315080035F001BD10B50400124890F82802012819D117
S315080036000F492000FEF7E0FC002813D500200C49DE
S3150800361081F828020A48FEF70DFB0BE0217889B2EB
S31508003620094800F0F1FC8021074800F0F1FC002869
S31508003630F9D0641C20780028F0D110BD6851000824
S31508003640600C00206C5200080044004080B500F071
S31508003650EDFC01BD80B500F0F4FC02BD80B500F0BC
S315080036601AFD02BD80B500F074FD02BD80B500F0FC
S31508003670C5FD02BD80B500F028FD002801D1002057
S3150800368001E000F09CFD02BD80B500F06FFF01BDB2
S31508003620094800F009FD8021074800F009FD002837
S31508003630F9D0641C20780028F0D110BD98510008F4
S31508003640600C00209C5200080044004080B500F041
S3150800365005FD01BD80B500F00CFD02BD80B500F08A
S3150800366032FD02BD80B500F08CFD02BD80B500F0CC
S31508003670DDFD02BD80B500F040FD002801D1002027
S3150800368001E000F0B4FD02BD80B500F087FF01BD82
S3150800369010B5FFF7E7FF002810D0FFF70DFFFFF77B
S315080036A081FAFFF7E3FF0649084006490860FFF775
S315080036B0DDFF001D046800F05BFFA04710BD000099
S315080036B0DDFF001D046800F073FFA04710BD000081
S315080036C080FFFF1F08ED00E070B504000D0016002E
S315080036D005E0287820706D1C641C00F012F8300094
S315080036E0461E80B20028F4D170BDA0F161011A29E6
@ -951,381 +951,381 @@ S31508003B40806CFFF78BFD002803D13120FFF791FE2B
S31508003B5006E0FF200849C87001200749A1F844007B
S31508003B6001BD80B5FFF794FDFF200349C870012009
S31508003B700149A1F8440001BD181600201B160020B3
S31508003B80B85200081C1600201F16002080B53120E8
S31508003B80E85200081C1600201F16002080B53120B8
S31508003B90FFF76FFE01BD0000F0B4002400E0641CCE
S31508003BA0E4B2122C3CD248F6A04580B2994EE4B253
S31508003BB016F81460974FE4B207EB44077F78BE19EE
S31508003BA0E4B2122C3CD248F6A04580B2A64EE4B246
S31508003BB016F81460A44FE4B207EB44077F78BE19E1
S31508003BC0761C06FB00F695FBF6F706FB1755002D47
S31508003BD0E5D148F6A04580B28E4EE4B216F81460D8
S31508003BE08C4FE4B207EB44077F78BE19761C06FBB8
S31508003BD0E5D148F6A04580B29B4EE4B216F81460CB
S31508003BE0994FE4B207EB44077F78BE19761C06FBAB
S31508003BF000F695FBF6F50D800D88002DCFD00D88C3
S31508003C00B5F5806FCBDC8348E4B210F81400107069
S31508003C108048E4B200EB440040781870012000E0C8
S31508003C00B5F5806FCBDC9048E4B210F8140010705C
S31508003C108D48E4B200EB440040781870012000E0BB
S31508003C200020F0BC704780B56B460DF101020DF11E
S31508003C3002014FF4FA70FFF7AFFFC0B2012803D0B4
S31508003C40E2217548FFF70DFC0020744908607448A6
S31508003C50006850F400407249086001E0FFF751FD22
S31508003C606F4800680004F9D46D48006830F0020017
S31508003C706B4908606A48006850F0010068490860A6
S31508003C8001E0FFF73EFD67480068C007F9D59DF8D3
S31508003C40E4218248FFF70DFC00208149086081487D
S31508003C50006850F400407F49086001E0FFF751FD15
S31508003C607C4800680004F9D47A48006830F00200FD
S31508003C70784908607748006850F00100754908607F
S31508003C8001E0FFF73EFD74480068C007F9D59DF8C6
S31508003C900100401E9DF80010491E090551EA004022
S31508003CA0BDF80210491E08435F4908605C48006871
S31508003CB0400840005A49086001E0FFF722FD5948CC
S31508003CC00068C007F9D45948006850F00100574900
S31508003CD0086057480068400840005549086055483C
S31508003CE0006850F0010053490860002052490860F6
S31508003CF00020524908605248006840084000504970
S31508003D00086050480068400840004E490860484826
S31508003D10006850F0010046490860444800684008B9
S31508003D2040004249086001BD38B504000D00464808
S31508003D300068400104D440F221113748FFF791FB8F
S31508003D404248006810F00100404908603F48006892
S31508003D503F4908433D490860EDB23E480560E078B2
S31508003D60A178090451EA0060617850EA01202178B7
S31508003D70084339490860E079A179090451EA0060E5
S31508003D80617950EA012021790843344908602F48AF
S31508003D90006850F001002D49086001E0FFF7B1FC0A
S31508003DA0294800684001F9D531BD00212C4A12681E
S31508003DB012F0030F2BD02B4A1268520D40F267639C
S31508003DC09A421ED10121284A12680270264A1268B0
S31508003DD0120A4270244A1268120C8270224A126829
S31508003DE0120EC270214A12680271204A1268120A1B
S31508003DF042711E4A1268120C82711C4A1268120E0F
S31508003E00C2711748006850F02000154A1060080073
S31508003E10C0B27047905000084C4F00081464004028
S31508003E2000640040046400401C64004000660040D2
S31508003E301C6600400C660040406600404466004030
S31508003E40046600401466004008640040806500402F
S31508003E50000020FC84650040886500408C650040B1
S31508003E600C640040B0650040B8650040BC65004081
S31508003E7000205649088000205549088000205549E9
S31508003E80088000205449088000205449088040F2E0
S31508003E9071204E4908804E48008840F4005050F082
S31508003EA00C004B490880704770B504000D00EDB250
S31508003EB0412D03DB8D214A48FFF7D3FA2800C0B20B
S31508003EC000F070F8C0B2012803D091214448FFF7EA
S31508003ED0C8FA00260DE0FFF714FCB6B2A05D00F0A4
S31508003EE061F8C0B2012803D09A213D48FFF7B9FA14
S31508003EF0761C30002900C9B280B289B28842EAD35A
S31508003F0070BD10B5040037480078002813D136482C
S31508003F1000F03DF8012838D133480078002834D01D
S31508003F20FEF74EFE3149086000203149087001202D
S31508003F302C49087029E02C482D4909780844401C6A
S31508003F4000F025F8012816D129480078401C284990
S31508003F5008702748007824490978884215D12448EA
S31508003F60027892B223492000FFF7AEFB00201D49D4
S31508003F70087001200AE0FEF723FE1C49096864312F
S31508003F80814202D2002017490870002010BD1A4944
S31508003F900988890604D5194909880170012000E0B5
S31508003FA00020704780B514490988090601D4002005
S31508003FB00AE0C0B21149088001E0FFF7A2FB0E48EB
S31508003FC000880006F9D5012002BD0000084400401B
S31508003FD00C4400401044004014440040184400407B
S31508003FE0CC4E000849170020641600204017002010
S31508003FF0481700206516002000440040044400408D
S31508004000002200F0B7BA0000C905C90D81807047C3
S31508004010002289B2B1F5007F0088084201D001224A
S3150800402000E000221000C0B2704700005FF0FF30C9
S31508004030DFF8141508605FF0FF30DFF81015086028
S31508004040704770B504000D001600200000F010FA45
S31508004050FF2805D02819401E00F00AFAFF2801D1CA
S31508004060002017E0600A4FF400714843DFF8E014B7
S315080040700968884207D12B0032002100DFF8CC04FA
S3150800408000F0F2F806E02B0032002100DFF8B80451
S3150800409000F0EAF870BD70B504000D00200000F0CD
S315080040A0E7F906002819401E00F0E2F9F6B2FF2EDD
S315080040B002D0C0B2FF2801D1002005E00100C9B234
S315080040C03000C0B200F05FF970BD80B500200090E6
S315080040D0DFF87804006810F1010F01D1012037E0FC
S315080040E00098DFF868144968081800900098DFF807
S315080040F05C148968081800900098DFF85014C9689D
S31508004100081800900098DFF8441409690818009008
S315080041100098DFF838144969081800900098DFF805
S315080041202C148969081800900098DFF82014C969CA
S31508004130081800900098C04300900098401C009012
S315080041406A460421DFF808040068EC30FFF779FFB7
S3150800415002BD0020DFF8F813096809680818DFF8B7
S31508004160F0130968091D09680818DFF8E4130968D7
S31508004170083109680818DFF8D81309680C31096886
S315080041800818DFF8CC130968103109680818DFF831
S31508004190C0130968143109680818DFF8B4130968E8
S315080041A0183109680818DFF8A8130968EC31096896
S315080041B00818002801D1012000E00020704780B5CA
S315080041C0DFF88803006810F1010F07D0DFF87C03D9
S315080041D000F08DF8002801D100200EE0DFF8680312
S315080041E0006810F1010F07D0DFF85C0300F07FF8D4
S315080041F0002801D1002000E0012002BDDFF85003AD
S315080042000068704780B5CA0501D000200BE0026837
S315080042108A4201D1012006E001604FF40072001DB8
S31508004220FFF752FA012002BD38B504000D00C74851
S31508004230844208D1C44C29002000FFF7E3FF002878
S3150800424010D100200FE0C2480068854203D1BF4C58
S31508004250BF480568EFE7200000F049F80028EAD1D2
S31508004260002000E0200032BD2DE9F04104000F00D7
S3150800427015001E00780A4FF4007101FB00F820684B
S3150800428010F1010F07D141462000FFF7BBFF0028B8
S3150800429001D1002029E02068404508D04146200089
S315080042A0FFF7C2FF0400002C01D100201DE02068A2
S315080042B0381A2044071DFFF724FA201D381AB0F5CE
S315080042C0007F0AD318F500712000FFF7ADFF040040
S315080042D0002C01D1002008E0271D287838707F1CA3
S315080042E06D1C761E002EE6D10120BDE8F0812DE971
S315080042F0F04104000125206800F0BAF8C0B2FF2892
S3150800430001D100203DE000F0A2F892480068C007FD
S3150800431003D500F0A6F8002033E08F48006850F077
S3150800432001008D490860002600E0761C802E1ED20A
S31508004330206810EB860704EB8600D0F80480A7F8FF
S31508004340008001E0FFF7DDF982480068C007F9D46C
S315080043505FEA1840B91C088001E0FFF7D2F97D48EA
S315080043600068C007F9D438684045DED000257A4889
S315080043700068400840007849086000F072F8280094
S31508004380C0B2BDE8F08170B505000C002000290018
S31508004390C0B2C9B2884201D2002057E028006C4951
S315080043A0097AC0B2884206D3694890F89800210075
S315080043B0C9B2884201D2002048E000F048F86548B2
S315080043C00068C00703D500F04CF800203EE06248BC
S315080043D0006850F00200604908602800C0B200F08A
S315080043E073F805002000C0B200F06EF80600200041
S315080043F0C0B200F088F88019401E401B401C840A91
S31508004400002606E0FFF77DF952480068C007F9D490
S31508004410761C3000210080B289B288420DD2B6B22D
S315080044204FF4806000FB06504C4908604A48006813
S3150800443050F0400048490860E6E74748006830F011
S3150800444002004549086000F00CF8012070BD444898
S315080044504449086044484349086034203D49086097
S3150800446070473D48006850F080003B490860704737
S3150800447038B50400002500E06D1CEDB20D2D21D2E3
S31508004480FFF73FF93248EDB20C2101FB05F1405820
S315080044908442F1D32E48EDB20C2101FB05F14058B8
S315080044A02B49EDB20C2202FB05F2114449680818A3
S315080044B08442E1D22648EDB20C2101FB05F10844FD
S315080044C0007A00E0FF2032BD38B50500002400E080
S315080044D0641CE4B20D2C13D2FFF713F91C48E4B29E
S315080044E00C2101FB04F10844007A2900C9B288426C
S315080044F0EED11748E4B20C2101FB04F1405801E063
S315080045005FF0FF3032BD38B50500002400E0641CBA
S31508004510E4B20D2C14D2FFF7F4F80D48E4B20C21DE
S3150800452001FB04F10844007A2900C9B28842EED199
S315080045300748E4B20C2101FB04F10844406800E096
S31508004540002032BD000000008C0E002090100020D4
S31508004550584D00080C20024010200240142002404A
S315080045602301674504200240AB89EFCD72B6704738
S3150800457062B6704700B500BF1300964694461039D8
S3150800458028BFA0E80C50FAD85FEA417C28BF0CC0C7
S3150800459048BF40F8042BC90728BF20F8022B48BF9C
S315080045A000F8012B00BD000080B500F005F800F00A
S315080045B005F900F013F9FCE700B583B00020009078
S315080045C0002001907148006850F001006F490860AA
S315080045D06F4800686F4908406D4908606B48006875
S315080045E06D490840694908606848006830F48020C9
S315080045F0664908606648006830F4FE006449086049
S315080046005FF41F00654908606048006850F4803010
S315080046105E4908605D48006810F400300190009813
S31508004620401C00900198002804D1009840F2DC5103
S315080046308842EFD155480068800303D46B21584857
S31508004640FEF70FFF5748006850F0100055490860FC
S31508004650544800688008800052490860514800683C
S3150800466050F002004F490860494800684849086008
S315080046704748006850F400504549086044480068B7
S3150800468050F48060424908604148006830F47C1064
S315080046903F4908600920801E80043D49096850F496
S315080046A0803008433A4908603848006850F08070FE
S315080046B036490860354800688001FBD534480068EB
S315080046C080088000324908603148006850F00200CE
S315080046D02F4908602E48006810F00C000828F9D108
S315080046E03148006850F009002F4908602F480068D3
S315080046F0000900012D4908602C48006850F00800A0
S315080047002A4908602948006830F0F00027490860FF
S315080047102648006850F0B00024490860244800681C
S3150800472030F4C040224908602148006850F48040AF
S315080047301F4908601F48006850F000701D4908604E
S315080047401C48006850F400301A490860164800688A
S3150800475050F00500144908601748006830F4706086
S31508004760154908601448006850F43060124908601A
S315080047701148006830F470400F4908600E48006818
S3150800478050F480400C49086007BD00000010024044
S31508004790041002400000FFF8FFFFF6FE0810024072
S315080047A0744E00080020024018100240040C014014
S315080047B0040001401C1002400008014080B5FEF7C5
S315080047C063FFFEF79DFFFEF7DDF9FEF73FFFFEF7F5
S315080047D007FAFEF74BFE00F00DF801BD80B5FEF7AF
S315080047E090FFFEF7E3F9FEF735FAFEF74DFE00F007
S315080047F00CF801BD80B5012013490870FEF7E0F9F1
S315080048001249086000F001F801BD80B5FEF79CFE6C
S31508004810012818D0FEF7F8F9002814D00A480078BD
S31508004820012810D1FEF7CCF90849096811F5FA7183
S31508004830884208D3002004490870FEF7EEF90028DC
S3150800484001D1FEF725FF01BD4617002030170020CD
S3150800485061001A03E0001703F8000703FF000100D0
S3150800486078010001300132010601390110014A01BF
S315080048702E017901060180014D0043028101820162
S3150800488082018401840186018701870189018A01E1
S315080048908B018B018D018E018F0190019101910190
S315080048A093019401F60196019701980198013D023A
S315080048B09B019C019D0120029F01A001A001A2016C
S315080048C0A201A401A401A601A701A701A901AA01A1
S315080048D0AB01AC01AC01AE01AF01AF01B101B20150
S315080048E0B301B301B501B501B701B801B801BA0101
S315080048F0BB01BC01BC01BE01F701C001C101C20177
S31508004900C301C401C501C401C701C801C701CA0161
S31508004910CB01CA01CD011001DD0101008E01DE01C6
S315080049201201F3010300F101F401F401F801280171
S31508004930220212013A020900652C3B023B023D02A3
S31508004940662C3F0240024102410246020A01530216
S31508004950400081018601550289018A0158028F01AA
S315080049605A0290015C025D025E025F0293016102D7
S315080049706202940164026502660267029701960163
S315080049806A02622C6C026D026E029C017002710250
S315080049909D01730274029F017602770278027902FA
S315080049A07A027B027C02642C7E027F02A6018102C7
S315080049B08202A9018402850286028702AE014402A8
S315080049C0B101B20145028D028E028F029002910258
S315080049D0B7017B030300FD03FE03FF03AC030400DA
S315080049E08603880389038A03B1031103C2030200FD
S315080049F0A303A303C4030803CC0303008C038E0399
S31508004A008F03D8031801F2030A00F903F303F4032A
S31508004A10F503F603F703F703F903FA03FA03300479
S31508004A20200350041007600422018A043601C104D9
S31508004A300E01CF040100C004D00444016105260418
S31508004A400000000080B54E48006850F001004C494F
S31508004A5008604C4800684C4908404A49086048487C
S31508004A6000684A490840464908604548006830F4E5
S31508004A708020434908604348006830F4FE004149F5
S31508004A8008605FF41F004249086000F005F85FF00F
S31508004A9000604049086001BD80B500F001F801BD1D
S31508004AA082B000200190002000903548006850F43C
S31508004AB08030334908603248006810F400300090AE
S31508004AC00198401C01900098002803D10198B0F580
S31508004AD0A06FF0D12A480068800302D50120009013
S31508004AE001E0002000900098012847D12A48006874
S31508004AF050F01000284908602748006880088000A0
S31508004B00254908602448006850F0020022490860D8
S31508004B101C4800681B4908601A480068194908605B
S31508004B201848006850F480601649086015480068FF
S31508004B3030F47C10134908601248006850F4E810F5
S31508004B40104908600E48006850F080700C490860EB
S31508004B500B4800688001FBD50A4800688008800079
S31508004B60084908600748006850F0020005490860CF
S31508004B700448006810F00C000828F9D102B0704704
S31508004B8000100240041002400000FFF8FFFFF6FE86
S31508004B900810024008ED00E000200240C700FC00B3
S31508004BA0E900E200E400E000E500E700EA00EB00C7
S31508004BB0E800EF00EE00EC00C400C500C900E600FE
S31508004BC0C600F400F600F200FB00F900FF00D6006C
S31508004BD0DC00A200A300A500A7209201E100ED00D9
S31508004BE0F300FA00F100D100AA00BA00BF001023B2
S31508004BF0AC00BD00BC00A100AB00BB00912592250E
S31508004C0093250225242561256225562555256325E4
S31508004C10512557255D255C255B251025142534254A
S31508004C202C251C2500253C255E255F255A2554255F
S31508004C3069256625602550256C2567256825642520
S31508004C40652559255825522553256B256A25182586
S31508004C500C25882584258C2590258025B103DF0021
S31508004C609303C003A303C303B500C403A6039803B1
S31508004C70A903B4031E22C603B50329226122B10083
S31508004C806522642220232123F7004822B000192236
S31508004C90B7001A227F20B200A025A0007D1D0100C2
S31508004CA0632C001E9601A01E5A01001F0806101F3D
S31508004CB00606201F0806301F0806401F0606511F55
S31508004CC00700591F521F5B1F541F5D1F561F5F1F8A
S31508004CD0601F0806701F0E00BA1FBB1FC81FC91F1A
S31508004CE0CA1FCB1FDA1FDB1FF81FF91FEA1FEB1FAE
S31508004CF0FA1FFB1F801F0806901F0806A01F08063C
S31508004D00B01F0400B81FB91FB21FBC1FCC1F01007B
S31508004D10C31FD01F0206E01F0206E51F0100EC1F95
S31508004D20F21F0100FC1F4E210100322170211002E2
S31508004D30842101008321D0241A05302C2F04602CED
S31508004D400201672C0601752C0201802C6401002DD6
S31508004D50260841FF1A030000006000080020000032
S31508004D600300000000800008002000000400000086
S31508004D7000A00008002000000500000000C0000890
S31508004D80002000000600000000E0000800200000E7
S31508004D9007000000000001080020000008000000CD
S31508004DA0002001080020000009000000004001085A
S31508004DB0002000000A000000006001080020000032
S31508004DC00B00000000800108002000000C00000015
S31508004DD000A00108002000000D00000000C0010826
S31508004DE0002000000E00000000E00108002000007E
S31508004DF00F000000809A45418E418F804545454900
S31508004E0049498E8F9092924F994F555559999A9B29
S31508004E109C9D9E9F41494F55A5A5A6A7A8A9AAABA3
S31508004E20ACADAEAFB0B1B2B3B4B5B6B7B8B9BABB3C
S31508004E30BCBDBEBFC0C1C2C3C4C5C6C7C8C9CACB2C
S31508004E40CCCDCECFD0D1D2D3D4D5D6D7D8D9DADB1C
S31508004E50DCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEB0C
S31508004E60ECEDEEEFF0F1F2F3F4F5F6F7F8F9FAFBFC
S31508004E70FCFDFEFF433A5C576F726B5C736F66749A
S31508004E80776172655C4F70656E424C545C54617212
S31508004E906765745C44656D6F5C41524D434D335F85
S31508004EA053544D333246315F4F6C696D65785F53A5
S31508004EB0544D3332503130335F4941525C426F6F43
S31508004EC0745C6D61696E2E6300000000433A5C579E
S31508004ED06F726B5C736F6674776172655C4F706531
S31508004EE06E424C545C5461726765745C536F75729C
S31508004EF063655C41524D434D335F53544D333246DF
S31508004F00315C756172742E630000000019E050F878
S31508004F10041BCB0744BFA9F101035918121F00232C
S31508004F20042A41F8043BF9D25FEA827C0B4604D591
S31508004F304FF0000CA1F800C09B1CD10744BF00210C
S31508004F40197050F8042B002AE1D17047433A5C5790
S31508004F506F726B5C736F6674776172655C4F7065B0
S31508004F606E424C545C5461726765745C536F75721B
S31508004F7063655C41524D434D335F53544D3332465E
S31508004F80315C63616E2E6300433A5C576F726B5CEB
S31508004F90736F6674776172655C4F70656E424C54C8
S31508004FA05C5461726765745C536F757263655C66A1
S31508004FB0696C652E6300000050617273696E672024
S31508004FC06669726D776172652066696C6520746FB3
S31508004FD02064657465637420657261736520626C0C
S31508004FE06F636B732E2E2E004669726D776172653C
S31508004FF02075706461746520737563636573736681
S31508005000756C6C7920636F6D706C657465640A0DD8
S315080050100000000010B450F8041B79B10268436818
S3150800502002440830DC0744BFA9F10104E31852F82A
S31508005030044B43F8044B091FF9D1ECE710BC704741
S315080050404F70656E696E67206669726D7761726505
S315080050502066696C6520666F722072656164696E88
S31508005060672E2E2E0000000010B507497944183126
S31508005070064C7C44163404E00A68081D1144884727
S315080050800146A142F8D110BDBC000000DC000000BA
S3150800509005020602060307030803090309040A04AE
S315080050A00B040C040C050D050E050F050F0610065E
S315080050B0100710084669726D77617265207570640D
S315080050C061746520726571756573742064657465AD
S315080050D0637465640A0D00005374617274696E67BF
S315080050E0207468652070726F6772616D6D696E678E
S315080050F02073657175656E63650A0D005265616496
S31508005100696E67206C696E652066726F6D206669C8
S315080051106C652E2E2E4552524F520A0D0000000085
S31508005120496E76616C696420636865636B73756D37
S3150800513020666F756E642E2E2E4552524F520A0DFA
S3150800514000000000C9FDFFFF440F0000080800200A
S3150800515000000000C1FEFFFF04000000340200004A
S3150800516000000020000000002F64656D6F70726FEC
S31508005170675F6F6C696D65785F73746D3332703114
S3150800518030332E7372656300206279746573206606
S31508005190726F6D206D656D6F72792061742030783D
S315080051A00000000057726974696E672070726F6735
S315080051B072616D20636865636B73756D2E2E2E00A4
S315080051C000F00BF8002801D0FFF74EFF0020AFF3E0
S315080051D00080FFF7E9F900F002F80120704700F0B7
S315080051E001B800000746384600F002F8FBE7000061
S315080051F080B5AFF30080024A11001820ABBEFBE76A
S315080052002600020020627974657320746F206D652C
S315080052106D6F72792061742030780000436C6F736B
S31508005220696E67206669726D776172652066696C5A
S31508005230650A0D000248854602488047024800472D
S3150800524008080020454A000895520008010305078A
S31508005250090E10121416181C1E00000050726F67F3
S3150800526072616D6D696E6720000000002F626F6FB6
S31508005270746C6F672E74787400000000222A3A3C1A
S315080052803E3F7C7F0000000045726173696E6720AF
S3150800529000000000AFF30080AFF30080FFF790FF37
S315080052A02B2C3B3D5B5D00004552524F520A0D00C8
S315080052B04F4B0A0D000000004F70656E424C5400BB
S315080052C0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF04
S315080052D0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFF4
S315080052E0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFE4
S31508003CA0BDF80210491E08436C4908606948006857
S31508003CB0400840006749086001E0FFF722FD6648B2
S31508003CC00068C007F9D46648006850F001006449E6
S31508003CD00860644800684008400062490860624815
S31508003CE0006850F001006049086000205F490860DC
S31508003CF000205F4908605F480068400840005D4949
S31508003D0008605D480068400840005B4908605548FF
S31508003D10006850F00100534908605148006840089F
S31508003D2040004F49086001BD70B504000D0040F21F
S31508003D30E17652480068400104D440F22511434810
S31508003D40FFF78FFB4E48006810F001004C490860E9
S31508003D50002E06D44A48006850EA4650484908608A
S31508003D6009E026F0004646480068F10051F00401D3
S31508003D70084343490860EDB242480560E078A178F7
S31508003D80090451EA0060617850EA01202178084365
S31508003D903D490860E079A179090451EA0060617932
S31508003DA050EA0120217908433849086034480068F8
S31508003DB050F001003249086001E0FFF7A2FC2F48E5
S31508003DC000684001F9D570BD0021314A126812F029
S31508003DD0030F35D02F4A1268520703D42D4A1268AA
S31508003DE0520D04E02B4A1268D20852F0004240F203
S31508003DF067639A421ED10121274A12680270264A31
S31508003E001268120A4270244A1268120C8270224AF8
S31508003E101268120EC270214A126802711F4A12688D
S31508003E20120A42711D4A1268120C82711B4A1268E4
S31508003E30120EC2711648006850F02000144A10602D
S31508003E400800C0B270470000C05000087C4F000848
S31508003E501464004000640040046400401C64004090
S31508003E60006600401C6600400C6600404066004044
S31508003E70446600400466004014660040086400403A
S31508003E808065004084650040886500408C65004078
S31508003E900C640040B0650040B8650040BC65004051
S31508003EA000205649088000205549088000205549B9
S31508003EB0088000205449088000205449088040F2B0
S31508003EC071204E4908804E48008840F4005050F052
S31508003ED00C004B490880704770B504000D00EDB220
S31508003EE0412D03DB8D214A48FFF7BBFA2800C0B2F3
S31508003EF000F070F8C0B2012803D091214448FFF7BA
S31508003F00B0FA00260DE0FFF7FCFBB6B2A05D00F0A4
S31508003F1061F8C0B2012803D09A213D48FFF7A1FAFB
S31508003F20761C30002900C9B280B289B28842EAD329
S31508003F3070BD10B5040037480078002813D13648FC
S31508003F4000F03DF8012838D133480078002834D0ED
S31508003F50FEF736FE31490860002031490870012015
S31508003F602C49087029E02C482D4909780844401C3A
S31508003F7000F025F8012816D129480078401C284960
S31508003F8008702748007824490978884215D12448BA
S31508003F90027892B223492000FFF796FB00201D49BC
S31508003FA0087001200AE0FEF70BFE1C490968643117
S31508003FB0814202D2002017490870002010BD1A4914
S31508003FC00988890604D5194909880170012000E085
S31508003FD00020704780B514490988090601D40020D5
S31508003FE00AE0C0B21149088001E0FFF78AFB0E48D3
S31508003FF000880006F9D5012002BD000008440040EB
S315080040000C4400401044004014440040184400404A
S31508004010FC4E0008491700206416002040170020AF
S31508004020481700206516002000440040044400405C
S31508004030002200F0B7BA0000C905C90D8180704793
S31508004040002289B2B1F5007F0088084201D001221A
S3150800405000E000221000C0B2704700005FF0FF3099
S31508004060DFF8141508605FF0FF30DFF810150860F8
S31508004070704770B504000D001600200000F010FA15
S31508004080FF2805D02819401E00F00AFAFF2801D19A
S31508004090002017E0600A4FF400714843DFF8E01487
S315080040A00968884207D12B0032002100DFF8CC04CA
S315080040B000F0F2F806E02B0032002100DFF8B80421
S315080040C000F0EAF870BD70B504000D00200000F09D
S315080040D0E7F906002819401E00F0E2F9F6B2FF2EAD
S315080040E002D0C0B2FF2801D1002005E00100C9B204
S315080040F03000C0B200F05FF970BD80B500200090B6
S31508004100DFF87804006810F1010F01D1012037E0CB
S315080041100098DFF868144968081800900098DFF8D6
S315080041205C148968081800900098DFF85014C9686C
S31508004130081800900098DFF84414096908180090D8
S315080041400098DFF838144969081800900098DFF8D5
S315080041502C148969081800900098DFF82014C9699A
S31508004160081800900098C04300900098401C0090E2
S315080041706A460421DFF808040068EC30FFF779FF87
S3150800418002BD0020DFF8F813096809680818DFF887
S31508004190F0130968091D09680818DFF8E4130968A7
S315080041A0083109680818DFF8D81309680C31096856
S315080041B00818DFF8CC130968103109680818DFF801
S315080041C0C0130968143109680818DFF8B4130968B8
S315080041D0183109680818DFF8A8130968EC31096866
S315080041E00818002801D1012000E00020704780B59A
S315080041F0DFF88803006810F1010F07D0DFF87C03A9
S3150800420000F08DF8002801D100200EE0DFF86803E1
S31508004210006810F1010F07D0DFF85C0300F07FF8A3
S31508004220002801D1002000E0012002BDDFF850037C
S315080042300068704780B5CA0501D000200BE0026807
S315080042408A4201D1012006E001604FF40072001D88
S31508004250FFF73AFA012002BD38B504000D00C74839
S31508004260844208D1C44C29002000FFF7E3FF002848
S3150800427010D100200FE0C2480068854203D1BF4C28
S31508004280BF480568EFE7200000F049F80028EAD1A2
S31508004290002000E0200032BD2DE9F04104000F00A7
S315080042A015001E00780A4FF4007101FB00F820681B
S315080042B010F1010F07D141462000FFF7BBFF002888
S315080042C001D1002029E02068404508D04146200059
S315080042D0FFF7C2FF0400002C01D100201DE0206872
S315080042E0381A2044071DFFF70CFA201D381AB0F5B6
S315080042F0007F0AD318F500712000FFF7ADFF040010
S31508004300002C01D1002008E0271D287838707F1C72
S315080043106D1C761E002EE6D10120BDE8F0812DE940
S31508004320F04104000125206800F0BAF8C0B2FF2861
S3150800433001D100203DE000F0A2F892480068C007CD
S3150800434003D500F0A6F8002033E08F48006850F047
S3150800435001008D490860002600E0761C802E1ED2DA
S31508004360206810EB860704EB8600D0F80480A7F8CF
S31508004370008001E0FFF7C5F982480068C007F9D454
S315080043805FEA1840B91C088001E0FFF7BAF97D48D2
S315080043900068C007F9D438684045DED000257A4859
S315080043A00068400840007849086000F072F8280064
S315080043B0C0B2BDE8F08170B505000C0020002900E8
S315080043C0C0B2C9B2884201D2002057E028006C4921
S315080043D0097AC0B2884206D3694890F89800210045
S315080043E0C9B2884201D2002048E000F048F8654882
S315080043F00068C00703D500F04CF800203EE062488C
S31508004400006850F00200604908602800C0B200F059
S3150800441073F805002000C0B200F06EF80600200010
S31508004420C0B200F088F88019401E401B401C840A60
S31508004430002606E0FFF765F952480068C007F9D478
S31508004440761C3000210080B289B288420DD2B6B2FD
S315080044504FF4806000FB06504C4908604A480068E3
S3150800446050F0400048490860E6E74748006830F0E1
S3150800447002004549086000F00CF8012070BD444868
S315080044804449086044484349086034203D49086067
S3150800449070473D48006850F080003B490860704707
S315080044A038B50400002500E06D1CEDB20D2D21D2B3
S315080044B0FFF727F93248EDB20C2101FB05F1405808
S315080044C08442F1D32E48EDB20C2101FB05F1405888
S315080044D02B49EDB20C2202FB05F211444968081873
S315080044E08442E1D22648EDB20C2101FB05F10844CD
S315080044F0007A00E0FF2032BD38B50500002400E050
S31508004500641CE4B20D2C13D2FFF7FBF81C48E4B286
S315080045100C2101FB04F10844007A2900C9B288423B
S31508004520EED11748E4B20C2101FB04F1405801E032
S315080045305FF0FF3032BD38B50500002400E0641C8A
S31508004540E4B20D2C14D2FFF7DCF80D48E4B20C21C6
S3150800455001FB04F10844007A2900C9B28842EED169
S315080045600748E4B20C2101FB04F10844406800E066
S31508004570002032BD000000008C0E002090100020A4
S31508004580884D00080C2002401020024014200240EA
S315080045902301674504200240AB89EFCD72B6704708
S315080045A062B6704700B500BF1300964694461039A8
S315080045B028BFA0E80C50FAD85FEA417C28BF0CC097
S315080045C048BF40F8042BC90728BF20F8022B48BF6C
S315080045D000F8012B00BD000080B500F005F800F0DA
S315080045E005F900F013F9FCE700B583B00020009048
S315080045F0002001907148006850F001006F4908607A
S315080046006F4800686F4908406D4908606B48006844
S315080046106D490840694908606848006830F4802098
S31508004620664908606648006830F4FE006449086018
S315080046305FF41F00654908606048006850F48030E0
S315080046405E4908605D48006810F4003001900098E3
S31508004650401C00900198002804D1009840F2DC51D3
S315080046608842EFD155480068800303D46B21584827
S31508004670FEF7F7FE5748006850F0100055490860E5
S31508004680544800688008800052490860514800680C
S3150800469050F002004F4908604948006848490860D8
S315080046A04748006850F40050454908604448006887
S315080046B050F48060424908604148006830F47C1034
S315080046C03F4908600920801E80043D49096850F466
S315080046D0803008433A4908603848006850F08070CE
S315080046E036490860354800688001FBD534480068BB
S315080046F080088000324908603148006850F002009E
S315080047002F4908602E48006810F00C000828F9D1D7
S315080047103148006850F009002F4908602F480068A2
S31508004720000900012D4908602C48006850F008006F
S315080047302A4908602948006830F0F00027490860CF
S315080047402648006850F0B0002449086024480068EC
S3150800475030F4C040224908602148006850F480407F
S315080047601F4908601F48006850F000701D4908601E
S315080047701C48006850F400301A490860164800685A
S3150800478050F00500144908601748006830F4706056
S31508004790154908601448006850F4306012490860EA
S315080047A01148006830F470400F4908600E480068E8
S315080047B050F480400C49086007BD00000010024014
S315080047C0041002400000FFF8FFFFF6FE0810024042
S315080047D0A44E00080020024018100240040C0140B4
S315080047E0040001401C1002400008014080B5FEF795
S315080047F04BFFFEF785FFFEF7C5F9FEF727FFFEF725
S31508004800EFF9FEF733FE00F00DF801BD80B5FEF7AF
S3150800481078FFFEF7CBF9FEF71DFAFEF735FE00F036
S315080048200CF801BD80B5012013490870FEF7C8F9D8
S315080048301249086000F001F801BD80B5FEF784FE54
S31508004840012818D0FEF7E0F9002814D00A480078A5
S31508004850012810D1FEF7B4F90849096811F5FA716B
S31508004860884208D3002004490870FEF7D6F90028C4
S3150800487001D1FEF70DFF01BD4617002030170020B5
S3150800488061001A03E0001703F8000703FF000100A0
S3150800489078010001300132010601390110014A018F
S315080048A02E017901060180014D0043028101820132
S315080048B082018401840186018701870189018A01B1
S315080048C08B018B018D018E018F0190019101910160
S315080048D093019401F60196019701980198013D020A
S315080048E09B019C019D0120029F01A001A001A2013C
S315080048F0A201A401A401A601A701A701A901AA0171
S31508004900AB01AC01AC01AE01AF01AF01B101B2011F
S31508004910B301B301B501B501B701B801B801BA01D0
S31508004920BB01BC01BC01BE01F701C001C101C20146
S31508004930C301C401C501C401C701C801C701CA0131
S31508004940CB01CA01CD011001DD0101008E01DE0196
S315080049501201F3010300F101F401F401F801280141
S31508004960220212013A020900652C3B023B023D0273
S31508004970662C3F0240024102410246020A015302E6
S31508004980400081018601550289018A0158028F017A
S315080049905A0290015C025D025E025F0293016102A7
S315080049A06202940164026502660267029701960133
S315080049B06A02622C6C026D026E029C017002710220
S315080049C09D01730274029F017602770278027902CA
S315080049D07A027B027C02642C7E027F02A601810297
S315080049E08202A9018402850286028702AE01440278
S315080049F0B101B20145028D028E028F029002910228
S31508004A00B7017B030300FD03FE03FF03AC030400A9
S31508004A108603880389038A03B1031103C2030200CC
S31508004A20A303A303C4030803CC0303008C038E0368
S31508004A308F03D8031801F2030A00F903F303F403FA
S31508004A40F503F603F703F703F903FA03FA03300449
S31508004A50200350041007600422018A043601C104A9
S31508004A600E01CF040100C004D004440161052604E8
S31508004A700000000080B54E48006850F001004C491F
S31508004A8008604C4800684C4908404A49086048484C
S31508004A9000684A490840464908604548006830F4B5
S31508004AA08020434908604348006830F4FE004149C5
S31508004AB008605FF41F004249086000F005F85FF0DF
S31508004AC000604049086001BD80B500F001F801BDED
S31508004AD082B000200190002000903548006850F40C
S31508004AE08030334908603248006810F4003000907E
S31508004AF00198401C01900098002803D10198B0F550
S31508004B00A06FF0D12A480068800302D501200090E2
S31508004B1001E0002000900098012847D12A48006843
S31508004B2050F010002849086027480068800880006F
S31508004B30254908602448006850F0020022490860A8
S31508004B401C4800681B4908601A480068194908602B
S31508004B501848006850F480601649086015480068CF
S31508004B6030F47C10134908601248006850F4E810C5
S31508004B70104908600E48006850F080700C490860BB
S31508004B800B4800688001FBD50A4800688008800049
S31508004B90084908600748006850F00200054908609F
S31508004BA00448006810F00C000828F9D102B07047D4
S31508004BB000100240041002400000FFF8FFFFF6FE56
S31508004BC00810024008ED00E000200240C700FC0083
S31508004BD0E900E200E400E000E500E700EA00EB0097
S31508004BE0E800EF00EE00EC00C400C500C900E600CE
S31508004BF0C600F400F600F200FB00F900FF00D6003C
S31508004C00DC00A200A300A500A7209201E100ED00A8
S31508004C10F300FA00F100D100AA00BA00BF00102381
S31508004C20AC00BD00BC00A100AB00BB0091259225DD
S31508004C3093250225242561256225562555256325B4
S31508004C40512557255D255C255B251025142534251A
S31508004C502C251C2500253C255E255F255A2554252F
S31508004C6069256625602550256C25672568256425F0
S31508004C70652559255825522553256B256A25182556
S31508004C800C25882584258C2590258025B103DF00F1
S31508004C909303C003A303C303B500C403A603980381
S31508004CA0A903B4031E22C603B50329226122B10053
S31508004CB06522642220232123F7004822B000192206
S31508004CC0B7001A227F20B200A025A0007D1D010092
S31508004CD0632C001E9601A01E5A01001F0806101F0D
S31508004CE00606201F0806301F0806401F0606511F25
S31508004CF00700591F521F5B1F541F5D1F561F5F1F5A
S31508004D00601F0806701F0E00BA1FBB1FC81FC91FE9
S31508004D10CA1FCB1FDA1FDB1FF81FF91FEA1FEB1F7D
S31508004D20FA1FFB1F801F0806901F0806A01F08060B
S31508004D30B01F0400B81FB91FB21FBC1FCC1F01004B
S31508004D40C31FD01F0206E01F0206E51F0100EC1F65
S31508004D50F21F0100FC1F4E210100322170211002B2
S31508004D60842101008321D0241A05302C2F04602CBD
S31508004D700201672C0601752C0201802C6401002DA6
S31508004D80260841FF1A030000006000080020000002
S31508004D900300000000800008002000000400000056
S31508004DA000A00008002000000500000000C0000860
S31508004DB0002000000600000000E0000800200000B7
S31508004DC0070000000000010800200000080000009D
S31508004DD0002001080020000009000000004001082A
S31508004DE0002000000A000000006001080020000002
S31508004DF00B00000000800108002000000C000000E5
S31508004E0000A00108002000000D00000000C00108F5
S31508004E10002000000E00000000E00108002000004D
S31508004E200F000000809A45418E418F8045454549CF
S31508004E3049498E8F9092924F994F555559999A9BF9
S31508004E409C9D9E9F41494F55A5A5A6A7A8A9AAAB73
S31508004E50ACADAEAFB0B1B2B3B4B5B6B7B8B9BABB0C
S31508004E60BCBDBEBFC0C1C2C3C4C5C6C7C8C9CACBFC
S31508004E70CCCDCECFD0D1D2D3D4D5D6D7D8D9DADBEC
S31508004E80DCDDDEDFE0E1E2E3E4E5E6E7E8E9EAEBDC
S31508004E90ECEDEEEFF0F1F2F3F4F5F6F7F8F9FAFBCC
S31508004EA0FCFDFEFF433A5C576F726B5C736F66746A
S31508004EB0776172655C4F70656E424C545C546172E2
S31508004EC06765745C44656D6F5C41524D434D335F55
S31508004ED053544D333246315F4F6C696D65785F5375
S31508004EE0544D3332503130335F4941525C426F6F13
S31508004EF0745C6D61696E2E6300000000433A5C576E
S31508004F006F726B5C736F6674776172655C4F706500
S31508004F106E424C545C5461726765745C536F75726B
S31508004F2063655C41524D434D335F53544D333246AE
S31508004F30315C756172742E630000000019E050F848
S31508004F40041BCB0744BFA9F101035918121F0023FC
S31508004F50042A41F8043BF9D25FEA827C0B4604D561
S31508004F604FF0000CA1F800C09B1CD10744BF0021DC
S31508004F70197050F8042B002AE1D17047433A5C5760
S31508004F806F726B5C736F6674776172655C4F706580
S31508004F906E424C545C5461726765745C536F7572EB
S31508004FA063655C41524D434D335F53544D3332462E
S31508004FB0315C63616E2E6300433A5C576F726B5CBB
S31508004FC0736F6674776172655C4F70656E424C5498
S31508004FD05C5461726765745C536F757263655C6671
S31508004FE0696C652E6300000050617273696E6720F4
S31508004FF06669726D776172652066696C6520746F83
S315080050002064657465637420657261736520626CDB
S315080050106F636B732E2E2E004669726D776172650B
S315080050202075706461746520737563636573736650
S31508005030756C6C7920636F6D706C657465640A0DA8
S315080050400000000010B450F8041B79B102684368E8
S3150800505002440830DC0744BFA9F10104E31852F8FA
S31508005060044B43F8044B091FF9D1ECE710BC704711
S315080050704F70656E696E67206669726D77617265D5
S315080050802066696C6520666F722072656164696E58
S31508005090672E2E2E0000000010B5074979441831F6
S315080050A0064C7C44163404E00A68081D11448847F7
S315080050B00146A142F8D110BDBC000000DC0000008A
S315080050C005020602060307030803090309040A047E
S315080050D00B040C040C050D050E050F050F0610062E
S315080050E0100710084669726D7761726520757064DD
S315080050F0617465207265717565737420646574657D
S31508005100637465640A0D00005374617274696E678E
S31508005110207468652070726F6772616D6D696E675D
S315080051202073657175656E63650A0D005265616465
S31508005130696E67206C696E652066726F6D20666998
S315080051406C652E2E2E4552524F520A0D0000000055
S31508005150496E76616C696420636865636B73756D07
S3150800516020666F756E642E2E2E4552524F520A0DCA
S3150800517000000000C9FDFFFF440F000008080020DA
S3150800518000000000C1FEFFFF04000000340200001A
S3150800519000000020000000002F64656D6F70726FBC
S315080051A0675F6F6C696D65785F73746D33327031E4
S315080051B030332E73726563002062797465732066D6
S315080051C0726F6D206D656D6F72792061742030780D
S315080051D00000000057726974696E672070726F6705
S315080051E072616D20636865636B73756D2E2E2E0074
S315080051F000F00BF8002801D0FFF74EFF0020AFF3B0
S315080052000080FFF7E9F900F002F80120704700F086
S3150800521001B800000746384600F002F8FBE7000030
S3150800522080B5AFF30080024A11001820ABBEFBE739
S315080052302600020020627974657320746F206D65FC
S315080052406D6F72792061742030780000436C6F733B
S31508005250696E67206669726D776172652066696C2A
S31508005260650A0D00024885460248804702480047FD
S3150800527008080020754A0008C552000801030507FA
S31508005280090E10121416181C1E00000050726F67C3
S3150800529072616D6D696E6720000000002F626F6F86
S315080052A0746C6F672E74787400000000222A3A3CEA
S315080052B03E3F7C7F0000000045726173696E67207F
S315080052C000000000AFF30080AFF30080FFF790FF07
S315080052D02B2C3B3D5B5D00004552524F520A0D0098
S315080052E04F4B0A0D000000004F70656E424C54008B
S315080052F0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFD4
S31508005300FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFC3
S31508005310FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFB3
@ -1336,5 +1336,8 @@ S31508005350FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF73
S31508005360FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF63
S31508005370FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF53
S31508005380FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF43
S309080053900401000006
S705080052950B
S31508005390FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF33
S315080053A0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF23
S315080053B0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF13
S309080053C004010000D6
S705080052C5DB

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -26,7 +26,7 @@
<MixedMode>1</MixedMode><CodeCovShow>1</CodeCovShow><InstrProfShow>1</InstrProfShow><col-names><item>Disassembly</item><item>_I0</item></col-names><col-widths><item>500</item><item>20</item></col-widths><DisasmHistory/><PreferedWindows><Position>2</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows/></PreferedWindows><ShowCodeCoverage>1</ShowCodeCoverage><ShowInstrProfiling>1</ShowInstrProfiling></Disassembly>
<Watch><Format><struct_types/><watch_formats/></Format><PreferedWindows><Position>2</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows/></PreferedWindows><Column0>100</Column0><Column1>100</Column1><Column2>100</Column2><Column3>100</Column3></Watch><Register><PreferedWindows><Position>2</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows/></PreferedWindows></Register><WATCH_1><expressions><item/></expressions><col-names><item>Expression</item><item>Location</item><item>Type</item><item>Value</item></col-names><col-widths><item>100</item><item>150</item><item>100</item><item>207</item></col-widths></WATCH_1></Static>
<Watch><Format><struct_types/><watch_formats/></Format><PreferedWindows><Position>2</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows/></PreferedWindows><Column0>100</Column0><Column1>100</Column1><Column2>100</Column2><Column3>100</Column3></Watch><Register><PreferedWindows><Position>2</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows/></PreferedWindows></Register><WATCH_1><expressions><item></item></expressions><col-names><item>Expression</item><item>Location</item><item>Type</item><item>Value</item></col-names><col-widths><item>100</item><item>150</item><item>100</item><item>207</item></col-widths></WATCH_1></Static>
<Windows>
@ -72,7 +72,7 @@
<Top><Row0><Sizes><Toolbar-047EDDB8><key>iaridepm.enu1</key></Toolbar-047EDDB8></Sizes></Row0><Row1><Sizes><Toolbar-0DF15240><key>debuggergui.enu1</key></Toolbar-0DF15240></Sizes></Row1><Row2><Sizes><Toolbar-0DF14430><key>armjlink2.enu1</key></Toolbar-0DF14430></Sizes></Row2></Top><Left><Row0><Sizes><Wnd4><Rect><Top>-2</Top><Left>-2</Left><Bottom>712</Bottom><Right>259</Right><x>-2</x><y>-2</y><xscreen>261</xscreen><yscreen>204</yscreen><sizeHorzCX>135938</sizeHorzCX><sizeHorzCY>202582</sizeHorzCY><sizeVertCX>135938</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd4></Sizes></Row0></Left><Right><Row0><Sizes><Wnd6><Rect><Top>-2</Top><Left>-2</Left><Bottom>712</Bottom><Right>389</Right><x>-2</x><y>-2</y><xscreen>200</xscreen><yscreen>200</yscreen><sizeHorzCX>104167</sizeHorzCX><sizeHorzCY>198610</sizeHorzCY><sizeVertCX>203646</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd6></Sizes></Row0><Row1><Sizes><Wnd7><Rect><Top>-2</Top><Left>387</Left><Bottom>712</Bottom><Right>587</Right><x>387</x><y>-2</y><xscreen>200</xscreen><yscreen>200</yscreen><sizeHorzCX>104167</sizeHorzCX><sizeHorzCY>198610</sizeHorzCY><sizeVertCX>104167</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd7></Sizes></Row1></Right><Bottom><Row0><Sizes><Wnd2><Rect><Top>-2</Top><Left>-2</Left><Bottom>202</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>204</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>202582</sizeHorzCY><sizeVertCX>135938</sizeVertCX><sizeVertCY>202582</sizeVertCY></Rect></Wnd2></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
<Top><Row0><Sizes><Toolbar-04D8DDB8><key>iaridepm.enu1</key></Toolbar-04D8DDB8></Sizes></Row0><Row1><Sizes><Toolbar-10A0CEB0><key>debuggergui.enu1</key></Toolbar-10A0CEB0></Sizes></Row1><Row2><Sizes><Toolbar-10A0C870><key>armjlink2.enu1</key></Toolbar-10A0C870></Sizes></Row2></Top><Left><Row0><Sizes><Wnd4><Rect><Top>-2</Top><Left>-2</Left><Bottom>712</Bottom><Right>259</Right><x>-2</x><y>-2</y><xscreen>261</xscreen><yscreen>204</yscreen><sizeHorzCX>135938</sizeHorzCX><sizeHorzCY>202582</sizeHorzCY><sizeVertCX>135938</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd4></Sizes></Row0></Left><Right><Row0><Sizes><Wnd6><Rect><Top>-2</Top><Left>-2</Left><Bottom>712</Bottom><Right>389</Right><x>-2</x><y>-2</y><xscreen>200</xscreen><yscreen>200</yscreen><sizeHorzCX>104167</sizeHorzCX><sizeHorzCY>198610</sizeHorzCY><sizeVertCX>203646</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd6></Sizes></Row0><Row1><Sizes><Wnd7><Rect><Top>-2</Top><Left>387</Left><Bottom>712</Bottom><Right>587</Right><x>387</x><y>-2</y><xscreen>200</xscreen><yscreen>200</yscreen><sizeHorzCX>104167</sizeHorzCX><sizeHorzCY>198610</sizeHorzCY><sizeVertCX>104167</sizeVertCX><sizeVertCY>709037</sizeVertCY></Rect></Wnd7></Sizes></Row1></Right><Bottom><Row0><Sizes><Wnd2><Rect><Top>-2</Top><Left>-2</Left><Bottom>202</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>204</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>202582</sizeHorzCY><sizeVertCX>135938</sizeVertCX><sizeVertCY>202582</sizeVertCY></Rect></Wnd2></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
</Desktop>
</Project>

View File

@ -18,7 +18,7 @@ ActionState=1
Enabled=0
ShowSource=1
[DebugChecksum]
Checksum=1682706430
Checksum=906578558
[DisAssemblyWindow]
NumStates=_ 1
State 1=_ 1

View File

@ -17,7 +17,7 @@
<Build><PreferedWindows><Position>3</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows><Window><Factory>Debug-Log</Factory></Window></Windows></PreferedWindows><ColumnWidth0>20</ColumnWidth0><ColumnWidth1>1059</ColumnWidth1><ColumnWidth2>282</ColumnWidth2><ColumnWidth3>70</ColumnWidth3></Build><Debug-Log><PreferedWindows><Position>3</Position><ScreenPosX>0</ScreenPosX><ScreenPosY>0</ScreenPosY><Windows><Window><Factory>Build</Factory></Window></Windows></PreferedWindows><ColumnWidth0>20</ColumnWidth0><ColumnWidth1>1413</ColumnWidth1></Debug-Log><TerminalIO/><Find-in-Files><ColumnWidth0>664</ColumnWidth0><ColumnWidth1>94</ColumnWidth1><ColumnWidth2>1138</ColumnWidth2></Find-in-Files></Static>
<Windows>
<Wnd0>
<Wnd1>
<Tabs>
<Tab>
<Identity>TabID-32216-31616</Identity>
@ -29,7 +29,7 @@
</Tab>
</Tabs>
<SelectedTab>0</SelectedTab></Wnd0><Wnd2><Tabs><Tab><Identity>TabID-13144-32069</Identity><TabName>Build</TabName><Factory>Build</Factory><Session/></Tab><Tab><Identity>TabID-25023-6652</Identity><TabName>Debug Log</TabName><Factory>Debug-Log</Factory><Session/></Tab><Tab><Identity>TabID-18334-26223</Identity><TabName>Find in Files</TabName><Factory>Find-in-Files</Factory><Session/></Tab></Tabs><SelectedTab>0</SelectedTab></Wnd2></Windows>
<SelectedTab>0</SelectedTab></Wnd1><Wnd3><Tabs><Tab><Identity>TabID-13144-32069</Identity><TabName>Build</TabName><Factory>Build</Factory><Session/></Tab><Tab><Identity>TabID-25023-6652</Identity><TabName>Debug Log</TabName><Factory>Debug-Log</Factory><Session/></Tab><Tab><Identity>TabID-18334-26223</Identity><TabName>Find in Files</TabName><Factory>Find-in-Files</Factory><Session/></Tab></Tabs><SelectedTab>0</SelectedTab></Wnd3></Windows>
<Editor>
@ -42,7 +42,7 @@
<Top><Row0><Sizes><Toolbar-0071DDB8><key>iaridepm.enu1</key></Toolbar-0071DDB8></Sizes></Row0></Top><Left><Row0><Sizes><Wnd0><Rect><Top>-2</Top><Left>-2</Left><Bottom>751</Bottom><Right>400</Right><x>-2</x><y>-2</y><xscreen>154</xscreen><yscreen>164</yscreen><sizeHorzCX>80208</sizeHorzCX><sizeHorzCY>162860</sizeHorzCY><sizeVertCX>209375</sizeVertCX><sizeVertCY>747766</sizeVertCY></Rect></Wnd0></Sizes></Row0></Left><Right><Row0><Sizes/></Row0></Right><Bottom><Row0><Sizes><Wnd2><Rect><Top>-2</Top><Left>-2</Left><Bottom>211</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>213</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>211519</sizeHorzCY><sizeVertCX>209375</sizeVertCX><sizeVertCY>352532</sizeVertCY></Rect></Wnd2></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
<Top><Row0><Sizes><Toolbar-04D8DDB8><key>iaridepm.enu1</key></Toolbar-04D8DDB8></Sizes></Row0><Row1><Sizes/></Row1></Top><Left><Row0><Sizes><Wnd1><Rect><Top>-2</Top><Left>-2</Left><Bottom>751</Bottom><Right>400</Right><x>-2</x><y>-2</y><xscreen>154</xscreen><yscreen>164</yscreen><sizeHorzCX>80208</sizeHorzCX><sizeHorzCY>162860</sizeHorzCY><sizeVertCX>209375</sizeVertCX><sizeVertCY>747766</sizeVertCY></Rect></Wnd1></Sizes></Row0></Left><Right><Row0><Sizes/></Row0></Right><Bottom><Row0><Sizes><Wnd3><Rect><Top>-2</Top><Left>-2</Left><Bottom>211</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>213</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>211519</sizeHorzCY><sizeVertCX>209375</sizeVertCX><sizeVertCY>352532</sizeVertCY></Rect></Wnd3></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
</Desktop>
</Workspace>

View File

@ -1,19 +1,19 @@
S021000064656D6F70726F675F6F6C696D65785F73746D3332703130332E737265639D
S3150800600018080020356F0008516F0008556F000802
S31508006010596F00085D6F0008616F000800000000F6
S31508006020000000000000000000000000656F000886
S31508006030696F0008000000006D6F0008FB6B000820
S31508006040716F0008756F0008796F00087D6F00088A
S31508006050816F0008856F0008896F00088D6F00083A
S31508006060916F0008956F0008996F00089D6F0008EA
S31508006070A16F0008A56F0008A96F0008AD6F00089A
S31508006080B16F0008B56F0008B96F0008BD6F00084A
S31508006090C16F0008C56F0008C96F0008CD6F0008FA
S315080060A0D16F0008D56F0008D96F0008DD6F0008AA
S315080060B0E16F0008E56F0008E96F0008ED6F00085A
S315080060C0F16F0008F56F0008F96F0008FD6F00080A
S315080060D00170000805700008097000080D700008B6
S315080060E0117000081570000819700008EE11AA55FD
S3150800600018080020496F0008656F0008696F0008C6
S315080060106D6F0008716F0008756F000800000000BA
S31508006020000000000000000000000000796F000872
S315080060307D6F000800000000816F00080F6C0008E3
S31508006040856F0008896F00088D6F0008916F00083A
S31508006050956F0008996F00089D6F0008A16F0008EA
S31508006060A56F0008A96F0008AD6F0008B16F00089A
S31508006070B56F0008B96F0008BD6F0008C16F00084A
S31508006080C56F0008C96F0008CD6F0008D16F0008FA
S31508006090D56F0008D96F0008DD6F0008E16F0008AA
S315080060A0E56F0008E96F0008ED6F0008F16F00085A
S315080060B0F56F0008F96F0008FD6F00080170000809
S315080060C005700008097000080D70000811700008B6
S315080060D015700008197000081D7000082170000866
S315080060E025700008297000082D700008EE11AA55C1
S315080060F080B5DFF88C1388420AD101215FF0007061
S3150800610000F049FA00215FF0007000F044FA09E057
S3150800611001215FF0806000F03EFA00215FF08060A8
@ -92,160 +92,160 @@ S315080065900F4908607047C9B2002905D00D49096836
S315080065A008430C49086005E00A49096831EA000011
S315080065B008490860704700000410024000127A007B
S315080065C000093D00000000201000002018100240BD
S315080065D01C10024010100240CC48006810F4E0601D
S315080065E0CB490843C9490860BFF34F8FFEE780B51A
S315080065D01C10024010100240D148006810F4E06018
S315080065E0D0490843CE490860BFF34F8FFEE780B510
S315080065F000F00DF800F0E6F801BD80B500F045F8AA
S3150800660000F066F901BD80B5FFF7E6FF01BD00B5EC
S3150800661085B001215FF40030FFF7ADFF01210520A9
S31508006620FFF799FF18208DF803000420ADF8000045
S3150800663003208DF802006946B64800F07DF904206B
S315080066408DF803000820ADF800006946B14800F04F
S3150800665073F94FF4614001900020ADF8080000205E
S3150800663003208DF802006946BB4800F087F904205C
S315080066408DF803000820ADF800006946B64800F04A
S315080066507DF94FF4614001900020ADF80800002054
S31508006660ADF80A000020ADF80C000020ADF81000C7
S315080066700C20ADF80E0001A9A74800F007FA012181
S31508006680A54800F063FA05B000BD80B5A3480078B8
S31508006690002813D1A24800F03EF801283AD1A048B4
S315080066A00078002836D000F0A5FA9E490860012037
S315080066B09A49087000209C4908702BE098489A4926
S315080066C009780844401C00F026F8012818D1964895
S315080066D00078401C944908709348007890490978D6
S315080066E0884217D100208D4908708D484078FF28C8
S315080066F010D18B48807800280CD1FFF784FF09E079
S3150800670000F078FA874909686431814202D200208C
S315080067108249087001BD10B5040020217E4800F0AA
S3150800672027FA012805D17C4800F01EFA20700120BE
S315080066700C20ADF80E0001A9AC4800F011FA012172
S31508006680AA4800F06DFA05B000BD80B5A8480078A4
S31508006690002813D1A74800F03EF801283AD1A548AA
S315080066A00078002836D000F0AFFAA3490860012028
S315080066B09F4908700020A14908702BE09D489F4912
S315080066C009780844401C00F026F8012818D19B4890
S315080066D00078401C994908709848007895490978C7
S315080066E0884217D100209249087092484078FF28BE
S315080066F010D19048807800280CD1FFF784FF09E074
S3150800670000F082FA8C4909686431814202D200207D
S315080067108749087001BD10B504002021834800F0A0
S3150800672031FA012805D1814800F028FA20700120A5
S3150800673000E0002010BDF0B4002400E0641CE4B2C0
S31508006740122C3CD248F6A04580B2784EE4B216F830
S315080067501460764FE4B207EB44077F78BE19761CBF
S31508006740122C3CD248F6A04580B27D4EE4B216F82B
S3150800675014607B4FE4B207EB44077F78BE19761CBA
S3150800676006FB00F695FBF6F706FB1755002DE5D157
S3150800677048F6A04580B26D4EE4B216F814606B4F29
S3150800677048F6A04580B2724EE4B216F81460704F1F
S31508006780E4B207EB44077F78BE19761C06FB00F6D1
S3150800679095FBF6F50D800D88002DCFD00D88B5F543
S315080067A0806FCBDC6148E4B210F8140010705F48C3
S315080067A0806FCBDC6648E4B210F8140010706448B9
S315080067B0E4B200EB440040781870012000E00020A5
S315080067C0F0BC704700B589B001210120FFF7C3FE70
S315080067D001210820FFF7BFFE4FF48070ADF80400D2
S315080067E048208DF8070001A9514800F0A5F84FF494
S315080067E048208DF8070001A9564800F0AFF84FF485
S315080067F00070ADF8040018208DF8070003208DF806
S31508006800060001A94A4800F097F801215FF4EA104A
S3150800681000F0F8F801215FF00070FFF7ACFE45487C
S31508006800060001A94F4800F0A1F801215FF4EA103B
S3150800681000F002F901215FF00070FFF7ACFE4A486C
S31508006820FFF766FC02A8FFF78CFD6B460DF1010227
S315080068300DF102014FF4FA70FFF77DFF00208DF885
S315080068400E0000208DF80F0000208DF810000020A3
S315080068508DF8110000208DF8120000208DF8130025
S3150800686000208DF80A0000208DF80B009DF8010025
S31508006870401E8DF80C009DF80000401E8DF80D0096
S31508006880BDF80200ADF8080002A92A48FFF74BFC3C
S31508006880BDF80200ADF8080002A92F48FFF74BFC37
S3150800689000208DF81E0000208DF81F0001208DF8BD
S315080068A020000020ADF814000020ADF816000020E6
S315080068B0ADF818000020ADF81A000020ADF81C004D
S315080068C001208DF8210005A8FFF7B3FC09B000BD2B
S315080068D000B585B000211748FFF7BEFD002813D084
S315080068E06A4600211348FFF743FD009840F26761A6
S315080068F0884209D19DF80B00FF2805D19DF80C00A8
S31508006900002801D1FFF77FFE05B000BD0CED00E0C1
S315080069100400FA0500080140004400406908002008
S31508006920180800205C08002068080020946E0008FB
S31508006930000C01400064004070B4002200250023CA
S31508006940002600240025CA7812F00F02CB78DB0651
S3150800695001D58B781A430B781B0621D004680023CF
S3150800696006E0CD78482D02D101259D4005615B1CC6
S31508006970082B14D2012515FA03F60D883540B542C1
S31508006980F5D19E000F25B540AC4312FA06F52C4307
S31508006990CD78282DE5D101259D404561E7E70460BE
S315080069A00B88FF2B26DD4468002320E0012513F120
S315080069B0080615FA06F60D883540B54216D19E002A
S315080069C00F25B540AC4312FA06F52C43CD78282D91
S315080069D004D1012513F10806B5404561CD78482D47
S315080069E004D1012513F10806B54005615B1C082B87
S315080069F0DCD3446070BC704789B20161704789B2C4
S31508006A004161704730B40022002400230024002886
S31508006A1002D51C4A136801E01B4A1368C0F30344F5
S31508006A2082B210F44015B5F5401F08D133F07063F3
S31508006A30154C246834F07064134D2C6010E0C502C0
S31508006A4006D5032515FA04F4A34353F0706307E04B
S31508006A50440DE4B2240112FA04F4A34353F070631C
S31508006A60C9B2002905D0410DC9B2090112FA01F1CE
S31508006A700B43002802D50348036001E0024803607F
S31508006A8030BC70471C0001400400014070B586B058
S31508006A9004000D0000200020002100210026A889FE
S31508006AA000282600208A4CF6FF710840E98808432A
S31508006AB02082A0894EF6F3110840A9882A89114335
S31508006AC06A8911430843A081A08A4FF6FF4108400E
S31508006AD0A9890843A0826846FFF7E0FC1A4886425F
S31508006AE001D1039800E00298A189090406D5192165
S31508006AF0484329684900B0FBF1F105E019214843EC
S31508006B0029688900B0FBF1F16420B1FBF0F00001BF
S31508006B100209642303FB1211A289120408D5C900CD
S31508006B2032316422B1FBF2F111F00701084307E0A4
S31508006B30090132316422B1FBF2F111F00F01084369
S31508006B40208106B070BD000000380140C9B2002996
S31508006B5004D0818951F40051818104E081894DF680
S31508006B60FF721140818170478088C005C00D70474B
S31508006B70002289B2B1F5007F0088084201D00122BF
S31508006B8000E000221000C0B27047000040B20028A2
S31508006B9008D509011C4A40B210F00F00104400F84D
S31508006BA0041C03E00901194A40B21154704780B524
S31508006BB0B0F1807F01D3012010E020F07F40401E15
S31508006BC0134908600F215FF0FF30FFF7DFFF002051
S31508006BD010490860072010490860002002BD80B5EA
S31508006BE00E48FFF7E4FF002000F001F801BD0C494C
S31508006BF0086070470A480068704709480068401CE2
S31508006C00074908607047000018ED00E000E400E05E
S31508006C1014E000E018E000E010E000E04019010090
S31508006C206408002080B500F007F8FFF7E0FC00F0E4
S31508006C30BEF8FFF7E2FCFAE700B583B00020009043
S31508006C40002001904748006850F001004549086057
S31508006C504548006845490840434908604148006876
S31508006C60434908403F4908603E48006830F48020A0
S31508006C703C4908603C48006830F4FE003A49086020
S31508006C805FF41F003B4908603648006850F48030BE
S31508006C90344908603348006810F4003001900098C1
S31508006CA0401C00900198002804D1009840F2DC515D
S31508006CB08842EFD12B480068800300D4FEE72E48AF
S31508006CC0006850F010002C4908602B4800688008BE
S31508006CD08000294908602848006850F002002649C3
S31508006CE0086021480068204908601F48006850F479
S31508006CF000501D4908601C48006850F480601A4915
S31508006D0008601948006830F47C10174908600920A3
S31508006D10801E80041449096850F4803008431249DB
S31508006D2008601048006850F080700E4908600D48E9
S31508006D3000688001FBD50C480068800880000A4975
S31508006D4008600948006850F00200074908600648CC
S31508006D50006810F00C000828F9D100F00FF8FFF7CA
S31508006D603EFF07BD00100240041002400000FFF875
S31508006D70FFFFF6FE081002400020024080B5012100
S31508006D801020FFF7E8FB4FF48050ADF80000032011
S31508006D908DF8020010208DF8030069461548FFF7A4
S31508006DA0CBFD4FF480511348FFF726FE01BD10B501
S31508006DB0FFF720FF040010480068201AB0F5FA7F94
S31508006DC016D30E480078002808D101200B49087010
S31508006DD04FF480510748FFF712FE07E000200749E5
S31508006DE008704FF480510348FFF706FE0248046016
S31508006DF010BD000000100140600800206A0800204D
S31508006E0019E050F8041BCB0744BFA9F10103591830
S31508006E10121F0023042A41F8043BF9D25FEA827C58
S31508006E200B4604D54FF0000CA1F800C09B1CD107F7
S31508006E3044BF0021197050F8042B002AE1D170478D
S31508006E4010B450F8041B79B102684368024408304C
S31508006E50DC0744BFA9F10104E31852F8044B43F8D0
S31508006E60044B091FF9D1ECE710BC704710B5074968
S31508006E7079441831064C7C44163404E00A68081D27
S31508006E80114488470146A142F8D110BD2C000000E4
S31508006E904C0000000502060206030703080309035F
S31508006EA009040A040B040C040C050D050E050F0550
S31508006EB00F0610061007100849FFFFFF54000000D0
S31508006EC0180800200000000079FFFFFF14000000EA
S31508006ED050000000000000200000000000F00BF841
S31508006EE0002801D0FFF7C2FF0020AFF30080FFF7AC
S31508006EF099FE00F002F80120704700F001B8000082
S31508006F000746384600F002F8FBE7000080B5AFF305
S31508006F100080024A11001820ABBEFBE726000200DB
S31508006F200000000001020304010203040607080921
S31508006F30020406080148854601480047180800204B
S31508006F40456F0008AFF30080AFF30080FFF7C6FF78
S31508006F50FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF57
S31508006F60FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF47
S315080068D010B586B0002400211B48FFF7BDFD00282F
S315080068E01BD06A4600211848FFF742FD9DF80800AC
S315080068F0002805D1009840F26761884200D101243A
S31508006900E4B2012C09D19DF80B00FF2805D19DF8AA
S315080069100C00002801D1FFF776FE06B010BD000076
S315080069200CED00E00400FA050008014000440040B0
S3150800693069080020180800205C0800206808002064
S31508006940A86E0008000C01400064004070B40022E4
S3150800695000250023002600240025CA7812F00F021D
S31508006960CB78DB0601D58B781A430B781B0621D02A
S315080069700468002306E0CD78482D02D101259D4004
S3150800698005615B1C082B14D2012515FA03F60D8840
S315080069903540B542F5D19E000F25B540AC4312FAF5
S315080069A006F52C43CD78282DE5D101259D40456176
S315080069B0E7E704600B88FF2B26DD4468002320E008
S315080069C0012513F1080615FA06F60D883540B54275
S315080069D016D19E000F25B540AC4312FA06F52C4396
S315080069E0CD78282D04D1012513F10806B540456157
S315080069F0CD78482D04D1012513F10806B540056167
S31508006A005B1C082BDCD3446070BC704789B20161FB
S31508006A10704789B24161704730B4002200240023D0
S31508006A200024002802D51C4A136801E01B4A136893
S31508006A30C0F3034482B210F44015B5F5401F08D1DF
S31508006A4033F07063154C246834F07064134D2C6071
S31508006A5010E0C50206D5032515FA04F4A34353F03E
S31508006A60706307E0440DE4B2240112FA04F4A34368
S31508006A7053F07063C9B2002905D0410DC9B20901A6
S31508006A8012FA01F10B43002802D50348036001E01E
S31508006A900248036030BC70471C00014004000140F6
S31508006AA070B586B004000D000020002000210021EA
S31508006AB00026A88900282600208A4CF6FF7108407F
S31508006AC0E98808432082A0894EF6F3110840A98870
S31508006AD02A8911436A8911430843A081A08A4FF67F
S31508006AE0FF410840A9890843A0826846FFF7D6FCFB
S31508006AF01A48864201D1039800E00298A189090440
S31508006B0006D51921484329684900B0FBF1F105E08B
S31508006B101921484329688900B0FBF1F16420B1FBCB
S31508006B20F0F000010209642303FB1211A289120482
S31508006B3008D5C90032316422B1FBF2F111F0070120
S31508006B40084307E0090132316422B1FBF2F111F082
S31508006B500F010843208106B070BD000000380140CF
S31508006B60C9B2002904D0818951F40051818104E019
S31508006B7081894DF6FF721140818170478088C00572
S31508006B80C00D7047002289B2B1F5007F008808421F
S31508006B9001D0012200E000221000C0B270470000B8
S31508006BA040B2002808D509011C4A40B210F00F006F
S31508006BB0104400F8041C03E00901194A40B21154B4
S31508006BC0704780B5B0F1807F01D3012010E020F036
S31508006BD07F40401E134908600F215FF0FF30FFF722
S31508006BE0DFFF0020104908600720104908600020D0
S31508006BF002BD80B50E48FFF7E4FF002000F001F85B
S31508006C0001BD0C49086070470A4800687047094882
S31508006C100068401C074908607047000018ED00E04E
S31508006C2000E400E014E000E018E000E010E000E016
S31508006C30401901006408002080B500F007F8FFF746
S31508006C40D6FC00F0BEF8FFF7D8FCFAE700B583B02B
S31508006C5000200090002001904748006850F001008D
S31508006C604549086045480068454908404349086061
S31508006C7041480068434908403F4908603E48006863
S31508006C8030F480203C4908603C48006830F4FE0037
S31508006C903A4908605FF41F003B49086036480068B7
S31508006CA050F48030344908603348006810F40030E6
S31508006CB001900098401C00900198002804D1009883
S31508006CC040F2DC518842EFD12B480068800300D49B
S31508006CD0FEE72E48006850F010002C4908602B4843
S31508006CE0006880088000294908602848006850F034
S31508006CF002002649086021480068204908601F48A4
S31508006D00006850F400501D4908601C48006850F49B
S31508006D1080601A4908601948006830F47C101749E1
S31508006D2008600920801E80041449096850F48030E0
S31508006D300843124908601048006850F080700E49F0
S31508006D4008600D4800688001FBD50C48006880087B
S31508006D5080000A4908600948006850F0020007499F
S31508006D6008600648006810F00C000828F9D100F001
S31508006D700FF8FFF73EFF07BD00100240041002405F
S31508006D800000FFF8FFFFF6FE081002400020024050
S31508006D9080B501211020FFF7DEFB4FF48050ADF8D7
S31508006DA0000003208DF8020010208DF803006946C4
S31508006DB01548FFF7CBFD4FF480511348FFF726FE21
S31508006DC001BD10B5FFF720FF040010480068201A1F
S31508006DD0B0F5FA7F16D30E480078002808D10120AE
S31508006DE00B4908704FF480510748FFF712FE07E079
S31508006DF00020074908704FF480510348FFF706FE44
S31508006E000248046010BD0000001001406008002020
S31508006E106A08002019E050F8041BCB0744BFA9F103
S31508006E2001035918121F0023042A41F8043BF9D21A
S31508006E305FEA827C0B4604D54FF0000CA1F800C02F
S31508006E409B1CD10744BF0021197050F8042B002A57
S31508006E50E1D1704710B450F8041B79B10268436851
S31508006E6002440830DC0744BFA9F10104E31852F8CC
S31508006E70044B43F8044B091FF9D1ECE710BC7047E3
S31508006E8010B5074979441831064C7C44163404E099
S31508006E900A68081D114488470146A142F8D110BD69
S31508006EA02C0000004C00000005020602060307033A
S31508006EB00803090309040A040B040C040C050D0550
S31508006EC00E050F050F0610061007100849FFFFFFED
S31508006ED054000000180800200000000079FFFFFF9A
S31508006EE01400000050000000000000200000000010
S31508006EF000F00BF8002801D0FFF7C2FF0020AFF31F
S31508006F000080FFF799FE00F002F80120704700F0B4
S31508006F1001B800000746384600F002F8FBE7000013
S31508006F2080B5AFF30080024A11001820ABBEFBE71C
S31508006F302600020000000000010203040102030407
S31508006F40060708090204060801488546014800475D
S31508006F5018080020596F0008AFF30080AFF30080CF
S31508006F60FFF7C6FFFFF7FEBFFFF7FEBFFFF7FEBF3F
S31508006F70FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF37
S31508006F80FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF27
S31508006F90FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF17
@ -256,5 +256,6 @@ S31508006FD0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFD7
S31508006FE0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFC7
S31508006FF0FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFB7
S31508007000FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBFA6
S31108007010FFF7FEBFFFF7FEBFFFF7FEBF4D
S70508006F453E
S31508007010FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF96
S31508007020FFF7FEBFFFF7FEBFFFF7FEBFFFF7FEBF86
S70508006F592A

View File

@ -395,13 +395,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -411,7 +424,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -42,7 +42,7 @@
<Top><Row0><Sizes><Toolbar-04DDDDB8><key>iaridepm.enu1</key></Toolbar-04DDDDB8></Sizes></Row0></Top><Left><Row0><Sizes><Wnd2><Rect><Top>-2</Top><Left>-2</Left><Bottom>725</Bottom><Right>454</Right><x>-2</x><y>-2</y><xscreen>263</xscreen><yscreen>203</yscreen><sizeHorzCX>136979</sizeHorzCX><sizeHorzCY>201589</sizeHorzCY><sizeVertCX>237500</sizeVertCX><sizeVertCY>721946</sizeVertCY></Rect></Wnd2></Sizes></Row0></Left><Right><Row0><Sizes/></Row0></Right><Bottom><Row0><Sizes><Wnd3><Rect><Top>-2</Top><Left>-2</Left><Bottom>237</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>239</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>237339</sizeHorzCY><sizeVertCX>136979</sizeVertCX><sizeVertCY>201589</sizeVertCY></Rect></Wnd3></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
<Top><Row0><Sizes><Toolbar-00C1DDB8><key>iaridepm.enu1</key></Toolbar-00C1DDB8></Sizes></Row0></Top><Left><Row0><Sizes><Wnd2><Rect><Top>-2</Top><Left>-2</Left><Bottom>725</Bottom><Right>454</Right><x>-2</x><y>-2</y><xscreen>263</xscreen><yscreen>203</yscreen><sizeHorzCX>136979</sizeHorzCX><sizeHorzCY>201589</sizeHorzCY><sizeVertCX>237500</sizeVertCX><sizeVertCY>721946</sizeVertCY></Rect></Wnd2></Sizes></Row0></Left><Right><Row0><Sizes/></Row0></Right><Bottom><Row0><Sizes><Wnd3><Rect><Top>-2</Top><Left>-2</Left><Bottom>237</Bottom><Right>1922</Right><x>-2</x><y>-2</y><xscreen>1924</xscreen><yscreen>239</yscreen><sizeHorzCX>1002083</sizeHorzCX><sizeHorzCY>237339</sizeHorzCY><sizeVertCX>136979</sizeVertCX><sizeVertCY>201589</sizeVertCY></Rect></Wnd3></Sizes></Row0></Bottom><Float><Sizes/></Float></Positions>
</Desktop>
</Workspace>

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -1,7 +1,7 @@
S31508006000D0060020F1610008FD610008FF61000864
S31508006010016200080362000805620008000000002B
S3150800602000000000000000000000000007620008F1
S3150800603009620008000000000B620008A56D000850
S3150800603009620008000000000B620008C96D00082C
S315080060400F6200080F6200080F6200080F6200085E
S315080060500F6200080F6200080F6200080F6200084E
S315080060600F6200080F6200080F6200080F6200083E
@ -22,17 +22,17 @@ S315080061400F6200080F6200080F6200080F6200085D
S31508006150EE11AA5500F002F800F03AF80AA090E805
S31508006160000C82448344AAF10107DA4501D100F004
S315080061702FF8AFF2090EBAE80F0013F0010F18BF97
S31508006180FB1A43F001031847680E0000880E00004A
S31508006180FB1A43F0010318478C0E0000AC0E000002
S31508006190103A24BF78C878C1FAD8520724BF30C845
S315080061A030C144BF04680C60704700000023002417
S315080061B000250026103A28BF78C1FBD8520728BF09
S315080061C030C148BF0B6070471FB51FBD10B510BD65
S315080061D000F035F81146FFF7F7FF00F0EDFE00F086
S315080061D000F035F81146FFF7F7FF00F0FFFE00F074
S315080061E053F803B4FFF7F2FF03BC00F05BF80000B6
S315080061F00A498D460A4880470A480047FEE7FEE7EF
S31508006200FEE7FEE7FEE7FEE7FEE7FEE7FEE7FEE758
S3150800621005480649064A074B70470000D006002085
S31508006220B56D000855610008D0000020D006002092
S31508006220D96D000855610008D0000020D00600206E
S31508006230D0020020D002002070477047704775468C
S3150800624000F02CF8AE4605006946534620F00700D4
S31508006250854618B020B5FFF7DBFFBDE820404FF0B4
@ -43,219 +43,221 @@ S315080062902046BDE81040FFF7A4BF0000004870473D
S315080062A06C00002001491820ABBEFEE7260002005C
S315080062B07047000000BF0748006800F4E060064920
S315080062C00843001D03490860BFF34F8F00BF00BF96
S315080062D0FEE700000CED00E00000FA0500B585B009
S315080062E000210D4800F056FA002812DD6A46002102
S315080062F0094800F05EFA40F267610098884208D1C2
S315080063009DF80B00FF2804D19DF80C0008B9FFF78B
S31508006310D1FF05B000BD00000064004000B58BB099
S315080063200121084600F050FC0121082000F04CFC31
S315080063304FF48070ADF8280048208DF82B000AA984
S31508006340334800F0FFFA4FF40070ADF82800182023
S315080063508DF82B0003208DF82A000AA92C4800F096
S31508006360F1FA01214FF4EA1000F078FB0121480602
S3150800637000F00AFC274800F0E3F807A800F091FAB5
S315080063806B4601AA02A94FF4FA7000F09BFA0020A6
S315080063908DF822008DF823008DF824008DF825004D
S315080063A08DF826008DF827008DF81E008DF81F0041
S315080063B09DF80400401EC0B28DF820009DF800002C
S315080063C0401EC0B28DF82100BDF80800ADF81C00CB
S315080063D007A9104800F054F900208DF816008DF82A
S315080063E0170001208DF818000020ADF80C00ADF854
S315080063F00E00ADF81000ADF81200ADF8140001203B
S315080064008DF8190003A800F0B7F80BB000BD00001E
S31508006410000C01400064004010B500F009F8FFF7D1
S315080064205DFF10BD10B500F04DF8FFF777FF10BD02
S3150800643010B52048007898B91F4800F0ABFD012830
S3150800644037D11D480078002833DD00F0DDFC1B49F4
S31508006450086001201749087000201949087028E0CB
S31508006460154A17490978491C501800F093FD012868
S3150800647015D113480078401C114908700E48007859
S315080064800978884215D100200A4908700A484078D8
S31508006490FF280ED10848807858B9FFF70BFF00F09F
S315080064A0B3FC064909686431884202D900200149CB
S315080064B0087010BD150000202800002018000020D4
S315080064C01400002000B585B00121480400F05CFBEB
S315080064D00121052000F078FB18208DF81300042010
S315080064E0ADF8100003208DF8120004A9124800F038
S315080064F029FA04208DF813000820ADF8100004A925
S315080065000D4800F01FFA4FF4614000900020ADF8E6
S315080065100400ADF80600ADF80800ADF80C000C2034
S31508006520ADF80A006946054800F0C2FC0121034897
S3150800653000F0A4FC05B000BD00080140004400407E
S3150800654010B504460B48844209D10121480600F0DB
S315080065502BFB00214FF0007000F026FB08E001211C
S31508006560880600F021FB00214FF0806000F01CFB3C
S3150800657010BD00000064004010B50021837A012296
S3150800658002FA03F13D4A126842F001023B4B1A60D7
S315080065903A4A1C3212688A43384B1C331A60027B0B
S315080065A0CAB9364A0C3212688A43344B0C331A601D
S315080065B04288C38842EA0343304A4032847A42F822
S315080065C034300288838842EA03432C4A4032847A6C
S315080065D002EBC4025360027B012A19D1274A0C3206
S315080065E012680A43254B0C331A604288038842EA2C
S315080065F00343224A4032847A42F83430C288838878
S3150800660042EA03431D4A4032847A02EBC4025360CD
S31508006610C27A3AB9194A121D12688A43174B1B1DCA
S315080066201A6006E0154A121D12680A43134B1B1D11
S315080066301A60028932B9114A143212688A430F4B1A
S3150800664014331A600289012A06D10C4A14321268D8
S315080066500A430A4B14331A60427B012A06D1074AB9
S315080066601C3212680A43054B1C331A60034A126827
S3150800667022F00102014B1A6010BD000000660040BE
S3150800668030B5024600200023146824F00204146082
S31508006690146844F00104146000E05B1C546804F0BC
S315080066A001041CB94FF6FF74A342F6D1546804F0EE
S315080066B001040CB900206CE08C79012C04D1146813
S315080066C044F08004146003E0146824F08004146025
S315080066D0CC79012C04D1146844F04004146003E01A
S315080066E0146824F0400414600C7A012C04D1146850
S315080066F044F02004146003E0146824F020041460B5
S315080067004C7A012C04D1146844F01004146003E098
S31508006710146824F0100414608C7A012C04D11468CF
S3150800672044F00804146003E0146824F008041460B4
S31508006730CC7A012C04D1146844F00404146003E0F4
S31508006740146824F0040414608C78A407CD7844EA0D
S3150800675005640D7944EA05444D7944EA05540D88E3
S315080067606D1E2C43D461146824F0010414600023C0
S3150800677000E05B1C546804F001041CB14FF6FF747A
S31508006780A342F6D1546804F001040CB1002000E0DD
S31508006790012030BD0246002019B9D36803F0030072
S315080067A006E0012903D1136903F0030000E0002085
S315080067B0704710B500F5D87303EB01131B6803F097
S315080067C004031372137A53B900F5D87303EB011354
S315080067D01B6840F2FF7404EA5353136009E000F59E
S315080067E0D87303EB01131B686FF0604404EAD30304
S315080067F0536000F5D87303EB01131B6803F002031B
S31508006800537200F5D87303EB01135B6803F00F03AB
S31508006810937200F5D87303EB01135B681B0AD374F4
S3150800682000F5D87303EB01139B68D37200F5D87390
S3150800683003EB01139B681C0A147300F5D87303EB6A
S3150800684001139B681C0C547300F5D87303EB0113F2
S315080068509B681B0E937300F5D87303EB0113DB6873
S31508006860D37300F5D87303EB0113DB681C0A1474A1
S3150800687000F5D87303EB0113DB681C0C547400F5A0
S31508006880D87303EB0113DB681B0E937421B9C36835
S3150800689043F02003C36003E0036943F02003036168
S315080068A010BD00218171C171017241728172C1727C
S315080068B08170C1700321017102214171012101809A
S315080068C070470000F0B505460C46002133E01C4829
S315080068D010F811001A4E06EB410676783044401C33
S315080068E0684348F6A04696FBF0F700FB176000BB26
S315080068F0134810F81100124E06EB4106767830441C
S31508006900401C684348F6A04696FBF0F02080208895
S3150800691000280EDD2088B0F5806F0ADC084810F8DC
S3150800692011001070064800EB4100407818700120ED
S31508006930F0BD481CC1B21229C9D30020F8E70000EF
S31508006940CC6F00082DE9F0410246002500260020FC
S3150800695000230024002791F803C00CF00F0591F8D6
S3150800696003C00CF0100CBCF1000F03D091F802C064
S315080069704CEA050591F800C0BCF1000F31D0146847
S3150800698000202BE04FF0010C0CFA00F3B1F800C020
S315080069900CEA03069E4220D183004FF00F0C0CFA36
S315080069A003F7BC4305FA03FC4CEA040491F803C058
S315080069B0BCF1280F06D14FF0010C0CFA00FCC2F806
S315080069C014C00AE091F803C0BCF1480F05D14FF096
S315080069D0010C0CFA00FCC2F810C0401C0828D1D3E0
S315080069E01460B1F800C0BCF1FF0F34DD5468002014
S315080069F02EE000F1080C4FF0010808FA0CF3B1F884
S31508006A0000C00CEA03069E4221D183004FF00F0C0A
S31508006A100CFA03F7BC4305FA03FC4CEA040491F8A4
S31508006A2003C0BCF1280F05D100F1080C08FA0CF8D0
S31508006A30C2F8148091F803C0BCF1480F07D100F1E1
S31508006A40080C4FF0010808FA0CF8C2F81080401C30
S31508006A500828CED35460BDE8F0810000F0B50A4698
S31508006A60002300240021002500F00046B6F1004F5F
S31508006A7002D11D4EF16901E01B4E7168C0F3034552
S31508006A8083B200F44016B6F5401F08D121F07061B4
S31508006A90154E766826F07066134F7E6012E000F495
S31508006AA08016B6F5801F06D1032606FA05F4A1431B
S31508006AB041F0706106E0460D360103FA06F6B14369
S31508006AC041F0706122B1460D360103FA06F63143EC
S31508006AD000F00046B6F1004F02D1034EF16101E025
S31508006AE0014E7160F0BD0000000001404161704731
S31508006AF00161704710B500F003F800F08BF910BD7E
S31508006B0008B50121102000F05FF84FF48050ADF869
S31508006B10000003208DF8020010208DF80300694656
S31508006B200448FFF70FFF4FF480510248FFF7E0FFD4
S31508006B3008BD00000010014010B500F065F90446D4
S31508006B400E480068201AB0F5FA7F00D210BD0C482E
S31508006B50007838B901200A49087001030948FFF787
S31508006B60C5FF07E00020064908704FF48051054824
S31508006B70FFF7BEFF0148046000BFE7E720000020DA
S31508006B801C0000200010014029B1064AD2690243C0
S31508006B90044BDA6104E0034AD2698243014BDA61A5
S31508006BA0704700000010024029B1064A12690243E4
S31508006BB0044B1A6104E0034A12698243014B1A61C5
S31508006BC0704700000010024029B1064A9269024344
S31508006BD0044B9A6104E0034A92698243014B9A6125
S31508006BE0704700000010024030B500210022002442
S31508006BF000232D4D6D6805F00C0121B1042905D03F
S31508006C00082923D105E0294D056022E0274D0560B6
S31508006C101FE0254D6D6805F47012234D6D6805F467
S31508006C208034022505EB92421CB9214D5543056077
S31508006C300BE01D4D6D6805F400351DB11C4D55431F
S31508006C40056002E0194D5543056002E0174D0560E1
S31508006C5000BF00BF144D6D6805F0F0010909154D18
S31508006C606B5C0568DD4045600F4D6D6805F4E061B5
S31508006C70090A104D6B5C4568DD4085600A4D6D68F4
S31508006C8005F46051C90A0B4D6B5C4568DD40C5606B
S31508006C90054D6D6805F44041890B074D6B5CC56869
S31508006CA0B5FBF3F5056130BD0010024000127A000D
S31508006CB000093D00000000201000002010B500F07B
S31508006CC001F810BD0CB5002001900090334800680B
S31508006CD040F480303149086000BF3048006800F44D
S31508006CE0003000900198401C0190009818B901984E
S31508006CF0B0F5A06FF1D12948006800F4003010B152
S31508006D000120009001E0002000900098012843D15E
S31508006D102348006840F010002149086008460068CA
S31508006D2020F0030008600846006840F0020008608A
S31508006D301A48406819494860084640684860084645
S31508006D40406840F4806048600846406820F47C103B
S31508006D5048600846406840F4E810486008460068FD
S31508006D6040F08070086000BF0C48006800F00070B2
S31508006D700028F9D00948406820F003000749486010
S31508006D800846406840F00200486000BF0348406873
S31508006D9000F00C000828F9D10CBD000000100240D4
S31508006DA00020024002480068401C014908607047FC
S31508006DB02400002010B51148006840F001000F4972
S31508006DC00860084640680E4908400C49486008466D
S31508006DD000680C490840094908600846006820F41C
S31508006DE0802008600846406820F4FE0048604FF49A
S31508006DF01F008860FFF762FF10BD00000010024008
S31508006E000000FFF8FFFFF6FE014800687047000023
S31508006E102400002030B51349B1F1807F00D31DE06E
S31508006E2021F07F40401E4FF0E022506150170F229C
S31508006E30002807DA13071D0E0B4B00F00F04241F5A
S31508006E401D5503E013071C0E084B1C5400BF0020F9
S31508006E504FF0E02290610720106100BF002000F08B
S31508006E6007F830BD4019010018ED00E000E400E025
S31508006E7001490860704700002400002021B182897A
S31508006E8042F40052828104E082894DF6FF731A406B
S31508006E908281704702460020B1F5007F00D100BF0D
S31508006EA013880B400BB1012000E00020704700005A
S31508006EB02DE9F04786B005460E460024A24600BFD7
S31508006EC0A1460027B08900B100BF2F462C8A4CF690
S31508006ED0FF700440F08804432C82AC894EF6F31008
S31508006EE00440B08831890843718908430443AC815A
S31508006EF0AC8A4FF6FF400440B0890443AC8201A82F
S31508006F00FFF772FE1F48874202D1DDF810A001E0A4
S31508006F10DDF80CA0A88900F4004040B10AEBCA00CD
S31508006F2000EB0A1031684900B0FBF1F807E00AEBFC
S31508006F30CA0000EB0A1031688900B0FBF1F864203A
S31508006F40B8FBF0F004012009642101FB1089A88927
S31508006F5000F4004040B1322000EBC900B0FBF1F06C
S31508006F6000F00700044308E0322000EB0910642112
S31508006F70B0FBF1F000F00F0004432C8106B0BDE829
S31508006F80F08700000038014001468888C0F30800F1
S31508006F907047000010B5044620210648FFF77AFF1F
S31508006FA0012805D10348FFF7EFFF2070012010BD27
S31508006FB00020FCE700440040FFF79CFDFFF732FA8B
S31508006FC003E0FFF7B9FDFFF727FAFAE7050206021D
S31508006FD0060307030803090309040A040B040C043F
S31508006FE00C050D050E050F050F06100610071008EF
S31508006FF010700008000000202800000090610008BA
S315080070003870000828000020A8060000AC610008B7
S315080070100000000001020304010203040607080930
S31508007020020406080000000000000000000000003E
S30D0800703000000000000000004A
S315080062D0FEE700000CED00E00000FA0510B586B0F8
S315080062E000240021154800F067FA002824DD01AAD9
S315080062F00021124800F06FFA9DF80C0028B940F208
S3150800630067610198884200D101249DF80C00042891
S3150800631007D1029840F0004040F26761884200D1F8
S315080063200124012C08D19DF80F00FF2804D19DF8FF
S31508006330100008B9FFF7BEFF06B010BD00640040A4
S3150800634000B58BB00121084600F050FC0121082059
S3150800635000F04CFC4FF48070ADF8280048208DF80A
S315080063602B000AA9334800F0FFFA4FF40070ADF885
S31508006370280018208DF82B0003208DF82A000AA97A
S315080063802C4800F0F1FA01214FF4EA1000F078FBEE
S315080063900121480600F00AFC274800F0E3F807A8A0
S315080063A000F091FA6B4601AA02A94FF4FA7000F0C0
S315080063B09BFA00208DF822008DF823008DF8240022
S315080063C08DF825008DF826008DF827008DF81E001B
S315080063D08DF81F009DF80400401EC0B28DF82000FD
S315080063E09DF80000401EC0B28DF82100BDF80800D7
S315080063F0ADF81C0007A9104800F054F900208DF8E4
S3150800640016008DF8170001208DF818000020ADF849
S315080064100C00ADF80E00ADF81000ADF81200ADF89E
S31508006420140001208DF8190003A800F0B7F80BB086
S3150800643000BD0000000C01400064004010B500F0EB
S3150800644009F8FFF74BFF10BD10B500F04DF8FFF740
S3150800645077FF10BD10B52048007898B91F4800F09E
S31508006460ABFD012837D11D480078002833DD00F040
S31508006470DDFC1B49086001201749087000201949EE
S31508006480087028E0154A17490978491C501800F081
S3150800649093FD012815D113480078401C114908704E
S315080064A00E4800780978884215D100200A490870F4
S315080064B00A484078FF280ED10848807858B9FFF76F
S315080064C0F9FE00F0B3FC064909686431884202D92E
S315080064D000200149087010BD150000202800002082
S315080064E0180000201400002000B585B001214804DA
S315080064F000F05CFB0121052000F078FB18208DF8E0
S3150800650013000420ADF8100003208DF8120004A92A
S31508006510124800F029FA04208DF813000820ADF877
S31508006520100004A90D4800F01FFA4FF461400090CE
S315080065300020ADF80400ADF80600ADF80800ADF887
S315080065400C000C20ADF80A006946054800F0C2FCAC
S315080065500121034800F0A4FC05B000BD0008014075
S315080065600044004010B504460B48844209D1012175
S31508006570480600F02BFB00214FF0007000F026FBC8
S3150800658008E00121880600F021FB00214FF0806019
S3150800659000F01CFB10BD00000064004010B500218F
S315080065A0837A012202FA03F13D4A126842F0010297
S315080065B03B4B1A603A4A1C3212688A43384B1C33E2
S315080065C01A60027BCAB9364A0C3212688A43344BBF
S315080065D00C331A604288C38842EA0343304A403281
S315080065E0847A42F834300288838842EA03432C4A84
S315080065F04032847A02EBC4025360027B012A19D125
S31508006600274A0C3212680A43254B0C331A60428813
S31508006610038842EA0343224A4032847A42F83430F5
S31508006620C288838842EA03431D4A4032847A02EBD1
S31508006630C4025360C27A3AB9194A121D12688A43CB
S31508006640174B1B1D1A6006E0154A121D12680A43ED
S31508006650134B1B1D1A60028932B9114A143212688B
S315080066608A430F4B14331A600289012A06D10C4A51
S31508006670143212680A430A4B14331A60427B012A01
S3150800668006D1074A1C3212680A43054B1C331A60A6
S31508006690034A126822F00102014B1A6010BD00007D
S315080066A00066004030B5024600200023146824F036
S315080066B002041460146844F00104146000E05B1CD2
S315080066C0546804F001041CB94FF6FF74A342F6D1CE
S315080066D0546804F001040CB900206CE08C79012C94
S315080066E004D1146844F08004146003E0146824F0AC
S315080066F080041460CC79012C04D1146844F0400459
S31508006700146003E0146824F0400414600C7A012C29
S3150800671004D1146844F02004146003E0146824F0DB
S31508006720200414604C7A012C04D1146844F0100437
S31508006730146003E0146824F0100414608C7A012CA9
S3150800674004D1146844F00804146003E0146824F0C3
S3150800675008041460CC7A012C04D1146844F00404AB
S31508006760146003E0146824F0040414608C78A40709
S31508006770CD7844EA05640D7944EA05444D7944EA3E
S3150800678005540D886D1E2C43D461146824F0010449
S315080067901460002300E05B1C546804F001041CB17B
S315080067A04FF6FF74A342F6D1546804F001040CB105
S315080067B0002000E0012030BD0246002019B9D36848
S315080067C003F0030006E0012903D1136903F003006F
S315080067D000E00020704710B500F5D87303EB0113ED
S315080067E01B6803F004031372137A53B900F5D873C0
S315080067F003EB01131B6840F2FF7404EA535313605A
S3150800680009E000F5D87303EB01131B686FF06044C9
S3150800681004EAD303536000F5D87303EB01131B682E
S3150800682003F00203537200F5D87303EB01135B6898
S3150800683003F00F03937200F5D87303EB01135B683B
S315080068401B0AD37400F5D87303EB01139B68D37244
S3150800685000F5D87303EB01139B681C0A147300F543
S31508006860D87303EB01139B681C0C547300F5D8739B
S3150800687003EB01139B681B0E937300F5D87303EBA8
S315080068800113DB68D37300F5D87303EB0113DB68D8
S315080068901C0A147400F5D87303EB0113DB681C0C8F
S315080068A0547400F5D87303EB0113DB681B0E93745D
S315080068B021B9C36843F02003C36003E0036943F0CA
S315080068C02003036110BD00218171C17101724172FB
S315080068D08172C1728170C1700321017102214171F7
S315080068E00121018070470000F0B505460C460021DD
S315080068F033E01C4810F811001A4E06EB410676786C
S315080069003044401C684348F6A04696FBF0F700FB67
S31508006910176000BB134810F81100124E06EB41062B
S3150800692076783044401C684348F6A04696FBF0F05B
S315080069302080208800280EDD2088B0F5806F0ADCCC
S31508006940084810F811001070064800EB410040781E
S3150800695018700120F0BD481CC1B21229C9D3002005
S31508006960F8E70000F06F00082DE9F041024600251F
S315080069700026002000230024002791F803C00CF00D
S315080069800F0591F803C00CF0100CBCF1000F03D0F2
S3150800699091F802C04CEA050591F800C0BCF1000F59
S315080069A031D0146800202BE04FF0010C0CFA00F3EC
S315080069B0B1F800C00CEA03069E4220D183004FF0CE
S315080069C00F0C0CFA03F7BC4305FA03FC4CEA040463
S315080069D091F803C0BCF1280F06D14FF0010C0CFA50
S315080069E000FCC2F814C00AE091F803C0BCF1480FD5
S315080069F005D14FF0010C0CFA00FCC2F810C0401C7F
S31508006A000828D1D31460B1F800C0BCF1FF0F34DDFB
S31508006A10546800202EE000F1080C4FF0010808FA2F
S31508006A200CF3B1F800C00CEA03069E4221D183009C
S31508006A304FF00F0C0CFA03F7BC4305FA03FC4CEABB
S31508006A40040491F803C0BCF1280F05D100F1080C25
S31508006A5008FA0CF8C2F8148091F803C0BCF1480F84
S31508006A6007D100F1080C4FF0010808FA0CF8C2F833
S31508006A701080401C0828CED35460BDE8F081000081
S31508006A80F0B50A46002300240021002500F0004640
S31508006A90B6F1004F02D11D4EF16901E01B4E716837
S31508006AA0C0F3034583B200F44016B6F5401F08D17B
S31508006AB021F07061154E766826F07066134F7E6079
S31508006AC012E000F48016B6F5801F06D1032606FAF2
S31508006AD005F4A14341F0706106E0460D360103FA5C
S31508006AE006F6B14341F0706122B1460D360103FA4C
S31508006AF006F6314300F00046B6F1004F02D1034EC8
S31508006B00F16101E0014E7160F0BD00000000014036
S31508006B10416170470161704710B500F003F800F055
S31508006B208BF910BD08B50121102000F05FF84FF46D
S31508006B308050ADF8000003208DF8020010208DF873
S31508006B40030069460448FFF70FFF4FF480510248D7
S31508006B50FFF7E0FF08BD00000010014010B500F087
S31508006B6065F904460E480068201AB0F5FA7F00D287
S31508006B7010BD0C48007838B901200A49087001038D
S31508006B800948FFF7C5FF07E00020064908704FF4DB
S31508006B9080510548FFF7BEFF0148046000BFE7E7DC
S31508006BA0200000201C0000200010014029B1064AE0
S31508006BB0D2690243044BDA6104E0034AD26982438C
S31508006BC0014BDA61704700000010024029B1064AFD
S31508006BD012690243044B1A6104E0034A12698243AC
S31508006BE0014B1A61704700000010024029B1064A9D
S31508006BF092690243044B9A6104E0034A926982430C
S31508006C00014B9A61704700000010024030B5002120
S31508006C100022002400232D4D6D6805F00C0121B1DA
S31508006C20042905D0082923D105E0294D056022E06D
S31508006C30274D05601FE0254D6D6805F47012234D3C
S31508006C406D6805F48034022505EB92421CB9214D86
S31508006C50554305600BE01D4D6D6805F400351DB103
S31508006C601C4D5543056002E0194D5543056002E089
S31508006C70174D056000BF00BF144D6D6805F0F001A3
S31508006C800909154D6B5C0568DD4045600F4D6D685B
S31508006C9005F4E061090A104D6B5C4568DD408560C6
S31508006CA00A4D6D6805F46051C90A0B4D6B5C456861
S31508006CB0DD40C560054D6D6805F44041890B074DFB
S31508006CC06B5CC568B5FBF3F5056130BD0010024085
S31508006CD000127A0000093D00000000201000002084
S31508006CE010B500F001F810BD0CB500200190009019
S31508006CF03348006840F480303149086000BF3048A6
S31508006D00006800F4003000900198401C019000983B
S31508006D1018B90198B0F5A06FF1D12948006800F4B8
S31508006D20003010B10120009001E00020009000988A
S31508006D30012843D12348006840F010002149086023
S31508006D400846006820F0030008600846006840F01E
S31508006D50020008601A4840681949486008464068B1
S31508006D6048600846406840F48060486008464068C5
S31508006D7020F47C1048600846406840F4E8104860F3
S31508006D800846006840F08070086000BF0C4800683C
S31508006D9000F000700028F9D00948406820F0030088
S31508006DA0074948600846406840F00200486000BF4E
S31508006DB00348406800F00C000828F9D10CBD000013
S31508006DC0001002400020024002480068401C0149A9
S31508006DD0086070472400002010B51148006840F08C
S31508006DE001000F490860084640680E4908400C49EA
S31508006DF04860084600680C49084009490860084682
S31508006E00006820F4802008600846406820F4FE00E8
S31508006E1048604FF41F008860FFF762FF10BD00004E
S31508006E20001002400000FFF8FFFFF6FE0148006868
S31508006E30704700002400002030B51349B1F1807F67
S31508006E4000D31DE021F07F40401E4FF0E022506144
S31508006E5050170F22002807DA13071D0E0B4B00F0F8
S31508006E600F04241F1D5503E013071C0E084B1C5462
S31508006E7000BF00204FF0E02290610720106100BF9C
S31508006E80002000F007F830BD4019010018ED00E0B9
S31508006E9000E400E001490860704700002400002073
S31508006EA021B1828942F40052828104E082894DF63A
S31508006EB0FF731A408281704702460020B1F5007FB1
S31508006EC000D100BF13880B400BB1012000E0002061
S31508006ED0704700002DE9F04786B005460E460024A7
S31508006EE0A24600BFA1460027B08900B100BF2F46C1
S31508006EF02C8A4CF6FF700440F08804432C82AC8937
S31508006F004EF6F3100440B088318908437189084366
S31508006F100443AC81AC8A4FF6FF400440B089044371
S31508006F20AC8201A8FFF772FE1F48874202D1DDF83E
S31508006F3010A001E0DDF80CA0A88900F4004040B1DB
S31508006F400AEBCA0000EB0A1031684900B0FBF1F8F9
S31508006F5007E00AEBCA0000EB0A1031688900B0FBAB
S31508006F60F1F86420B8FBF0F004012009642101FB64
S31508006F701089A88900F4004040B1322000EBC9000E
S31508006F80B0FBF1F000F00700044308E0322000EB04
S31508006F9009106421B0FBF1F000F00F0004432C81C6
S31508006FA006B0BDE8F0870000003801400146888831
S31508006FB0C0F308007047000010B5044620210648B3
S31508006FC0FFF77AFF012805D10348FFF7EFFF207086
S31508006FD0012010BD0020FCE700440040FFF79CFD9F
S31508006FE0FFF732FA03E0FFF7B9FDFFF727FAFAE7EA
S31508006FF005020602060307030803090309040A042F
S315080070000B040C040C050D050E050F050F061006DE
S31508007010100710083470000800000020280000003F
S31508007020906100085C70000828000020A80600008F
S31508007030AC61000800000000010203040102030419
S315080070400607080902040608000000000000000000
S3110800705000000000000000000000000026
S705080061553C

View File

@ -395,13 +395,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -411,7 +424,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -61,7 +61,8 @@
* in bits/second. Two CAN messages are reserved for communication with the host. The
* message identifier for sending data from the target to the host is configured with
* BOOT_COM_CAN_TXMSG_ID. The one for receiving data from the host is configured with
* BOOT_COM_CAN_RXMSG_ID. The maximum amount of data bytes in a message for data
* BOOT_COM_CAN_RXMSG_ID. Note that an extended 29-bit CAN identifier is configured by
* OR-ing with mask 0x80000000. The maximum amount of data bytes in a message for data
* transmission and reception is set through BOOT_COM_CAN_TX_MAX_DATA and
* BOOT_COM_CAN_RX_MAX_DATA, respectively. It is common for a microcontroller to have more
* than 1 CAN controller on board. The zero-based BOOT_COM_CAN_CHANNEL_INDEX selects the
@ -73,11 +74,11 @@
/** \brief Configure the desired CAN baudrate. */
#define BOOT_COM_CAN_BAUDRATE (500000)
/** \brief Configure CAN message ID target->host. */
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1)
#define BOOT_COM_CAN_TX_MSG_ID (0x7E1 /*| 0x80000000*/)
/** \brief Configure number of bytes in the target->host CAN message. */
#define BOOT_COM_CAN_TX_MAX_DATA (8)
/** \brief Configure CAN message ID host->target. */
#define BOOT_COM_CAN_RX_MSG_ID (0x667)
#define BOOT_COM_CAN_RX_MSG_ID (0x667 /*| 0x80000000*/)
/** \brief Configure number of bytes in the host->target CAN message. */
#define BOOT_COM_CAN_RX_MAX_DATA (8)
/** \brief Select the desired CAN peripheral as a zero based index. */

View File

@ -239,13 +239,26 @@ static void BootComCanInit(void)
static void BootComCanCheckActivationRequest(void)
{
CanRxMsg RxMessage;
unsigned char canIdMatched = 0;
/* check if a new message was received */
if (CAN_MessagePending(CAN1, CAN_FIFO0) > 0)
{
/* receive the message */
CAN_Receive(CAN1, CAN_FIFO0, &RxMessage);
if (RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID)
/* check if the message identifier matches the bootloader reception message */
if ( (RxMessage.IDE == CAN_Id_Standard) &&
(RxMessage.StdId == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
if ( (RxMessage.IDE == CAN_Id_Extended) &&
((RxMessage.ExtId | 0x80000000) == BOOT_COM_CAN_RX_MSG_ID) )
{
canIdMatched = 1;
}
/* is the identifier a match to the bootloader reception message identifier? */
if (canIdMatched == 1)
{
/* check if this was an XCP CONNECT command */
if ((RxMessage.Data[0] == 0xff) && (RxMessage.Data[1] == 0x00))
@ -255,7 +268,7 @@ static void BootComCanCheckActivationRequest(void)
}
}
}
} /*** end of BootCanComCheckActivationRequest ***/
} /*** end of BootComCanCheckActivationRequest ***/
#endif /* BOOT_COM_CAN_ENABLE > 0 */

View File

@ -1,977 +0,0 @@
# depslib dependency file v1.0
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\misc.c
"misc.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\misc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\inc\stm32f2xx.h
"core_cm3.h"
"system_stm32f2xx.h"
<stdint.h>
"stm32f2xx_conf.h"
1469192681 c:\users\voorburg\desktop\stm32f207\cmsis\core_cm3.h
<stdint.h>
<core_cmInstr.h>
<core_cmFunc.h>
1469192681 c:\users\voorburg\desktop\stm32f207\cmsis\core_cminstr.h
<cmsis_iar.h>
<cmsis_ccs.h>
1469192681 c:\users\voorburg\desktop\stm32f207\cmsis\core_cmfunc.h
<cmsis_iar.h>
<cmsis_ccs.h>
1469192680 c:\users\voorburg\desktop\stm32f207\inc\system_stm32f2xx.h
1469192681 c:\users\voorburg\desktop\stm32f207\inc\stm32f2xx_conf.h
"stm32f2xx_adc.h"
"stm32f2xx_can.h"
"stm32f2xx_crc.h"
"stm32f2xx_cryp.h"
"stm32f2xx_dac.h"
"stm32f2xx_dbgmcu.h"
"stm32f2xx_dcmi.h"
"stm32f2xx_dma.h"
"stm32f2xx_exti.h"
"stm32f2xx_flash.h"
"stm32f2xx_fsmc.h"
"stm32f2xx_hash.h"
"stm32f2xx_gpio.h"
"stm32f2xx_i2c.h"
"stm32f2xx_iwdg.h"
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
"stm32f2xx_rng.h"
"stm32f2xx_rtc.h"
"stm32f2xx_sdio.h"
"stm32f2xx_spi.h"
"stm32f2xx_syscfg.h"
"stm32f2xx_tim.h"
"stm32f2xx_usart.h"
"stm32f2xx_wwdg.h"
"misc.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_adc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_can.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_crc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_cryp.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_dac.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_dbgmcu.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_dcmi.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_dma.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_exti.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_flash.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_fsmc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_hash.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_gpio.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_i2c.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_iwdg.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_pwr.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_rcc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_rng.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_rtc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_sdio.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_spi.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_syscfg.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_tim.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_usart.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32f207\spl\inc\stm32f2xx_wwdg.h
"stm32f2xx.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_adc.c
"stm32f2xx_adc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_can.c
"stm32f2xx_can.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_crc.c
"stm32f2xx_crc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_cryp.c
"stm32f2xx_cryp.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_cryp_aes.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_cryp_des.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_cryp_tdes.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_dac.c
"stm32f2xx_dac.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_dbgmcu.c
"stm32f2xx_dbgmcu.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_dcmi.c
"stm32f2xx_dcmi.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_dma.c
"stm32f2xx_dma.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_exti.c
"stm32f2xx_exti.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_flash.c
"stm32f2xx_flash.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_fsmc.c
"stm32f2xx_fsmc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_gpio.c
"stm32f2xx_gpio.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_hash.c
"stm32f2xx_hash.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_hash_md5.c
"stm32f2xx_hash.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_hash_sha1.c
"stm32f2xx_hash.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_i2c.c
"stm32f2xx_i2c.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_iwdg.c
"stm32f2xx_iwdg.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_pwr.c
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_rcc.c
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_rng.c
"stm32f2xx_rng.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_rtc.c
"stm32f2xx_rtc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_sdio.c
"stm32f2xx_sdio.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_spi.c
"stm32f2xx_spi.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_syscfg.c
"stm32f2xx_syscfg.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_tim.c
"stm32f2xx_tim.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_usart.c
"stm32f2xx_usart.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\spl\src\stm32f2xx_wwdg.c
"stm32f2xx_wwdg.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32f207\src\main.c
"stm32f2xx_conf.h"
1469192680 source:c:\users\voorburg\desktop\stm32f207\src\startup_stm32f2xx.s
1469192680 source:c:\users\voorburg\desktop\stm32f207\src\system_stm32f2xx.c
"stm32f2xx.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\misc.c
"misc.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\misc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\stm32f2xx.h
"core_cm3.h"
"system_stm32f2xx.h"
<stdint.h>
"stm32f2xx_conf.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\cmsis\core_cm3.h
<stdint.h>
<core_cmInstr.h>
<core_cmFunc.h>
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\cmsis\core_cminstr.h
<cmsis_iar.h>
<cmsis_ccs.h>
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\cmsis\core_cmfunc.h
<cmsis_iar.h>
<cmsis_ccs.h>
1469192680 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\system_stm32f2xx.h
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\stm32f2xx_conf.h
"stm32f2xx_adc.h"
"stm32f2xx_can.h"
"stm32f2xx_crc.h"
"stm32f2xx_cryp.h"
"stm32f2xx_dac.h"
"stm32f2xx_dbgmcu.h"
"stm32f2xx_dcmi.h"
"stm32f2xx_dma.h"
"stm32f2xx_exti.h"
"stm32f2xx_flash.h"
"stm32f2xx_fsmc.h"
"stm32f2xx_hash.h"
"stm32f2xx_gpio.h"
"stm32f2xx_i2c.h"
"stm32f2xx_iwdg.h"
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
"stm32f2xx_rng.h"
"stm32f2xx_rtc.h"
"stm32f2xx_sdio.h"
"stm32f2xx_spi.h"
"stm32f2xx_syscfg.h"
"stm32f2xx_tim.h"
"stm32f2xx_usart.h"
"stm32f2xx_wwdg.h"
"misc.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_adc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_can.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_crc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_cryp.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_dac.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_dbgmcu.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_dcmi.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_dma.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_exti.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_flash.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_fsmc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_hash.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_gpio.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_i2c.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_iwdg.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_pwr.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_rcc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_rng.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_rtc.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_sdio.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_spi.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_syscfg.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_tim.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_usart.h
"stm32f2xx.h"
1469192681 c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\inc\stm32f2xx_wwdg.h
"stm32f2xx.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_adc.c
"stm32f2xx_adc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_can.c
"stm32f2xx_can.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_crc.c
"stm32f2xx_crc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_cryp.c
"stm32f2xx_cryp.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_cryp_aes.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_cryp_des.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_cryp_tdes.c
"stm32f2xx_cryp.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_dac.c
"stm32f2xx_dac.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_dbgmcu.c
"stm32f2xx_dbgmcu.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_dcmi.c
"stm32f2xx_dcmi.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_dma.c
"stm32f2xx_dma.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_exti.c
"stm32f2xx_exti.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_flash.c
"stm32f2xx_flash.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_fsmc.c
"stm32f2xx_fsmc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_gpio.c
"stm32f2xx_gpio.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_hash.c
"stm32f2xx_hash.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_hash_md5.c
"stm32f2xx_hash.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_hash_sha1.c
"stm32f2xx_hash.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_i2c.c
"stm32f2xx_i2c.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_iwdg.c
"stm32f2xx_iwdg.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_pwr.c
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_rcc.c
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_rng.c
"stm32f2xx_rng.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_rtc.c
"stm32f2xx_rtc.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_sdio.c
"stm32f2xx_sdio.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_spi.c
"stm32f2xx_spi.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_syscfg.c
"stm32f2xx_syscfg.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_tim.c
"stm32f2xx_tim.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_usart.c
"stm32f2xx_usart.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\lib\spl\src\stm32f2xx_wwdg.c
"stm32f2xx_wwdg.h"
"stm32f2xx_rcc.h"
1469192681 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\app\main.c
"stm32f2xx_conf.h"
1469192680 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\startup_stm32f2xx.s
1469192680 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\system_stm32f2xx.c
"stm32f2xx.h"
1461839136 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\app\app.c
"header.h"
1461838668 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\header.h
<stdint.h>
<stdlib.h>
"os.h"
"hw.h"
"app.h"
1452185952 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\os\os.h
1469195136 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\hw.h
"stm32f2xx_conf.h"
"led.h"
1452186099 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\led.h
1452185785 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\app\app.h
"assert.h"
1452185438 c:\users\voorburg\desktop\stm32p207_emblocks_os\src\app\assert.h
1452185562 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\app\assert.c
"header.h"
1461838984 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\hw.c
"header.h"
1469196060 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\hw\led.c
"header.h"
1452185952 source:c:\users\voorburg\desktop\stm32p207_emblocks_os\src\os\os.c
"os.h"
1461839136 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\app.c
"header.h"
1461838668 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\header.h
<stdint.h>
<stdlib.h>
"os.h"
"hw.h"
"app.h"
1452185952 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\os.h
1469195136 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\hw.h
"stm32f2xx_conf.h"
"led.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\stm32f2xx_conf.h
"stm32f2xx_adc.h"
"stm32f2xx_can.h"
"stm32f2xx_crc.h"
"stm32f2xx_cryp.h"
"stm32f2xx_dac.h"
"stm32f2xx_dbgmcu.h"
"stm32f2xx_dcmi.h"
"stm32f2xx_dma.h"
"stm32f2xx_exti.h"
"stm32f2xx_flash.h"
"stm32f2xx_fsmc.h"
"stm32f2xx_hash.h"
"stm32f2xx_gpio.h"
"stm32f2xx_i2c.h"
"stm32f2xx_iwdg.h"
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
"stm32f2xx_rng.h"
"stm32f2xx_rtc.h"
"stm32f2xx_sdio.h"
"stm32f2xx_spi.h"
"stm32f2xx_syscfg.h"
"stm32f2xx_tim.h"
"stm32f2xx_usart.h"
"stm32f2xx_wwdg.h"
"misc.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_adc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\stm32f2xx.h
"core_cm3.h"
"system_stm32f2xx.h"
<stdint.h>
"stm32f2xx_conf.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\cmsis\core_cm3.h
<stdint.h>
<core_cmInstr.h>
<core_cmFunc.h>
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\cmsis\core_cminstr.h
<cmsis_iar.h>
<cmsis_ccs.h>
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\cmsis\core_cmfunc.h
<cmsis_iar.h>
<cmsis_ccs.h>
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\system_stm32f2xx.h
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_can.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_crc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_cryp.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_dac.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_dbgmcu.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_dcmi.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_dma.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_exti.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_flash.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_fsmc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_hash.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_gpio.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_i2c.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_iwdg.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_pwr.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_rcc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_rng.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_rtc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_sdio.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_spi.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_syscfg.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_tim.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_usart.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\stm32f2xx_wwdg.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\inc\misc.h
"stm32f2xx.h"
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\led.h
1452185785 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\app.h
"assert.h"
1452185438 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\assert.h
1452185562 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\assert.c
"header.h"
1469268259 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\hw.c
"header.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\led.c
"boot.h"
"led.h"
"stm32f2xx.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\misc.c
"misc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_adc.c
"stm32f2xx_adc.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_can.c
"stm32f2xx_can.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_crc.c
"stm32f2xx_crc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_cryp.c
"stm32f2xx_cryp.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_cryp_aes.c
"stm32f2xx_cryp.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_cryp_des.c
"stm32f2xx_cryp.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_cryp_tdes.c
"stm32f2xx_cryp.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_dac.c
"stm32f2xx_dac.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_dbgmcu.c
"stm32f2xx_dbgmcu.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_dcmi.c
"stm32f2xx_dcmi.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_dma.c
"stm32f2xx_dma.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_exti.c
"stm32f2xx_exti.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_flash.c
"stm32f2xx_flash.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_fsmc.c
"stm32f2xx_fsmc.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_gpio.c
"stm32f2xx_gpio.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_hash.c
"stm32f2xx_hash.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_hash_md5.c
"stm32f2xx_hash.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_hash_sha1.c
"stm32f2xx_hash.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_i2c.c
"stm32f2xx_i2c.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_iwdg.c
"stm32f2xx_iwdg.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_pwr.c
"stm32f2xx_pwr.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_rcc.c
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_rng.c
"stm32f2xx_rng.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_rtc.c
"stm32f2xx_rtc.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_sdio.c
"stm32f2xx_sdio.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_spi.c
"stm32f2xx_spi.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_syscfg.c
"stm32f2xx_syscfg.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_tim.c
"stm32f2xx_tim.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_usart.c
"stm32f2xx_usart.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\spl\src\stm32f2xx_wwdg.c
"stm32f2xx_wwdg.h"
"stm32f2xx_rcc.h"
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\system_stm32f2xx.c
"stm32f2xx.h"
1452185952 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\os.c
"os.h"
1499945254 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\startup_stm32f2xx.s
1485627343 source:c:\work\software\openblt\target\source\armcm3_stm32f2\gcc\cstart.s
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\hooks.c
"boot.h"
"led.h"
"stm32f2xx.h"
1499159026 c:\work\software\openblt\target\source\boot.h
"types.h"
"assert.h"
"blt_conf.h"
"plausibility.h"
"cpu.h"
"cop.h"
"nvm.h"
"timer.h"
"backdoor.h"
"file.h"
"com.h"
1495810634 c:\work\software\openblt\target\source\armcm3_stm32f2\types.h
1495810634 c:\work\software\openblt\target\source\assert.h
1499946003 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\\blt_conf.h
1495810634 c:\work\software\openblt\target\source\plausibility.h
1495810632 c:\work\software\openblt\target\source\cpu.h
1495810633 c:\work\software\openblt\target\source\cop.h
1495810632 c:\work\software\openblt\target\source\nvm.h
1495810633 c:\work\software\openblt\target\source\timer.h
1495810633 c:\work\software\openblt\target\source\backdoor.h
1495810634 c:\work\software\openblt\target\source\file.h
"ff.h"
1495810633 c:\work\software\openblt\target\source\com.h
"xcp.h"
1495810633 c:\work\software\openblt\target\source\xcp.h
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\cpu.c
"boot.h"
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\flash.c
"boot.h"
"stm32f2xx.h"
"flash_layout.c"
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\nvm.c
"boot.h"
"flash.h"
1495810634 c:\work\software\openblt\target\source\armcm3_stm32f2\flash.h
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\main.c
"boot.h"
"stm32f2xx.h"
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\timer.c
"boot.h"
"stm32f2xx.h"
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\uart.c
"boot.h"
"stm32f2xx.h"
1495810634 source:c:\work\software\openblt\target\source\assert.c
"boot.h"
1495810633 source:c:\work\software\openblt\target\source\backdoor.c
"boot.h"
1495810634 source:c:\work\software\openblt\target\source\boot.c
"boot.h"
1495810633 source:c:\work\software\openblt\target\source\com.c
"boot.h"
"can.h"
"uart.h"
"usb.h"
"net.h"
1495810632 c:\work\software\openblt\target\source\can.h
1495810634 c:\work\software\openblt\target\source\uart.h
1495810633 c:\work\software\openblt\target\source\usb.h
1495810633 c:\work\software\openblt\target\source\net.h
1495810633 source:c:\work\software\openblt\target\source\cop.c
"boot.h"
1495810634 source:c:\work\software\openblt\target\source\file.c
"boot.h"
<string.h>
<ctype.h>
1495810633 source:c:\work\software\openblt\target\source\xcp.c
"boot.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\ff.h
"integer.h"
"ffconf.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\integer.h
<windows.h>
<tchar.h>
1495810637 c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\fatfs\ffconf.h
1495810637 source:c:\work\software\openblt\target\demo\armcm3_stm32f2_olimex_stm32p207_gcc\boot\lib\fatfs\mmc.c
"diskio.h"
"stm32f2xx.h"
"stm32f2xx_conf.h"
"boot.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\diskio.h
"integer.h"
1495810633 source:c:\work\software\openblt\target\source\third_party\fatfs\src\ff.c
"ff.h"
"diskio.h"
<stdarg.h>
1495810633 source:c:\work\software\openblt\target\source\third_party\fatfs\src\option\unicode.c
"../ff.h"
"cc932.c"
"cc936.c"
"cc949.c"
"cc950.c"
"ccsbcs.c"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc932.c
"../ff.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc936.c
"../ff.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc949.c
"../ff.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\cc950.c
"../ff.h"
1495810633 c:\work\software\openblt\target\source\third_party\fatfs\src\option\ccsbcs.c
"../ff.h"
1499945779 source:c:\work\software\openblt\target\source\armcm3_stm32f2\can.c
"boot.h"
1495810634 source:c:\work\software\openblt\target\source\armcm3_stm32f2\gcc\cpu_comp.c
"boot.h"
1495810633 source:c:\work\software\openblt\target\source\net.c
"boot.h"
"netdev.h"
"uip.h"
"uip_arp.h"

View File

@ -1,493 +0,0 @@
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<EmBitz_project_file>
<EmBitzVersion release="1.00" revision="0" />
<FileVersion major="1" minor="0" />
<Project>
<Option title="stm32f207" />
<Option pch_mode="2" />
<Option compiler="armgcc_eb" />
<Option virtualFolders="Libs\;Srcs\;Srcs\Core\;Srcs\Core\third_party\;Libs\cmcis\;Libs\SPL\;Libs\fatfs\;Srcs\Core\third_party\fatfs\;Srcs\Core\GCC\;" />
<Build>
<Target title="Debug">
<Option output="..\bin\openblt_stm32f207.elf" />
<Option object_output="..\obj" />
<Option type="0" />
<Option compiler="armgcc_eb" />
<Option projectDeviceOptionsRelation="0" />
<Compiler>
<Add option="-Wall" />
<Add option="-fdata-sections" />
<Add option="-ffunction-sections" />
<Add option="-O1" />
<Add option="-g3" />
</Compiler>
<Assembler>
<Add option="-Wa,--gdwarf-2" />
</Assembler>
<Linker>
<Add option="-Wl,--gc-sections" />
</Linker>
</Target>
</Build>
<Device>
<Add option="$device=cortex-m3" />
<Add option="$lscript=..\stm32f207ze_flash.ld" />
<Add option="$stack=0x0800" />
<Add option="$heap=0x0000" />
</Device>
<Compiler>
<Add option="-mfloat-abi=soft" />
<Add option="-fno-strict-aliasing" />
<Add symbol="STM32F207ZE" />
<Add symbol="STM32F2XX" />
<Add symbol="USE_STDPERIPH_DRIVER" />
<Add symbol="FALSE=0" />
<Add symbol="TRUE=1" />
<Add directory="..\lib" />
<Add directory="..\lib\cmsis" />
<Add directory="..\lib\SPL\inc" />
<Add directory="..\lib\fatfs" />
<Add directory="..\" />
<Add directory="..\..\..\..\Source" />
<Add directory="..\..\..\..\Source\ARMCM3_STM32F2" />
<Add directory="..\..\..\..\Source\ARMCM3_STM32F2\GCC" />
<Add directory="..\..\..\..\Source\third_party\fatfs\src" />
<Add directory="..\..\..\..\Source\third_party\fatfs\src\option" />
</Compiler>
<Linker>
<Add option="-eb_lib=n" />
<Add option="-eb_start_files" />
</Linker>
<ExtraCommands>
<Add after="arm-none-eabi-objcopy -O srec $(TARGET_OUTPUT_DIR)$(TARGET_OUTPUT_BASENAME).elf $(TARGET_OUTPUT_DIR)$(TARGET_OUTPUT_BASENAME).srec" />
<Mode before="0" />
<Mode after="1" />
</ExtraCommands>
<Unit filename="..\blt_conf.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\hooks.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\led.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\led.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cm3.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cmFunc.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cmInstr.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\fatfs\ffconf.h">
<Option virtualFolder="Libs\fatfs\" />
</Unit>
<Unit filename="..\lib\fatfs\mmc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\fatfs\" />
</Unit>
<Unit filename="..\lib\SPL\inc\misc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_adc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_can.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_crc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_cryp.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dac.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dbgmcu.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dcmi.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dma.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_exti.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_flash.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_fsmc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_gpio.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_hash.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_i2c.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_iwdg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_pwr.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rcc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rng.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rtc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_sdio.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_spi.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_syscfg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_tim.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_usart.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_wwdg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\misc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_adc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_can.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_crc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_aes.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_des.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_tdes.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dac.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dbgmcu.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dcmi.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dma.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_exti.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_flash.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_fsmc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_gpio.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash_md5.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash_sha1.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_i2c.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_iwdg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_pwr.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rcc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rng.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rtc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_sdio.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_spi.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_syscfg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_tim.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_usart.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_wwdg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\stm32f2xx.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\stm32f2xx_conf.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\system_stm32f2xx.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\system_stm32f2xx.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\main.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\startup_stm32f2xx.S">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\can.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\cpu.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\flash.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\flash.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\GCC\cpu_comp.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\GCC\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\nvm.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\timer.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\types.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\ARMCM3_STM32F2\uart.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\assert.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\assert.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\backdoor.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\backdoor.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\boot.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\boot.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\can.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\com.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\com.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\cop.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\cop.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\cpu.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\file.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\file.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\net.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\net.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\nvm.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\plausibility.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\third_party\fatfs\src\diskio.h">
<Option virtualFolder="Srcs\Core\third_party\fatfs\" />
</Unit>
<Unit filename="..\..\..\..\Source\third_party\fatfs\src\ff.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\third_party\fatfs\" />
</Unit>
<Unit filename="..\..\..\..\Source\third_party\fatfs\src\ff.h">
<Option virtualFolder="Srcs\Core\third_party\fatfs\" />
</Unit>
<Unit filename="..\..\..\..\Source\third_party\fatfs\src\integer.h">
<Option virtualFolder="Srcs\Core\third_party\fatfs\" />
</Unit>
<Unit filename="..\..\..\..\Source\third_party\fatfs\src\option\unicode.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\third_party\fatfs\" />
</Unit>
<Unit filename="..\..\..\..\Source\timer.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\uart.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\usb.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\xcp.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Unit filename="..\..\..\..\Source\xcp.h">
<Option virtualFolder="Srcs\Core\" />
</Unit>
<Extensions>
<code_completion />
<debugger>
<target_debugging_settings target="Debug" active_interface="J-link">
<debug_interface interface_id="J-link" ip_address="localhost" ip_port="2331" path="%Software\SEGGER\J-Link:InstallPath%" executable="JLinkGDBServer.exe" description="" dont_start_server="false" backoff_time="2000" options="2" reg_filter="0" active_family="STMicroelectronics" gdb_before_conn="" gdb_after_conn="">
<family_options family_id="STMicroelectronics">
<option opt_id="ID_DEVICE" opt_value="STM32F207ZE" />
<option opt_id="ID_JTAG_SWD" opt_value="jtag" />
<option opt_id="ID_SPEED" opt_value="1000" />
<option opt_id="ID_AUTO_SPEED" opt_value="1" />
<option opt_id="ID_ENDIANNESS" opt_value="little" />
<option opt_id="ID_RESET_TYPE" opt_value="0" />
<option opt_id="ID_VECTOR_START" opt_value="0x08000000" />
<option opt_id="ID_LOAD_PROGRAM" opt_value="1" />
<option opt_id="ID_FLASH_DOWNLOAD" opt_value="1" />
<option opt_id="ID_FLASH_BREAK" opt_value="1" />
<option opt_id="ID_RAM_EXEC" opt_value="0" />
<option opt_id="ID_VEC_TABLE" opt_value="1" />
<option opt_id="ID_NCACHE_CHECK" opt_value="0" />
<option opt_id="ID_NCACHE_BASE" opt_value="" />
<option opt_id="ID_NCACHE_LENGTH" opt_value="" />
<option opt_id="ID_SEMIHOST_CHECK" opt_value="0" />
<option opt_id="ID_ARM_SWI" opt_value="" />
<option opt_id="ID_THUMB_SWI" opt_value="" />
</family_options>
</debug_interface>
<debug_interface interface_id="ST-link" ip_address="" ip_port="" path="" executable="" description="" dont_start_server="false" backoff_time="" options="0" reg_filter="0" active_family="" gdb_before_conn="" gdb_after_conn="">
<family_options family_id="STMicroelectronics">
<option opt_id="ID_JTAG_SWD" opt_value="swd" />
<option opt_id="ID_VECTOR_START" opt_value="0x08000000" />
<option opt_id="ID_RESET_TYPE" opt_value="System" />
<option opt_id="ID_LOAD_PROGRAM" opt_value="1" />
<option opt_id="ID_SEMIHOST_CHECK" opt_value="0" />
<option opt_id="ID_RAM_EXEC" opt_value="0" />
<option opt_id="ID_VEC_TABLE" opt_value="1" />
<option opt_id="ID_DONT_CONN_RESET" opt_value="0" />
<option opt_id="ID_ALL_MODE_DEBUG" opt_value="0" />
<option opt_id="ID_DEV_ADDR" opt_value="" />
<option opt_id="ID_VERBOSE_LEVEL" opt_value="3" />
</family_options>
</debug_interface>
</target_debugging_settings>
</debugger>
<envvars />
</Extensions>
</Project>
</EmBitz_project_file>

View File

@ -1,19 +0,0 @@
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<EmBitz_layout_file>
<ActiveTarget name="Debug" />
<File name="..\blt_conf.h" open="1" top="0" tabpos="2" split="0" active="1" splitpos="0" zoom_1="0" zoom_2="0">
<Cursor>
<Cursor1 position="4856" topLine="66" />
</Cursor>
</File>
<File name="..\lib\SPL\src\stm32f2xx_adc.c" open="0" top="0" tabpos="0" split="0" active="1" splitpos="0" zoom_1="0" zoom_2="0">
<Cursor>
<Cursor1 position="50838" topLine="1279" />
</Cursor>
</File>
<File name="..\main.c" open="1" top="1" tabpos="1" split="0" active="1" splitpos="0" zoom_1="0" zoom_2="0">
<Cursor>
<Cursor1 position="2697" topLine="24" />
</Cursor>
</File>
</EmBitz_layout_file>

View File

@ -1,362 +0,0 @@
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<EmBitz_project_file>
<EmBitzVersion release="1.00" revision="0" />
<FileVersion major="1" minor="0" />
<Project>
<Option title="stm32f207" />
<Option pch_mode="2" />
<Option compiler="armgcc_eb" />
<Option virtualFolders="Libs\;Srcs\;Libs\cmcis\;Libs\SPL\;" />
<Build>
<Target title="Debug">
<Option output="..\bin\demoprog_stm32f207.elf" />
<Option object_output="..\obj" />
<Option type="0" />
<Option compiler="armgcc_eb" />
<Option projectDeviceOptionsRelation="0" />
<Compiler>
<Add option="-g3" />
<Add option="-O0" />
<Add option="-Wall" />
<Add option="-fdata-sections" />
<Add option="-ffunction-sections" />
</Compiler>
<Assembler>
<Add option="-Wa,--gdwarf-2" />
</Assembler>
<Linker>
<Add option="-Wl,--gc-sections" />
</Linker>
</Target>
</Build>
<Device>
<Add option="$device=cortex-m3" />
<Add option="$lscript=..\stm32f207ze_flash.ld" />
<Add option="$stack=0x0100" />
<Add option="$heap=0x0000" />
</Device>
<Compiler>
<Add option="-mfloat-abi=soft" />
<Add option="-fno-strict-aliasing" />
<Add symbol="STM32F207ZE" />
<Add symbol="STM32F2XX" />
<Add symbol="USE_STDPERIPH_DRIVER" />
<Add symbol="FALSE=0" />
<Add symbol="TRUE=1" />
<Add directory="..\lib" />
<Add directory="..\lib\cmsis" />
<Add directory="..\lib\SPL\inc" />
<Add directory="..\" />
</Compiler>
<Linker>
<Add option="-eb_lib=n" />
<Add option="-eb_start_files" />
</Linker>
<ExtraCommands>
<Add after="arm-none-eabi-objcopy -O srec $(TARGET_OUTPUT_DIR)$(TARGET_OUTPUT_BASENAME).elf $(TARGET_OUTPUT_DIR)$(TARGET_OUTPUT_BASENAME).srec" />
<Mode before="0" />
<Mode after="1" />
</ExtraCommands>
<Unit filename="..\boot.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\boot.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\header.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\led.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\led.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cm3.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cmFunc.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\cmsis\core_cmInstr.h">
<Option virtualFolder="Libs\cmcis\" />
</Unit>
<Unit filename="..\lib\SPL\inc\misc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_adc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_can.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_crc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_cryp.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dac.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dbgmcu.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dcmi.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_dma.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_exti.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_flash.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_fsmc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_gpio.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_hash.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_i2c.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_iwdg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_pwr.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rcc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rng.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_rtc.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_sdio.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_spi.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_syscfg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_tim.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_usart.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\inc\stm32f2xx_wwdg.h">
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\misc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_adc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_can.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_crc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_aes.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_des.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_cryp_tdes.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dac.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dbgmcu.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dcmi.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_dma.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_exti.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_flash.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_fsmc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_gpio.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash_md5.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_hash_sha1.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_i2c.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_iwdg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_pwr.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rcc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rng.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_rtc.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_sdio.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_spi.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_syscfg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_tim.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_usart.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\SPL\src\stm32f2xx_wwdg.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\SPL\" />
</Unit>
<Unit filename="..\lib\stm32f2xx.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\stm32f2xx_conf.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\system_stm32f2xx.c">
<Option compilerVar="CC" />
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\lib\system_stm32f2xx.h">
<Option virtualFolder="Libs\" />
</Unit>
<Unit filename="..\main.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\startup_stm32f2xx.S">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\timer.c">
<Option compilerVar="CC" />
<Option virtualFolder="Srcs\" />
</Unit>
<Unit filename="..\timer.h">
<Option virtualFolder="Srcs\" />
</Unit>
<Extensions>
<code_completion />
<debugger>
<target_debugging_settings target="Debug" active_interface="J-link">
<debug_interface interface_id="J-link" ip_address="localhost" ip_port="2331" path="%Software\SEGGER\J-Link:InstallPath%" executable="JLinkGDBServer.exe" description="" dont_start_server="false" backoff_time="2000" options="2" reg_filter="0" active_family="STMicroelectronics" gdb_before_conn="" gdb_after_conn="">
<family_options family_id="STMicroelectronics">
<option opt_id="ID_DEVICE" opt_value="STM32F207ZE" />
<option opt_id="ID_JTAG_SWD" opt_value="jtag" />
<option opt_id="ID_SPEED" opt_value="1000" />
<option opt_id="ID_AUTO_SPEED" opt_value="1" />
<option opt_id="ID_ENDIANNESS" opt_value="little" />
<option opt_id="ID_RESET_TYPE" opt_value="0" />
<option opt_id="ID_VECTOR_START" opt_value="0x08000000" />
<option opt_id="ID_LOAD_PROGRAM" opt_value="1" />
<option opt_id="ID_FLASH_DOWNLOAD" opt_value="1" />
<option opt_id="ID_FLASH_BREAK" opt_value="1" />
<option opt_id="ID_RAM_EXEC" opt_value="0" />
<option opt_id="ID_VEC_TABLE" opt_value="1" />
<option opt_id="ID_NCACHE_CHECK" opt_value="0" />
<option opt_id="ID_NCACHE_BASE" opt_value="" />
<option opt_id="ID_NCACHE_LENGTH" opt_value="" />
<option opt_id="ID_SEMIHOST_CHECK" opt_value="0" />
<option opt_id="ID_ARM_SWI" opt_value="" />
<option opt_id="ID_THUMB_SWI" opt_value="" />
</family_options>
</debug_interface>
<debug_interface interface_id="ST-link" ip_address="" ip_port="" path="" executable="" description="" dont_start_server="false" backoff_time="" options="0" reg_filter="0" active_family="" gdb_before_conn="" gdb_after_conn="">
<family_options family_id="STMicroelectronics">
<option opt_id="ID_JTAG_SWD" opt_value="swd" />
<option opt_id="ID_VECTOR_START" opt_value="0x08000000" />
<option opt_id="ID_RESET_TYPE" opt_value="System" />
<option opt_id="ID_LOAD_PROGRAM" opt_value="1" />
<option opt_id="ID_SEMIHOST_CHECK" opt_value="0" />
<option opt_id="ID_RAM_EXEC" opt_value="0" />
<option opt_id="ID_VEC_TABLE" opt_value="1" />
<option opt_id="ID_DONT_CONN_RESET" opt_value="0" />
<option opt_id="ID_ALL_MODE_DEBUG" opt_value="0" />
<option opt_id="ID_DEV_ADDR" opt_value="" />
<option opt_id="ID_VERBOSE_LEVEL" opt_value="3" />
</family_options>
</debug_interface>
</target_debugging_settings>
</debugger>
<envvars />
</Extensions>
</Project>
</EmBitz_project_file>

View File

@ -1,9 +0,0 @@
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<EmBitz_layout_file>
<ActiveTarget name="Debug" />
<File name="..\main.c" open="1" top="1" tabpos="1" split="0" active="1" splitpos="0" zoom_1="0" zoom_2="0">
<Cursor>
<Cursor1 position="0" topLine="0" />
</Cursor>
</File>
</EmBitz_layout_file>

View File

@ -107,6 +107,8 @@ typedef struct
#define CAN_BIT_FINIT ((blt_int32u)0x00000001)
/** \brief Transmit mailbox 0 empty bit. */
#define CAN_BIT_TME0 ((blt_int32u)0x04000000)
/** \brief Identifier extension bit. */
#define CAN_BIT_IDE ((blt_int32u)0x00000004)
/** \brief Transmit mailbox request bit. */
#define CAN_BIT_TXRQ ((blt_int32u)0x00000001)
/** \brief Release FIFO 0 mailbox bit. */
@ -285,11 +287,28 @@ void CanInit(void)
****************************************************************************************/
void CanTransmitPacket(blt_int8u *data, blt_int8u len)
{
blt_int32u txMsgId = BOOT_COM_CAN_TX_MSG_ID;
/* make sure that transmit mailbox 0 is available */
ASSERT_RT((CANx->TSR&CAN_BIT_TME0) == CAN_BIT_TME0);
/* store the 11-bit message identifier */
/* reset all CAN identifier related bits */
CANx->sTxMailBox[0].TIR &= CAN_BIT_TXRQ;
CANx->sTxMailBox[0].TIR |= ((blt_int32u)BOOT_COM_CAN_TX_MSG_ID << 21);
/* is it a 11-bit standard CAN identifier? */
if ((txMsgId & 0x80000000) == 0)
{
/* store the 11-bit message identifier */
CANx->sTxMailBox[0].TIR |= ((blt_int32u)txMsgId << 21);
}
/* it is a 29-bit extended CAN identifier */
else
{
/* negate the ID-type bit */
txMsgId &= ~0x80000000;
/* store the 29-bit message identifier */
CANx->sTxMailBox[0].TIR |= (((blt_int32u)txMsgId << 3) | CAN_BIT_IDE);
}
/* store the message date length code (DLC) */
CANx->sTxMailBox[0].TDTR = len;
/* store the message data bytes */
@ -326,8 +345,18 @@ blt_bool CanReceivePacket(blt_int8u *data)
/* check if a new message was received */
if ((CANx->RF0R&(blt_int32u)0x00000003) > 0)
{
/* read out the message identifier */
rxMsgId = (blt_int32u)0x000007FF & (CANx->sFIFOMailBox[0].RIR >> 21);
/* read out the CAN identifier */
if ((CANx->sFIFOMailBox[0].RIR & CAN_BIT_IDE) == 0)
{
/* read out the 11-bit standard CAN identifier */
rxMsgId = (blt_int32u)0x000007FF & (CANx->sFIFOMailBox[0].RIR >> 21);
}
else
{
/* read out the 29-bit extended CAN identifier */
rxMsgId = (blt_int32u)0x1FFFFFFF & (CANx->sFIFOMailBox[0].RIR >> 3);
rxMsgId |= 0x80000000;
}
/* is this the packet identifier */
if (rxMsgId == BOOT_COM_CAN_RX_MSG_ID)
{