From e7a6dfe39d7148e58db14ae9a4cc84c7edf961ac Mon Sep 17 00:00:00 2001 From: Frank Voorburg Date: Wed, 16 Aug 2017 11:20:34 +0000 Subject: [PATCH] Refs #363. Updated GCC Makefile support in the LM3S demo programs. git-svn-id: https://svn.code.sf.net/p/openblt/code/trunk@336 5dc33758-31d5-4daf-9ae8-b24bf3d40d73 --- .../Boot/bin/openblt_ek_lm3s6965.bin | Bin 24760 -> 28980 bytes .../Boot/bin/openblt_ek_lm3s6965.elf | Bin 265571 -> 529948 bytes .../Boot/bin/openblt_ek_lm3s6965.map | 797 +++++---- .../ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/makefile | 285 ++-- .../ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/memory.x | 53 +- .../Prog/bin/demoprog_ek_lm3s6965.elf | Bin 118589 -> 326616 bytes .../Prog/bin/demoprog_ek_lm3s6965.map | 425 ++--- .../Prog/bin/demoprog_ek_lm3s6965.srec | 1428 ++++++++--------- .../ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/makefile | 285 ++-- .../ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/memory.x | 56 +- .../Boot/bin/openblt_ek_lm3s8962.bin | Bin 7825 -> 9504 bytes .../Boot/bin/openblt_ek_lm3s8962.elf | Bin 162292 -> 394196 bytes .../Boot/bin/openblt_ek_lm3s8962.map | 450 +++--- .../ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/makefile | 226 ++- .../ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/memory.x | 53 +- .../Prog/bin/demoprog_ek_lm3s8962.elf | Bin 85756 -> 286552 bytes .../Prog/bin/demoprog_ek_lm3s8962.map | 314 ++-- .../Prog/bin/demoprog_ek_lm3s8962.srec | 852 +++++----- .../ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/makefile | 243 +-- .../ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/memory.x | 54 +- 20 files changed, 2756 insertions(+), 2765 deletions(-) diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/bin/openblt_ek_lm3s6965.bin b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/bin/openblt_ek_lm3s6965.bin index 3cd8926849909fe0bc60f1fd4137191466035f1a..58d4cf23265692b63f52a49530dc0ee2dc0a79ad 100644 GIT binary patch literal 28980 zcmd?Sd0Tj%f>;0%~Z1sVgbP zv7(M3>aeH-R2>v&q=mj?1{s)F9d&f(n}9PzLB}h&QkPrWlG`o!_c=EyGS0le@B9C^ zaPHZk^PJ~AXL-(f&dDx;2rsgPEMWfMFvfP>d`m+~fOn_2^5w5}6F+0I_>9LtVu(QS zx8!a@3~pol*jB?lgBEWn=e%6Ncs$NcYy-jLUl|>lbIA#sRze<{emJk8eX@xuXXRt6 z;rKVJ0jFJG&d6+^4&OHw&QvKo1V+w7EIHxlPBI|5U}#svohmDTKETS|1A||Z_ID0f z_B>WcOkPnh>-uZOgmRs{NtqEKduO#irx@(%vN44Z@)8kP7$70cJ$$Re50DcI$|U8! zxzska$CVR{U$IU}Fze>=@;?SM1B{tJ*h?d1)fx!UAGSra5H@5R)ax5kz zlr&E#pHzr7*q()4-GOe(;Q=lo>O>2a{y=HJENVG*Y=U#{*9`jhQCmG zQMGbGPOj>E$k}^FEy_4S1V)%~+|W+3;y^9W5KS4P)J8eRc4B5s281us!rxZa@ZZ$T z0N{i_SHY!uf~b{+v@^gLBSfR@Pl*c>c{5K;*8oxvIBfD6HT+lPJfq%n#3eh38s4v3 zlhyF^>f7CM4q8XpNc)WXRht^#sV22D=mAd)h9VMr7~$L0q#`y%@p?#2$fI_nO3Tp& zYjVG$jM(T;Qcrnvnoo15GQ}4JPaB{ic&-C zvaP7kr>Y>b)70?CDtkk(R@#j!&EZxVK{-ySIpG7mB&1!ea$@EY0j}%Rp`x+D${w<* zNX$^!L^WKZdK=WRUCkHS8+tT2g&Ld*+BZ)naxT%K6^MnE7z=1<$rOEnO?h?%_(?ZN z#u#`emMKaMVI%@xcSX(wD2|ld+9LY`8B(g4hVbf0 z!p*XAIi4h??^91d!Jj&)_a~jW6{3ve3Du#T(WE5~A zHfJy|hRy7dS#$9z*M)>Dc-;)V5<-*3Oq5`bWFCuP98|+k0K;)ox~vE9n8aTqE+aBo z90S`JX$}l(X&$(O;pNbfi6Cx6#Mm08Edx9|hN5`-VtD$1r|&om6Qx%aSBE^Yad!+u zhg{HTZ-3};hL~JHF0qZDe3dGvkWqv%KZW_>Ux569d_X$LHw5$>qP+f{pULAtC8l^_ zaY76E(r?5ZtA<%{29eMEpHql^Z#-i&f>-11m}v#BlQmPm9m{h)_ot`3n3BD$#Y6HW z={ZFwJf|cWY04FZl~Y)@GnB^3dM@3?mYi!tZp6h2PZG9sM=JV&@FdIjII0s|%#`?9 zY(Ljc;k+TO(x}X*n1aOgI54ZzFniul`%~Uf6u-8i8388mC9OAP4Kde$i@s&gClfCN z&jfo`ywS4LL7_d3en4xt5HKDLMe{xd_r1XitrRvTHAr);Ae{Ul_neZ5`khK=N}f|j z2v5c{Gow)IP`3p8o84O(q_Cew-7-5b`3hTlEx360bR1tTQen+Hwt||111f|2$Kwx1QYGqOsr=! z=-G_cvoV)o=Hw*WL%?-&b#(TQ^$*Mq^XVM<^#J`oHDILtxX_5T!b68=W8D3CAjxzC z#>TF`8|H7;W^rC2nw_oCS(cHD6e9okV06Ycs-qA`uK~>o zr22DTV4$bxXzzoST*n#HNQC^c&z75H<2pJ_Y4H7CgUN&pW^lBbLSaG#GdOQaFRk|w zGdtzzz@=_xmTK!eGo>oPyw?^d+vDb3EtfeZ`Qt?RD;#3^#8o4h2;+9^A=F7X1!gM7?PUG8J?(D|5G&>79 zj1~%IbmK`aWMtzB%}#G*N@#d$Bdggdjl5=$Xf$YcVxv*B;~NFdj%##iwyx2y*<9mq zH8@NRj_QxX8;aQjF}p8jhhuhc%h5>+p&H2`%(v|1UKAod0pn?(jdR+5e!WAh0V)4K8 z*J=LtnEyyDeXIXYEnJF)|1)NP6tmC9>@&b!0EPbUuh!Ck=r@3Fr~Mwy7xLex+5ab& z`Y$p2{h0k;%#PN=A;0avdMx;V!HuzwfrNJpks)yz$r6HbmI(0%>L*54fNexL##KKd zcCwJjNNr~v2xkzVO=N-kk%;HDyhbjQ+C~9Egsg=7MGD(Wb0Wm3mj(mezc z4Cd**ObN4-*_&eP>mUdnBbifD+=~^nkm_w|d#jswas7PHoQpj7pK_#oEf;w{!$T$9 z7ch2_&r=-lUKuBUt6})eW8iH*TKEF$Sd!F6HIHMI%cyWPfE`a88e($?x&& zMr$Q9Qoigj%jh9@#|4w6^olfZ8ss`%2=fQUxRls>LZ%*4g>qNx++(g_wOI8XCsK-o z5Y^{_?Srus;=ctpM^45O;&3daVMpsg_qvKyFDYQpGO~|lBu=)oLgCCHcc!}4SZECX zAIVd=BIql;IcP6*$nW{*Ua~K&m9Pd*TV1|TF_Ri;m4=s8=?+#^7`;^$tfLKWCkB5C z-%sKDY55i>A6kx7Pqwjz*9C7u_$>%uhVW}bOSEuOI5l{4`SsFGF<8aH8nGfibAb^3Fr^y zY3vks0INhfmu06M9=IgOOIm0wjG3~C(9nz?e97%7^d22A!9fY70u@dLLVyR6->h+IJ^PdGcm1ry|{> zlO}t|RqS5KPvx)Yr)S9bb8ILJZJZDw6T3oVD#jphriL2>+{nc9p;XC;U5P1P9$2SV zXx}UG{B#P3O#C)90<}QdHN&+)Z_(uH;d&6@PeSM)uo=`zfIVEV8SP1;PNb_%(|Wou zx_B@TL(x)ko z&tT;CpNpi+sN-sX)%GU#_YA(V$!_24xq5NC^Wce5B8z>mBJT$a`I4!*EzZ7=k2o!7 z|EU-qFLiOr^<{}6u8T?jV9E2@l@~LL7$x~+UeaSr`I1!Wr7jlny3kLvKUk8NK*QoB z=7uBap&u;aOBksDvg+$a-AO)nIAyG%9hV~Ydz{S23!T2X_M->7lDVXY(u;iQ zeEO}?u&ko^d~iz<_MbB}e0DmsgCFL!I1@s*W`D4fEm?4@>!L32{gtkZTq#$TEIoxd zeQ0I&`zzybExpKCxGG*+f-olZ{z|Tju{6~fMatJRw7tSOtbMrPttNVAuxCIAnS-DD zR`=W`>#B!kCmA$c`{&!%M$@Nh>0dI@7TPk9*Yj|RMIHqnf5nu9kb4F?vHBmyUT8}% z?erXiJDJ7&3;E-ar*o|Zt9|%f*`?pq06OF@3Y+dL(p}4RK&Em)dTJUVEg8rICv01v z0{4vrmWIa_-d=;R&fbEr-hKh!I6JdfgEGxT0GQdU|-Pe3!0yXqxWfYBgN0B?Hi90jWHNcm?%#CKtAxxTTg2p=_ix; zj~thFXgks2{+hMv@@&kTQ^W$uP$YT<2k!DKVJOPo`Xcwm%;n|EtLq#}74_ zl|A0|^rYf1{VjmWqzr_HVVuiUHosm2LIbN?f*8NwhQ6cVLqU}XB7C%tI6uy*QbNh zNBh`Gd`D%^4y_0Mrcc-FuNegzbHTqUB+p#RNt%6;XR|!I-mQqE`|*EMguDzbPRKK3 zC7bQ>9Z$N05Jl?)qs1JQGEI}i)l|Aq-`ICw4r4QP@WuLIQZeN<&LkpyW1nGCVlZJ+ zd@yNJTrhr;E;zomvWHzi8*z8^@xA+MMr*iysF3_Zlt*pzMICVAFY${xx%gczxWn78NMqISK>{wj(a9r<;H93I~6>I)Tt!`Et4lY+TS`PPpHM~7SC6W7JZ^def$Zx3heB_tv(N2A??v!n9 zsVs1|noR~yvSHq(?$5~4fy+J2)C=)ztKaG_<6o`*zM5DLRndGks&bstV|cAJyuOXn zN6_fAbdC<8LP`=&dgu!EYC0xq={C*LBi#lqoe?{bg4d|6%7)Vp^LDSsKksQ! zZ;e4^L-`(hf<#wxKeUgerJQvW-MKAS_tl>4K1qzx_@jhUMPbG!y3Vzk#Q8a-ARI~u z3?o)Jn2XGedpXYBtvG+;+!6CxU*>w&+@iR!Z$QJSUIwvPaJqI;RV^2MjO7Kzg|#=0 z)4NrqC+4j6%Nmxg-?RSg`m8$rRe25VRI>e0JNGweX$=*7R?+m!kvh)Qf%MDk_S6}! z%5xoP%xh_#-aV_BSPVH4#lkToiqUoUTLC+(!a%Z$h z^N{@f^{dwt`L+Ii>px$gU$?q0PRm34IfXr=oyboQWCo~YeIG^)ocX9D<}>;EK3byD zV$n*%xi0b(c~`%L@6-J!yW<1_uwJZ-VnF0s$Qy;H6I~5N{#idWW2=+<*bKOph%?Mz z)3AS?FpkL88a>xG99Wm`YFV|mfhnPs{Q)0dEJOaEApb+L{Kd#$^dS(?_ZNWCx@PKbVV`)lro8cqt_@&#Va1K2Z+Y}cSlh&_;v)iTO_BUaMi5mwW{R_s`p z=-Rnz7`CB(jyIa_)mXa!ju?(}S3x#tGz9<1?UDZ!{zx0a{7v;`bZ+pIZ_lbGrBt9? zyT?blIohTy&VflQT`ffY*x!eqP3aXs&N~`Z=+~%WqArw5D$*L5LQm+uS<`IdnNPmr zDOIb_J8@y%Nc7zk_lyn6YXl4Q41tBoTHA%cXz~s?}3Pb?*ox>_-=?~ z;ae3Mjqk!p2EGMqD!!tcjPI`_iTK_H{TnsBS=HgYE5b^G0DXi=0(5ptaca+oD3@9z zdiRk&mys0cKh6{x=oFP=Ye^0JG`^4amq>V^zx+s1h0$N+e)bXUOL%Y=s%&+Rz>LAk zvy}1fvCZ$da`NvZkuVt`X0toXpDLM<)^S;nGe%k6>WqgLz|08F!<#Lwk?^5|q)5GX9F(kmLQ#R8sUc zbW9>Dl}>j#^?;GR*jN&WT%3G*$av-KsR4cSBb-B>f!P4Jp&fPVBl4#zbYgsI?o1Dn z&%vF&&}dJAkCks!liihOPU0W2vf%E3JZEZW9y{A*hy{N1* z>beBN$>#?D>w|GxE;c1D7|p@EGrc@od4I&Hl@ml+AHo<>-aQc_uOH-N z`kspC>&S-_m`$4PKa6hD=F9QEh(QD{$--}9eJ+H&ZFNA39eG;uW%e|BO&2Bsg1T*bRU7ltKrX}1*L-~g&O`u z_4tUT#V3d}jw|hPA{jqb%#n`Y%E`NitXIRhzO${R%g&x^8&#Ngrlo5p&M@@_DIM{J zOvg2|&bC>Yb8YFakJ^~&m)n?0|LhP%1#5|@LH*4-&`&o{k8{SKDw(Z6!&xG~EQxqYBHmET5*DI(KK zC5|f70@S;upK|xBfW}#gyv)ICgul6wz3oF2e(N3vZ>7XP@6DL4Nq1H zJHxXNt|E1oH`6u4W0?9?j;`&-fK^nEQwV}8FJ-4P*PLi05}ELtv*#R*p)k^8Fj7>) zM`0uY2Gqr!@&m%-1g(*cen2uqXXQFhNcNAk>bb|7Rul-fDKd}+{%SOglfIfV(5s`l0v#2x8pgrV>Y{l4S-;d`}zAHFYZ-hEg zuJqg_Jy3B<@u%K{J>e|Z4ks6~xHeTR_LNC=fOTX4CVbufn{HgcV6y1-Vtg60i$h^& zKaHK;KUw?=8it9H*6f3@<06yCQH?_`(mKuxTh-DQ^?Q-Fpug5@^%1xd#{%E-M;Ba`*vTIS3o_E^mzhS_>cF|di=I84`q)) z*|}QT7Q`Ix($#1^W#sbpL>5sOt87cSn(KdbzyCt-ZFDk^GtmPxtYl9=p zNw2>y+RmvFoz~99p;5@?@1yO!zK@P^LF8eyJajzrfOogTIO2%#D(s^$Z@@?}2E@~` z&l?ZhOUPT8Z?a*ZgqZ=e5{5wg%kl9wDC5Sy>GMs{xq*%?w3&v&VqXbDOZ!T0G>OkB zO^g~IF|`xS{=U`QeIaxomxuQr12_YEmHn9Wj_iKtD>QaeaE! zBDv3mTHJ|RKr#j&2#7KExGqN>i+vd_&>iVP5=R@g4W0p0w`UVN+Vr`cqm_no$~3no&9(r0HNjf}!)m zZG(efVty9ss^bX-T9{&-q=uIaa`4{@?Q;dIqD&Fy^FzMC?by>_obzlODZCW& z9j9|V$r}SY|8#)wbg?^0QpM?84mp$0z{hMOhIt9DMc#DRb57kUgpekZ=*qkob2@aD zQ;IHhP_6JHjs6sl5pZV4;6U@&HTK>^*dL;h9wotBhI4%bEoOSg^+P@?U*-HLpDva! z-F1t1IA>=E=2|VEq5Y5D25xbH^X_)W2XTjCETpG8HT*Di$)l?n%|0>lYkAI=!&r}b z)$k*#YRwK(oyQxzEkxhR8D_awc-h~SDg5=R{kJAuh zrfx3!qJt5BxH*E`5QmR{B{x#7gewZj~(;+mDoZca`aabI@jV zPf#xgTT8s3WleSQQ`PX#`%A`M$U4$XHpiVd^pf>7&3_@y!d8CVXoZ=@!!Xxh3^CYo zqdIg1{g+}*PBc67&T!Vej`V`OGgP0Mu6gv@Xqb(DKu5)?s^BWi`OR2n+S}bvhT3n+?kmQ5$@R)-Az(5f3$VT~6yu>;1#N@mmqqnicen zVP>e|KfpaInAf9fJCvwTr9Na6r-&wPELsbFg{Y5?IIF1k0$mSkYrO_4|wd#XZvl6uWmwc({zm(Erf&?db$?j#|J>FE;S(vQaRM&EtJuqi$AI)6h zWM6TDJ9tz1C7Dp2j;Pi;r`;T)zegp*#@TuQ$d8cwx>A=CQe6Xsy2 zhw=Rpr>CX#TiWD{PyQpq(#68oga+2f*qO=9tiDh*J$y3TXc(Kt%;baf zad*T7efyqZrgrL!I!cV4Ye;OxNpuJ|JLa<2me#Vfc02h~rH}a6K;N1GBCv?gF-%t$ zTJA+~b_rx+T}aoJ0snKV>mn$o$s|-4VMiOUrE5`XDn3+@#B1sHf^%qJ^;6kHOW{`+ z9=pClC(qWc&EYyrbzJ8|I%Yh{OO-~uGjE-N+2n3@dTV52))}iZlITTd8gz&*tM$7u zn=n(8iaI-H;qHhd#TblCOg%#^NM&aPt!Mt*_g}(K_}36Z^>ryOJ4APVisk(}%#roz zDH>*FYJ8Vk0-W?*=g;-|A}#efXk*M!MlEEfCjq+xk>WR59T7m>Mv4u_;vZ7k69e;P zNBqMI*-rInX?gTsi%z@okZ8s|231#-b|yn&rxSCM#K{c9bh`Kz&|aY&w6&*s>Q>pr zGgDFu<&KhOV!IfM1FxowJk|8?vNP8fFdb~yHO%rH_8^BlFNW;A`0qmxnm7^KZSc`? ziYeLYWKVs)QYh#PEz7pK;tF+V*n>uwQ86SjQwa9jGJT0+T!Gv{?~Wvzh~+HfyttS< z*Ty!aV-FpCbZxK37mU{wpkonq+>tLqOmE1=zQ;^kI5g9ii2Z^2m=*1_;%$aA2e6B_ zBEU>xJqg-4>6=UQ@e=()p9&xkp3T$*8>E**OoQiSqEx&I@3KTx`|H!WQQ&0dLB4w;oQ$oQ9nj58YqW<(#}! z)c6WC{_-89h{)E)sh&7F5@%_sZ>3loG>REQ1iG!+jYjMwIOMEG%#febGmWp>JifuO zI7F%Hkd2MGtf&M!2yAB?^2A~CDZ?u;@G}bVYkzM2u`$-ODFt>f%v|sl5e3vd1vPvPVWkMG9E#H*FO0eQt{dQT4RIpf+j!m| z-$`({BrENg&Xe+P|B0;M4fOU>*iZW%mwVSg?qtp|6Nto4sMLIUC`~Ssb-6r(49ml={n0e z9dsRZor`t4OX|qiJC_#MoTj{Fsk-3t;{=8)E&>z}pnQjY%<-Z1+1mOiVmOA@Tp#7M zPY0u%HX14INcqWN9(c+a;(K*ipPm^s!50so9=_0^P{sGg!S%tQgH5A;8xo8UZ&utj{4f&&V{FvFo&?V!XRh6Cdk+-LQLmPy2)#p z&ZXL2xoNl&?h%8pvBwp! z96#BeNR;C=b%vJO7!i(n2Q zM`}A;xy~h)BUN!-cIZtRc#EsMifFSO`)}XqT=(zc1Z#MulqtBUqZDn>aB4KL#`p_d zU1*0TXa^r{2Ll7@-D0A5!Sv`ScZ3XGO#Hju1_P+fCkAz$25zTf7iKYB&*mC>^DMpe zTpN)F+77y!6}z3mNnHUTEYoh_E!1F?h2HBn#Amd=(;b&)0RP8R2zCp0ir?wx%vq%` zyB+~Vn$s@P(lbzc338TSX}dt16|HyA08NvlrTH*b4V#eW^}qz#H<#*1M>g1}7P)9o zSq7=jH|N??>aNl9@De+og+Xt|2u|l@t^Gv3w^=L*^m-p?t*?E~nS6?#OuZ6etYQak zmz#q1Xp}h7=H63i8No%DYkr}OwbxM%tnH+r8`Ax`9 z=ej8-t(9GnKpcVSO&+rtl|g1AtUl1=DXFciWoPbmGN+hXB~>N&P@RO5^@1i}&{F}* zcXUN__4$8M91x}NHAcjjjoXyj6@a<7t8vZ+DYPbPU$qAH`$np?txMt?I{Y@b-4TFmS)w)^)mj&AXi( z*IoUVlCeFSZ)5+ys(jk-45I4`t9;v?N>p*kc8sQMlnir zUThZQylCV28$~{UzC=fiUk+gZ!0HZtTAR>73dP|2_j2-Vu4Vn_g|^@%7-)bHgEe}a z-cgnxO0kU$QH^(faECHtJ1d`HEwwe(qr^7nn2`Iv-DR1feQU5@?LXYg+;F)qLmD42 zpp>ur8_UK}?c|c0>XDK<9&+t?Jq!=S!VqdS%)7vELSTO_DR?b}`)BzhT4_sKwLVDY zSe;j2J7-NRdJY%FJ{g^xv2r|y98})44{)L{aK83)Q>u?KU-X%4pTXVjDCD;w#S!bp zAdeFzR=b&wK3&;#STAl9Ls)q~w1(ta#M`pRC>+VP>N<+CBev3-04$hm;ydHmG0++< zwkg4tw#&iMQlyAnI`3ypIOkXWfQws}{>@hX8Ae(l>-jy$Tz}P~=mbno(%C zO~{ab-8F~X+cw6=pJDdWTY=2Z1cX&-VLC00L6|W6L|e%kPD${&#e^;$5cOi|8anQc z4mN>f;&D5e$R9=)wnmB)&J>Q>zLB=~ud*|niM1;YEYO0r>dCe-)`T;1ExFGCDb9!$ zreQ)H&gcZJbPr}WZDgh-iV3z4JEjH>WfIfwjor0l@8bdqVj;?0T-RND?p|A+T$^o= z;9U!=N3P9;>#TavFV~KO>u>5;evHp_ziw_L#s?~EN5X$r4<6g4kcB^zwkMFhu~IJN zS%)hUejT#UX2{!?GkCKh5+~}=M%O~}Ci2?I!?^izjkpx|m8q1w%Q;$;z(4H(Ozjk=EXVlTy(8VQp>lAHLDptJ4h2;=G763g|AV;YAq3SJIgeV+$SID#iV^4vYnb zeRMr?Q|R+r8_wJ+gJ0jv$g|l4>p!>g!Bpt!>4PbSd~8foCzMDjg`+WcH5{RP1p469 z7~MGe1ZS?TuFe&YIdej5F}jTl6##OrdZv|`t+tJkCgAiE>kOr_%p5eWdH-IjwZMlG z&2%^O7Nm+|rB1*&Hv!`u+73n==N=d&)>ndbE*Lh^`60U6h<&k3hW+s!Ixlv=w7X?l z4aJ3h!Igz!%)e2vf{N9@2Y0&D<$aKzn*8+b31;dHI%e7WL(p$D--H|9k?=c_=adwrqIbZEWgBuF zs%9o6O0z_#$h^D|pgf!6|3>@?5YC@l(yMY|+T zwP`mEUNAsc26yA5r%7uDv15OXxy}?UsNLV`N~NtLf)?)vtZO3BUgD5JQEPi#Pn5dB)le)Xf9YoT`M9N zYi(=JVW!OF((5UNaxo5LhfazUIH_D@q)bu$GAh?pe)HCibl#%*B^VN)Hvgm0T0FG4RBY5$K`T`MXdF5NRH&}*cD`WfC!_0QFBSYj5V)uSrBy7dW z{it)v*eek1iLF#?jM+PE$5%KJ>TYF<;-MYGyzB)=@2Wf`K#Xh{FCph2HXf(48~CGi z=V4|Coe5v@)150~;h=St8#hzbzf8H|Rg~j^6s_MIx05@Rva`~ix|7)*cTf#K8o};& zAZ72)zC>^kIjhebC1~iV`YY)uBm|!){X=x57hno5HTh1 zqGMzYUNO?|J?jf#6=@FY_x^JYG0zhz4!8I7;HSx=epe(s2z=;XgMQCxPl~i55XH)v zq6b!#ODWG&+<4#?mp4B^F=K*rWg$+#_uLv=b@JY|)gJ_|#%A@2!u_a$sMWkgD?N8iuayMnb2USY~;cmm^^> zq+W-7!0)-A+WY*L`zc05-sAtmTT^>E>$lj!_=SIx_^4D<`)0R+C54dX_AGjYnVEKR zaY0%rF|g0eN&B^x^Grp1r$+E>xKGl~9kF-r*|1UCSM@Pg7yD}$f`0vjI=VxS@LFks z>$ZzG+wz0)or&D<@iqgbm5%p1*~ArMA*7i-3PT3Mrv|Z0hSZBBikSoBk5uaz+f)_@ ztz=TicUc)jrvtsNnPB5A_87HDNMHEt34AMkEvfwVLWkYl~&dM;m z9Owhj#p1_FpI23BW85F{2F-7s6@>`9n+CDQ^f^Rs04JOSN_!Zjtleoz592 z(!~p&tJ~%$uhDb@+WTMIi0+%?6*n=VfDMhn>L9GvKx<>vxm&X>uAKVoCXV=+IYf7B zlNiB^{a2OQ`y3=E$W2rNpSBwJxR{a_kM=r}_HGsCU-YMwn60l1neR`Ng_LW9RQ^f& z5!HV);5#-<3)30~W5mV58OK(y>AaU>*;#kMi&IH&B-}LcsaL1<^GO3E=IOddfr%uuKxx*19)!-Gkzp|CuTwD z{+Z35Op)Frm$mgnvQ~rJPy>hjf`9e>(8ZAV`!9HLzwi{T5qLF}gB<{3uC5}JZVfVf ze)7m>c5Q22PTN5E5;N<_K)7q0Q+N7aXS$=N>T=fp+B9ju_nrVPaf8Z0{*LnKJy-E) zy|mA>ziP}eGJXcQklqN_1!?+~NG}AkFp5RO$q`1RavY={aQJeGfldOmcLnyE*wd8f zZ<6*`)zr>HpS(Qw56*LEh;#$6EP?+|IDuZ#N@@Iu{^+PPOCp^&Ly{zrB*}PfOykmz zoQL$6`=e1K+DHE0ALYf|D}99S{QuC0w#4F7q%VL2<-quk3+QiAj;=urWXawHAOTiqc!|X9;fERBGjEK!~x^oj-3q%?o>VwpQ zx8_o@#-d}oul-rTVq=if26cN=cjENGS`lIojoAskWWY;BzAns0^rfOPS0Fy8RA_50 zI`8PUdFPve?)l8!bbg!OuM?y3#+3A+{u#q|>~~;JJ~~9Pin_l8Cy_v$g}1Rmyt9S9 zgslNuk_&JA*aH)>GAIyJk>@<-zU+Vw9J&*A62xqr2H`#`aL4$FGk-sN-)QU<61;7+ zRs=u4O!pZM4U)Z#Eg7pi-aZ0%{?}yNe9Y7Yti?x)^*PTvzdlE#kr-2LzNA1VN_T*R z>8_Qr{V8n?j5p|4DY+_b3DV!eM?woph+_)9ZV-n7_J)61DX+3Kw zut8Ivk#+GYX(Kbo=9tLOv!*Gdh#+mrVzFm;GRxnVB^hV<+eS+Qz8R7o-&83J-(<;P z_qQcV3*k0M`+6@d^tV9=qTrp7uCtVT`%787pE7?PA=@FJ8jmtF6I_W>QiHpJ>*Ota z+cI7LwkFKE44|-+cR0IF$AuCb#W-9(N|4WgvO#D|Y&31r|e-=Um%jUwR-NF1%5x z;r0R6gU0sw`4%rDa+-eTpH+)jUy<4{rtYSOWpz6mcGsP1I9q1~-%y=!nm(#;rH3?~ zT47ewjZ8^$MY<1X^N`(oAnj0XD}&@UC(L69xHMwAX%kbTLkg-_O>CF&PNBaVI?jID zR*L}71wD1DAHPt8O>-woW5jr^owEXQZi|wNc4ta(`tD;j7~co4&dVi~;-tV&+y8{2 zJp*r&5gE+?v^`tS>i?q7R9=%1GXjatEFFzdRq7(d>8ELP2s zjLi(>Q7!Lj+%chfp_y`mz99vjEx`RJAksG&cS0Y2r+X*#H>lyPDWRGN@!An~G#qmA zLzZm>w(TK<`EgD6>^9}%1=e~`Xj{c;tsPGLY63eo*MDjoVri^M_fu2zU>x?Fbhd<0 z%Y(H2S~fKRuDTU>hBgs-;0>yws%$lHBZZFOfd_BO*}n-c`TMQsA2bx|g3EH=YhwzM z&*%!1uv1mD$#1UJu*p<1%xiIvq*x&GiZ}Q|?hI$z;ecMeWH;^K1Sw?`tqC(L4ySYP zZT;k{bomdAfs7zCxOe!dA?6iY{-dAr<|TkR82(QE?S0eYX>A@A7ga<6!RQtz>?rS9zJ zq`->i6|J+~=N^65>5#W zZk>X=CT6<xQw-u%c?ga>gF{nMRtrZa5pM8dpdNV8j)%psB`DM1pn^G zmTld$b(p?uDpH%5HBk!ReSzdvLpLxRz1?eGU5?R$UG!j9z?;VpF#Jd<4qSU(tJnNf zZ5-M0;DHCBqujBgg2r=sOvz%@d68DYi4t_7;36 z*lX||Z?`~W?yjMyl}VUXWNxADnvaQj8d}XJab45#FvBY=>y9vm*xxKE20nts7LLN} z5!bL25yKZ6Lrm8U?&8X8C$C*eb%1b36t{O0YIZuUSSQzPY1xtjIM=YIdD~VlYE5_H z-3}jp!E=nr#_MJt>D-d?{yC#LOY3Wyv|R8=p)K&) z>^C($>MRLuhP%lAWW!G9#L)e4Ph9wb`&`3w&ha6kzN-E}{e}7q556C;fJ63Vood_v zz=6&XZjUT(OLe{1wtpkk9?mXuE^d<^XzARJaMpFY?ZQT`GsIaoG3Irkg5|*$aExV3 z)q|)Y;C?=v%l!l*311p;n)71y6EI$xVFtr} zgIguRoP+SeaQ7f|h`q$TeAAnskJRc$sWT0`wnn@_8=VCYHl{AHHq$kZ8h&rUsJ)VH zJt#xH;~DW?~J(-K~~wvs$esw<0dnyj+9V zAg$1Fp*tGi`K=oN8O@8exHS^q2#ay91ZXvzjIkLyZSWt`d{D-K)lz@|+$(K3GKe`@ z%b^R#X{~~B71-$Ero8c4ztKEfWLJnPs&X=)7m`~CJS z(37sfq_7|xnu|18?++wPR^(d|cubmb1>=YP!x%J5MsS`spHU9Pd^Q%oNrL&_F|Z4T z>U-&&bpYQY;XJ+*1@nEFwS;PXCkO}dwF>9)Ex?$0cH@EOM=5NHhAf~~Sm2hCg zg$?qr^ND@N%S?3zm~C*HdKDn4%RgKp&-4_X?S|pwP9bc}=UX`BkiM zT+Ig{sU>+gcphn<+*piz#%*;=aMDo-9174*akh^-h0HLs^ zv@#{b^IM#)v%_GsVpTd05U9z7NtZG!G9Vk#@<*clC+~eJYvh874Zp?h@$`yOKHSLl zF(o7LP14HzvI8$hHN?Y@Jlq~)xN($=^_GaGc&|UfTj$x~fD8-wxou$z8XMxXwte+L?K92f{ z5I3)RAyY!zf!-yIvwhk@r8NqFxGZD)6tsSUjXOik#b^)6QRa!TC%X4;JJRdl%mt}- z`s|?bc!B%uW1u8fj`KozJL2*2+8Vbsl#g3D^e!Iu{>{9?7P0s;MJDfCN`i5J^QVnD z^9)`At+L#JlU7R@n3$Q6DOEi+Eoy)AJ-+p-yrEx~rTtFLq=?dky!r9($^7HcFXY?r5$- zm_B&D`v%}R1~@MFv(ilW82@stkST|blCF)lNm=I9ii~FG@}~e}a>c^tXiH3?H!zyF z0|rwv39T_vYmLvqVfIj-8+!{=vOIeLE310XRx9ZBrlKd0G%ss>6LS8trrddk<}+L8 zHII2{EL^*q<~4UTWo=|k%Qo(*@2EGJ#%x%=L2ur(fj6JsFs5E_US2=FWHe;HLi1?Q zE<;LmFID*BEYB;bCw|`p?*Rh7@yX`ppmbI}=Eiz(Y;$r+y5FwJ+?0lny9l9lr1!K> zf{ygA_At*-x(<&q;45$D8jM0#!wIU#y^7%e77TsoIMYjY&x6W0#BiL3Z#m3hcX4KG zX={2zq9?7vsJ+zANk*J<;SFAbol@M|EzL>5T|b<3mU~i_{a8t z>6<&RRV{qz#5SA?B~VRR`!2i#f}IzXkMs2t${U6^p8t`1)9RWHch}WVs=-lw@v7B# z-tDWq`;OaIuf1*Eoh9|tubn<^QhnWOL>5=yefNfSci%B-L&F9nCF^Rdi&xdvZdzSO zUG?>~fZ%YZpf=gWzcmN1F6NWn&rc`BF++QUkN9suE;n(w=OfLH#Q*pTLQdX_bUs4T z?nT@K#Q)4L?VUd2KSoY|^;fd$-m^q|!;kb6|G_kdOq|S+>31=Xh6k7*m48ot&4zVa zCGdtE4`YCFNGT2%OgYTIroVk%RlOHMT8bkpDZ}?Afclc`W{!if>tLipH z!BzTJ-{qXYgxF^iGHFtA^#9_!H`djxF222X-D;X!tgXBAXH|8pg^j*dRU2005s&p7 zSJ!V4R;}KE)>yqNVFV!*PVHTH2)7TXrJ04>@2*4Ys#S=YGzkk(Ldq5`s$7H&m2R4g zki{E-3eAXG2(&Hhs_wj#`s-;Sch#&$vV~Q3_5Tig!`(3igw=Ib^{a*Ib$8dSt*6D5 z!B5ju_(JtP8&=l~x7Xc$r*J3OrS2Y~YJ*^JpmB?$mhwq5>2R70XI^*1?E5#sQb& zxu3&b-Q{FTVKFuMfWb%Ar?( z^V`=Bx3(QQ+Wud^dp+>`V{aV)!-p4diziBy!+mt-~Zq*fBiqf(5cfO{_XGo zIMZ?V+(#e(^AqXQ^A|q*{EIKY`ubw$H{X8uz1(%FyQlYZSn2B@7#xbI45y2WPd27y zjLOW$NJ1VM$B>#LlJg9+FK0PJat(T8u3j&|w!=8!z9~13EX!S#yCQcYRLpydSmur*J+l$E zzi1Uks_}+7Y8J@+4jCk0lk?;=Fu~{KOLCEPlCQ}3dyV8iXe*P^F8O5B@re?CK0!l69t!uh@ec;u0;l= zWPzD)yjo_F6r~yYzGk5^ewxQEwSsaBV9S?QKNWOc}d z*=^l1E394O}VbL;fB(Vo4ADzr4zT6 zE_CCr(xdd^zVZNlc&I$W1o|<6K@4FSkJX;ync8!_P z^jU$}N(+t45lW#HMj4Ln6iyM!F7ih#g_|Pv<{)`}i6albC# zQ70nF>*G$UCO3JghH9x7`OM}Ef8{CeV4ErBa<1S??&2jp$Yfge$`11aeyORd*v%e& zr5uD0>~AJ{l*jlZkMo2w$)A-CHao}I0{l{J7AkFOs#gf}yy!$lat3u@Llz*}H6P`h}^Z2+wStwvCzn~h={ zWwn;pddW?tTFowku^SZ&+hwIXr%WH~=G^j9U&a0B%kPq3ebn!)P7xh+7 z+7&EII*66l!~LT4TYqvbqImOKA{VQ~JwdFY-ek0UKp|$;Ioh7_w(JjVNQ{-4q=ii? z)N@?n@!SrWVb4HMUK_jFjDg32xekT_ zJX4gN%u2oQ9u4+MU;MPFE728&g9iKJw%NE-lUMF5<8$Sn(4w&7Qi-q)ws+dCGM_&D z1?`pM|FoU7gL(q3`-Fp#qu@6he{~`exT+c7OAC{R>qk{0>DQ~{bXwQz{b~{)vCH;$ zJ1@qHcGt`OoR&51E#%J6asCrE4fQnI;?Ct4&ZyFOKfficAT@M`Zl&v>t1=w%vKb{()+0sB9O8lCx)o@+!4^U)r(E%>G_9#5xp=34n^yDL~eLYx2`df@mCdiwg% zwAOI6a4K5(>JXX1e;IpcV~nkrO7Oj8sM2ZbRjJlFejbOPd0`x`8gO%m z1ZQ|tr8Ca@OSsVM__egPfJ?cGpU3wRd`}rlp_s4tH?Jl9dYLf5L@#BfupwhL-!E_; z&G4BY$&by25~G^pFgU&Fr?B4L{LAtk10kHgg%>HGy_n2OKgv40^$KG&IP&G##i1p! z^R>AKlzk3mH8e+vN1I7rT>E_zq+{FX;Ne(Lq?IMMmvwm0_+HMB6`$0oMaubUMPC8u zhjOEa^Gq-DA>JlWv)e8)6$VF&J>L11Mtf$eJypyo*kUj(F%?ZMeNoKunM#CGQ}I{w zj*ea8mrW_4?|g?v{7auIg!F}|CP)vf8E=>~w>6_F-d-m2tN9xaF75C6KqeiXGO_%Z zX5@@oywEd&*5mkPYuhf$^WK83f7V280fjyk3(NaTD9m0LEsS> z^h?0oLespFR@%qB7M-XPh2T6&1`jQlr-EaxmmWj^mVkZ+XSC!i4fhzFq4pT-$$s~p z8jsdaK)G~>2E8_61WP5wLdSvDOMJjh6qgTme}f=M?&Xbx?r3HnlV)jQIvK&zwy#Ox@&gZuHa4ZzT{a@vdi|9hx9va6t=f(9=>}#x}06MZ#;TDzxFtCcH92TBV2Yz zxpSVibph7B?8uc6R+DzROOVJiYuZ0OC;IhSpLsGCAGUq!Nngwta2X$Wr7cF8TVJtt z(6a0JL9+H0+q)Dp>5rbNix1h}4nCVa{GfZ&A=?|Cmj~rdM{LJD`k6;;uX+-Jg~>S5 zH3g6_(K>H=`4Uap*G2kW1GW(evxrZQalFt}<7$wlBVg7QMeYtG37@WrZ{|$}QUY<{I zuqX6wx2(Wee;a9i7;ie~6n`k%LnZSIXpiN3dm_A%{~ztqxBaqi)z!LV^Aj9Ywg&6? zF4F2k9ZcS%m&e|6Eh;IITcVtt7zM6Wd7_+)NcmL1 zw#%d<$FcA-S^H~EhJ!hKP{W<=(8QkoN)vsiQsM|}om)gQSjXG#Xfa_CEM{GM>1B6W z>184){*M*za=>A{9H?OPlO?h+t0-A=F~Cm|e}aqJ4DQT(GzIcc=) zS7c!?VKiqa6(wJGGjUcM`oZKgzGTV0aEdDIOf+OY$>*JG)yx@Y{;=1GKBA^_wOub5 z9QxeJ4oC*9BzL#VZP(_a19Q@aU?If40&k9VXs}va%Mf29_Ks<}Liui~*Y zGMPD1Vj^SP)ANt#Lrzx2IL^qz)QJ)s$q(+RjBTHgZ-k~W#oDsR8l9uDsdCx4QbIFV}Y3$X0Eyt#7x^$T;&$Om2)Xk#qFk1Jl zNvNA2JXA4WKdqZb8tT5pFZ(%7i!>f^hkpSVhcqqH8h??dn??J=U()@etR88!FGqd> z7mGCDyA=BixCEpD-=&0Kq?uFr!B;9HS|?zhj#EKyqcr%Lwnk8ZYCVr-Gt!aK0y*V6 zom?$cr&at>fS`KPvW1NF?5J5x7Fna>waW z75~CuDaP1#F%Oa;B3R~HJ?F2Md7+$<)?BY=G(hX2rN`?L{#%(3ua@aWnf&WzF8q%& z>R=g_9b8(r7kdcGXPkX6R(e7?t^+F^VXWh8#Sg*WmR=sg2pdMbtEoQSU13_Ef7x0~ zb!}56mH#hYUt7)qo@%KRlNpH}ZLBgtgX^v~T`groDY|2zrDz$-dsGik0$u-zl4;p%z`#wmm80UdzHeKh5 zwgJ<>OM@73}jhxqVG0jMI#+_f#{# z6{GDQIaKAAupu6>>c{&$4r2w38ZcV`bMHuFRhRmfcEL&YvupIs3(iZ$pMDkcQ$Vf+ zpes{4bHTB`CReCTAwiv4`;uC0z@BA_ zcwU~mOY!$9aTtToFm_W%xC~8~K11aZGB{64(J7=EM%WpAm$B$9;;|!I--6aZ_N|Bv z=}Ij6H&Vhzc%RPn&d9*POSZwzcSijFKMrm!<+>&obs~3k_*g&B>C(Bb=%OxsUmj*> zu%47Al0tmi6y8L9>Ee|9%?@H>bN@IpaEY0xqz!fEmWT8!b9u?az0BnIhJ<|6TnyP? z(90Tg=;~SDdY$i{3DUgu@?#j=zYJGm-^X&5LEYqMn1_Qf)=MUE)!TqE{Wq9v^sWLF z-P4%R%EQAZ=Y0~xa#AG64s!$PHr_)ioPxaF*c`OpAIkBU0}Biz0~3F~xsDIVkgl>S}ac@>)3Qv_b}lH!IL=E-H$d$DW3h zK2?s|22a1;uW)pAfSsj>Pn4D}F!hMme3l^eC_RKS#MPrR-#ZWF?CK zh+>XV{4Xik_gfYJ0s02+-zpcI75^S(YLhlY*Z#P`2*me1(swGl4Ax6)|Fsf2mAe!iz|6b+oLGtM3U|FX^ORft(D-y<_+R zJXZ#vw+&Ov)=bdNG`6LP!CN&pp28YKA>qqLLrpHE(>0=Glt~U1H=yiaM-~61k@a?M z3L`#!(M*h@NpvE8R^V!er-VUJBJIj;G5wIc4BqpMi1%Tm|T zjCmpOC#b!SV4nviPfu-=L3<|ViQAxtR!*!lSt1DU|B1x4a`rfsx~``Imd>RFF-mNB zul}UL9$$A(lX+$`_TKTD745|=lM(KXLXX8jXR8^uVcN471D<|{&qLp$e9_3u3plUm zJrjs)Vs20klFfr&U68V==ouFf65`sa{O1uk1k7X9P21%{{S(mwVqT10R*A=KH`JZd z6rG8$TcKHWW*S;D87dld1Ea??hTO--6#wtX#@p>e zyIp9vtBpnYx$RQR1o5#GbmsZoHK1LmhV-9zN2HQVUiViQ8A%)V&%%EO|9*Sm;2Uj3 z@jo^8qAw&!mxE)xNc-_8pHtL>Kl)L#-*XUlnS-)Me;|ZOhs|9$D2G_IUPii!3E`P+ zu8%eDj61;2^*uqDzUQJ3%4+>VIg~IG$FP0dj0a_vo|8C^ks=wU&lAP;<#SAqW`2@y z@y*%JD2ZnhJx>Q~{}pQM2+p3UvGMwwkn%^51yYZ>LGi1{sKk@O|DhJWF+L=?k~o}b zyW+QwX)s!cz2oJcN4W!@nNC0ou@cK4l*26H=tsDom2P6c2;ur{+!G;8E;Dzh^#(EB zA^NblW0xkNo$PAle!wz0CHZNNc|H@yI>t4N(#IDXY-Jd5KYIC|x6DBbImWK>-BjQB ztQ{Gp`D@-9pSQ1%ns24ER`$mD^uV=J^XOpdTjTxxE(lrl7M=Li)w{Lho5Dhyg#uKCGO2YryYnr#2N!%Z){koe21wlm$gPjGcY> zhN4H41OECkl03I4;6E_Nh;#5h4jy_$X2h9@?;M?&oP+nl(QG`gK(1!t{WiFOlV**Q z!57O&zgFddosUU2Bj@+Q9P{W=+vU?*)6=rnLhuZ+Ovf|SQiW%jWi_5Ui&HE#F~^>k z!z}^KQ?mb-glG?QU}Zw2Cn^->glHW~2o&BB8jpC09;NUdZiy&jj|Keh(QrHEHG>P> zCal6URM?Mam~akHonY9A(G*r~R0VC?0_H6#W3ALS9T5hZ(?XI%(B5RUH(AsmW&!Uo zMB@pb-V|}g)=Rbu^!t6PEAZ9|JrP(thjc?j!2kaHXCi^`YPuGBMC&k-x`!aQM>uKY z2$7cHJ4&Y70+IgOs%;_CS>!&0oZAp$ujahas)vq3q_r|9eKbr=CKr)z9OLk&Izvz< zw&;pDiHtBE+?{Ixd~_TEwrLiL?M3jSJ=GzB67Qm9$JAV}7dLDo_j*&;ON1 zq_}rDd%$?k#Tw%_Hp&HntwX&kw9(+&zqVe^7ij8!*D4p?ThlxixQT^I)NxoAuoUiE zzTBd&Q;AAu{o+I~kv2`8L7u;@`0#8}v?dZN z)U2y{rRHV1z`{w*!ye!CIws31U2qR%-s(x}{AVVS-gB!vKgD~S`?KKJdpbCD;sb1*Z?V>o>Uu)lLt$b4tx z+TFgf%py-9bE#((>Cd@|u*;|T*C^F>yKKytM&SvcFy-(DEz*`N>+AN~2G3VHk+QbB zj+5RWqFAcy8aKogG_GA=$K>AWqbUoWjT?w@a=}h$z%eot#ul7vmV0nEwUL-Uk~Ko* z#>S1hAmlu|#@M(PT(gm;UD#-o&_ov<*`f?1p}y!WJHdSyd5C`%M(fw|z&+UaQVZ~$$}+iN>;p0*0Kbyd|(ZsjE1 zFnQ15JG9}ogvmE^IBz=CR9$xxeK@%`)<;Jm3VBsdPP#OtZ&gG8$qe?BmgjxhkX8a@ z*@eI!IUgDi>(%4yi@@7*fnHq{hW(@FJY#YrkM8|A3v}Q=$!(N({u$hVVC{qs6XzjP zi+r>xY_X}1NsofIBn^c?a&m(S%k{bSHf`tlOnO6(YaKs}{ox%tpFRSfPY|>%DmyH{ zE>1c#1m4E}20Fdpb3Na+$h9cMOz2Lei|e05uxIbL5EZQfXM%L4V$-$lLLSvfc+3x0 z#QY9X{NE~C7b`^)#qU#ysmQg{r4_X}E2L*uQ=G&!S^VgZw8_irSgavz8Zg}OP4mnB z7WP#0#I)GXm;2|buQaPOBfCP<8rom(pTm~+GEoifrhk)&Pr(w)fX!TxJ7 z^E5@8VuK5NxKVSHy6FDoartrnJ#CwgBSIX)zd`eJ2z35q4I|Ksz49LjEvb z#M@LD3A*+o!+?Oy5MS`ieArOo*wSC*0BZ4>sD*DXS2;( zPYyz^Ikue>ps6f^#Q_H~B!bP{5>r z)U4`fRhK$x&LZWT{BN3#hB8~(LK*a@wvUOh=rK(~YYFz0wjoG1{+1^Yt z@AisDqKJM)d^!5)f135;VH3269n3|9i0J~BPa!S`#yEk6Y) zJ|6f&gZOT`j&EKN-&cYEj_==B@tqE6)Z_U6LGdlRhVQS}v4;YCM-aOT*mc1E4D0~o zZPf*FH~j*4=@rGr`UvJ|o=BSIHahk=?<+mLB(p9{B#@V9wlSGz%r_#B`74wci^lv1 zgU|iSnEy5y0S*m1DlI3gxntd+^Lq98Sew99WO|G^H9(lak`RyO{3K*}&b! z<9qb6vAbwYQ2g@P+G4s-zdZIUz=kUoc!nx_F5+xOa>8P<4BxDx5+?h~5E~0D#dA1t zPIhPSxL8EG7i{r?u^hm@ z9hik@Q((Nsk+5Y5ttm)38c+#;^qCNA3~;879u8ynnfojGDR+9uBS8UVd1K*#s)AT%RQ z9(znCCxYYKPUT5Icrtb+Aw?=8{0Hy32sa{BAn1`#<<@hEe+D^s0Pla`?Ljz&Fbm%< z4H4eP`z!)6-*|pDYMdV^0>`Wvn~C_W0Db0QeX3_H#jrt-?UA-mZ{z%h|Wft!N zzhL~wrUN=Ykd9|u;0)4ekBUWB+8E$PN9#1C>jG-@UKyjkXQZaljNqsV$Wg}5VYJT$ zM|+xg3R13&vCo?D*RH zK`R`wgv$uOf*!pJ;WmVDtcwZG?kc`NL1n5Srv1%N!fyPRJ9^_L)-bvL9zOeJd99xB z7cg_~9OsVM2UIqAsW%?WgXtaBTuF9mH?hEK%f%ccS^9Kal@4S`? z#S`qXSvbR>L0DN+I+xI>-h$Oj@^-w(VqIc59;z^xy`R5!MC-SuB!P}>|A#KK2X^9 zM13_o!dS*vSz@Ag@;LDW#@1WLetpNOptcc~?r9$M>f1P{zGZil0ha4%nTbzI4egqj zDtisVuRZXSvZUfXFqd;sEnwWHPAxOQ*9y7p2%&ZpNGI82s3U2Ep00-N4bR&8y({Vh z{#ZpXF{ICH3R-NjA2WS+$49p?x$uKPy?@)fb1P>?FH9qyGNAX`$ z+^t5@S*Pl^Ftkit&{l?C&(uLPVuT;4-xqqEhrWF1LVE6p71RshON9|Hw(gNLbN0wt zv=_!mdvx=D+XOG)e-~Eh>56WNAU$e5YK!pj{o9d}sEmKdwzC4oc+LK#6;VU`t*zf>)`0Bu4uums5 zpxJKI;}nm!I#h`KnwaEflgr@>_-Bv(8MYvH+k_??-ej8)v;qEBVa-WiesE+nNuD6{ zI(-w>vOWtekr~2g>r++zdetQ_nYKn&m(6Mtf;P}cU;|}3b+AqFv-O$%Uf3*Bcu4+E zH`2Spg)_cA@(scsdA@~?@yVbSdXK!QV~;FamiOv1c+ln+P+}i+ojtPE{gE8kq3u_4 zzi4LCcgU>!eCHl{f#a@iB(u{~o0w}m>xHIZ*(2Lr`MsLy^EK1AeTI|*LiZWVdm#gX zuMGG$LbBh4dT&H3Ykb$E9nr~b-=vuQg1xqrr~hnd8oAO>Qs4AguME1j+0Nx5PYfe zb!ki}=La>2{W#`xM3zi*#Wa9(a}PAfxVznz3Mf0=o(2 zy=BYF7uM7)EFTR;*;J}shl|U*@~igQ%1&{0YSG&Gg*{Ytzbr zzKKc*xh=W+{)E2_Xw}mSa4OSxd-4mi#X&VJV*Zc!uLD}m4F!8-3;9VSV%!$DlaP*q zFe0~6JQILt7U0f#?;)zo%I3<+83ns#3&uU0THzaHv;Of-lK$E4!A59p+NC( z8WSX!6%c@G8YD^7SCzJ0FkKH-=eEv&;$+{gN&i8- zvE1NG6#poPx=VYD%!P#!r?W)?de&AYADU*ohcSQA^-$GbTj!}y`c$g_^yIQcJrmG=!Xa}6B99-3Rcw&RDJQFsiwb*=}!R1{dH7u zlxz*#N@sGT`)`lze1yij-Jo?U^%tZk&y&P0K@O=6a>xR3$P#eK2Ff8?IaPY!R?}x+n*&MA@`p*+TE9 z1KJS2FNB-e^w$B+B()Faguf1O(~VLjJ1HNfTvIr_*rYExy^?`-CFW}4nd_lkGIo>ADfFoDB)l47A; zSP33laQa4!GBa(KSXsr)I%rd!W-{5%aeiS_-~~zOIn%;`rHL_5^Dq{lr^WWY_dh*U z_5x21J%Q_JUDR4pWvXK5?Y4dE2tCEjH~>Q3#DxkI$nMgK{t&nKFsQK;eXvTq+}~EEK~E#n4)^yDew&8# z8?JHVMvqp*o{dbu$IJL4({J&fk__|^wn|C$&9c14qI=8 z@tvkX)`Cvhs-7d#Tgn3oJH&bNB*H%Xxy*ME-03)vH@j~~A=#hi)r*lL<6Bjj;thec zXzdS={FgVaZn-+`Y|%-SvgDqO^G(C~p5p(_u)A=I$5?&(!5ExBhI%jF;k_d?{m*@{ zYk@0?`HF}5cF~n7x3WT+Hm>osVD-4^^2WE+IA7s?7M!y%H(qI$dQ{knO25&prgjm3 zsRuh#wfLkMB{TE0(uX~FK+h$~O^*FI4NFQVmkzsgtKCM6c&>({5BVNv#N7z3H0onNV-+}wL7G*fHUD7Jw@D*5ZcGH$k8R4 z&v(YuJttzK`8G z)k3ltI(At4h!o} zEuUL$5x>Na|3o0S`ilpN`EKtT&7)^dKJcZw`fP610m!uv2ecflt;|ZS*keN{9RHHd zyGY8`o_gD(muudt^f3#b?qRYI_XuXrlZi8t*9%vBXv@pR<30I>?5WoaW4+6K@AQX7 z@?M%=DuroZ?s>hC4MM%tNn86mBv_bMYx<_=QFBBWNq+jGR!^iAfrEI*H6@kk3-q#E zSAbJNb5jBxkEGP5ZP=Aie0M@SIt1skCz>axMt3ffSWR~IC!pw`dMNz%9^O338w1@s zww)JLLX=M>L;}7%2>*Sjkhe%;H16hadej0JY~>%k$9sa@@f*^<1^e&E5gtXLb~j}@ zI1CmR{#liHgUFjOUmrYHMN*v}EBLx;h)S>x-o7fjx4PTYH9ljRV;f5`qDwr<@P*Qv zi#?I43%q6U2Ek0_)2i-#s2cP1h;4y))kE*v=6Soe?%(=mwXK&)4r$)^o}n&Wpy!{= zJX=0wP(+j*(!urV$S#>V5hLq}wKq)o6Xw3!6G%(woF+$;UyDo*<0~ti;AOJIQ@``Z z*R511o(-gh!v>FhOPp_NYaCkpnfy3BGwCc_UlHq5rjC0TFjzTOv~uF^o^j!ZlKG-VX0x7b(!;Z# ziHN|tbIfJ;O$qJwmm6~HrDTqoTiUw}27+Lp?&Tj zKH91h^F%7ekIHqe-Bs~*-=-5!d@D1nu#oZUe7MOVQg0bMR<~XcZ`QVJgnN8yVLj$j zna5OB#R%d`gW}&jOw8q8p&F;W3OMZnjrfUZ3F3WN=0uXd+A!WMYKUKrPYgPds5%VCEI&J0+b`o^_K^fM7`UualqZ%i72 zEU=5==AANg=vy!5tcSvfwJwyb*i}bCqZ@Eh&y#@>Pb;&a4d;}!Z}a56=-c?ZEWp>u zR~2SVMq9fTV|91csRwZiBgL_XTE;Zs(gdaSIM0mx08WsJxy);Vy`a!*dVoo{(N&(| z#IWnD{H?h0mznb#o>@7|@U&QV$k~>1#Bvaulw+z|i2H%L!VY<&(Ga>rj?390Pc|BK z@ew=Z_?&L%4%rY)pMtaO(+@@y>5@NZJM#0g)77nR8-Ju8MZ!OG)e_G;mGIYx|h*LM&%Bx0nfNT;`2Q*kC&V>=shfTWZs7a1pSTD9q5?fKe>1LtL( zd-9f5TMlkMzd7Q7U_4iIUe4>-3#ng@cBqv!ob8m0R>UKehy#pq%KdBZKY0K7`?&+> zT>oy;9HpA;X*t7<^#i;*)64B$2-q14cYwL+D@RdNy07d#+K#W(rZY!1%-JqY@)_=6 z#7lkEcUPDy%yl`MxnfbfC<*F-|DS^mLF?zBT@IMB9!7BaM?Rc2Jce_mwf1$DV zKjZ$|SqbZ=IrMYGo3_06St4D9x4A2;u*$I{t-{OfZ>uX z;G`VC3@2&2E-|RdIyO0dhsvq`bGXWZ`X&dot*kK6b7$|ZX3`g?PWHTyd8X|PWB1z{ z+W+3iXiD`xDY`dE(NC^Y zbOK6#8Pr#gU!~~pLDAoVqDMeG&@<#bc2MW@+pw1!r)2FoB@c!6EAtG!%hTe#@vVoQ zRjrVGzQa{i(%vw{h~`$)!$b)BA8&fZ$D}5BiV~K28l8vBxz@uD)5ELOy0grIV)XAq z742U*+F-lh^Pj1|YK&5Uwhz=#Cw-w;sUOxC8l?W;`na%b)L#wi_l}bHx~ulZuPh8b zRU~Tq7AB8V{numHXjn8 zb_{4q$IrBeb%WCSSHPDa9HYvr3rcHpsLZi&cWn1I@lAg zw%QivmlKOzMO#=D=#~w4+W{Rvj*R=LptRP?Hc#%d;o+$MfYI!ozV+pbD-ue;(zL{}>66?k0AzpN~hSL?pf>r*D!ltkTM>nT8si2Pq{Lci4PYrMAsGvUpZfC)?G)tq1N!z)j4vy%ATj$A589PIQfEGS0BS z3*Tpfb^E&!MXeH}&L)ddN26Ydc|L%XHy(EPD6CT}hI#QFx@vhQpbarTHPQ-X+S-&> zcCX_90Vmd4pHWl?Te=Nrp4!$+JsPxj3Owts%Kd!m!T&67hLWkUM9+EO7JZI6UL=xZ z40OtYQRV=X9sP1^@y9KmMcLil$Jw5H%gH@T`&FwA- z-teE?#01wvxlmW|YAx4Qcma|LST+hmV8c|n$k(VLRBFV`smn+aR%Zx z(3)Ir+YQi~S+^nFr_T%X33(wtOPf=)0Xf_w{{RYO3Q}?q9-*057h0CMpo2>8B+tAQvUG&_9p3Avf zKB|kh>k7VU{?fI*E+RAs-c|cug>|r8xJX@`OLdBw^<(oIS9mL9iF1A0{FF_1j>+*h z?{le2=C&?}m(~}}%Uv<8F^I*uTy0qZ*=GC%g2>r=Cr&Mb}{H>iW37p`>B)6UCf4 z+!^aga6BtMesj2Y{Z@L{f`g@D_m1=@sm0+pJGR@kD80eQn(y(t?dR$k*n`ixDq8mk zWBXn6T2}>Qt6b5o2E+`m`>DU5d;QiNH+;buRceU$_*P1zy7c2)tkPXOs<#lS@_mv{ zB*%`lC##D|+HB8jTgwuUZXsz_>9!rcTeWE_&x*v3X2z`TRHdmgTh?!Jo5FA!wQi`S zn@@WYUO~I?dm544p7xGrSbcMt`A4_(ZqdNPGJD5Y7h|N~GFlQ!2653~JP_m4U-Ll> z1w$*luk}*cp$(?Z8;b3=FK&FO5Ivr_qw?WBvYzg7GjbbVEF=TrJ4}xx4L>z{Q2nY* zI;@gvN97}wHq4Hj>{A-{is7xR8ug^O8)w}cEeNe$gE{QzNC6slCSWi+P@7j&~tmrZ*eW) zO%s?|^IW^-G}n*K^>PMa()IQ7G*`WxCe+I_%GP0ib_8?sTAA!m5lh!cwK6$0zw)W_ zk{I`qry4=iiXGQzN-UN2lo!tHJNPSYDC&UB?ldZds4#G)p>cVBf%>BopH#v1Ms9&(A5w-Z=5i zepN(hU1{$#5&2?m;q-I9xLxvW!ElmE!`;E$DLyuBqIZ`($Nf$}9~tGH?+SJ8lIL1> z$$7!{SucfFypHu}H?-pzasBq=+qFK5VGhwZaRpyApY5R~^u|ecIwlkCyYaM1EK9#w zjLvL<$8(OL#xqX{#dEHZfsu0<6EB1meI;8Du@00WpgKI-o_qpd zmXE%s{6qPL@(1M;$}^OYCLmCr+KoOpmBfb}Ag}kw>SEv#6Ultor{i#|Fg4fB!SDAb zHaacB6V|#-6Xv<_RQeNiZvA`PD|W-vuh>@yzdYMMP%k>0`s86dNzM0g{W?NtO7z(8 zO7_TUwb6}SDSveiwOvjGGEW|So?W!v)Ohasj{#4zQwiNHV+H+g$W!;H- zIlN4lk9B5@~NLCgdKGkOL)zL@g$y7~y_|#}S6? zgv_g}v7f=t#=DI(R&TL1SRsFjF>O2DtH0;A;R|cZXp8UKoQ_U^^zx!ND=>DMz6eR; z%F^eauK@2P{(^UisR7)RdX;<9u5wQjxF?QsPsjVUZ*@x*LKR^l+P*OQ@P1XdRmING);EXe(>nW_gh}< zncPs`^ly0kWNc4+GN-lr$!D==H@M>)(zg?z0rr``E$fRoC*3Jm+mYIo{$zZUIXBlG zXVm!a!hM|=!7r_DvnkiDH&zDevDimtv9^?ywcmFgrrM`B-M9pnGz)FxeEYcMPkS&AZA}xZAB3zHUc)m(=*1I< z6%RqO3)Of|7hc2DEcD`;3ORIc^Rb4NJHBt&wRRa-xW zRhzD**;o-Qu-DKhm0HPH&t%hAH0~>n~wiAexU268hk)CQ0QzVG?5cS&Z~+;-75U5Lp^l!$>MXkf06&bYY{C= zaac;E7E5vV;um)QduOSn)4@j@e&M`5*rMqP>~Ev!?8GGTGrRdKB=8nmrO*VG_He~&iJ=t{(|p%CMgx`{XI zaeq!XU6ZQo)Qm8d2OjJ*?8fhCX+@m%FrT!agWtK-qqhq#gG+DM8RJ|bc6Nm1Mxb7U zXp{MP4SMoK!wSc<&{L!9_SZ;Pb?i9wdm=&|Xz&2ulV}`aE54~cGRhvkB(W~Kl$m{{ zIgv^T_)6)t8FiYB=uYhjKOo218b(&dOiypfa;K(~PPuLB;>IUW;*5>+P~Rep2VN&PUwA6FVZUvT*Z6cT z-nm}f4^&uFUNS;XcxlPp{$!cP9G(J_nt`yKCHY8vY=&9kWVi7sFN|=|VI!!a74nRKf9o2N+j0I11;$ zd*sJvjQ@-Yt{ks=x{|Cmnu>7dHA0f-iDtx>kC;o$cFIxcu^zqG?|1Ltp3}f2U)WL8 zV97N;_6}rg`Q{^3hZ4T7y#OuNxcNwJ#U|c(VN-lb%BC2{?>D8?-d&q!jI+o1Cb*W% ze3sF1prO$ng+2kRu)jfX-|wbWBcNKO-Ovz`V{lU+iSfPJ2freO(uewoJ_s67AJJ>$ zyZtKNoOn}RHF*C@dg)#4q@{Mbp=s3LFb3~3nMm)-YP%pgtni>CaSkFi%LPs%JvDr2 z5#9T0eHfc$D5BVPGdM zw{b-d(};gH?tSA<6n;_=KNgefY6<=h7H;0JP9F2$G%}$mRUGmETd_1n1H&7NrFGQb z6_9%(ita>y)(EZfxGmL<%*nuojk(KyokN>C!h(f zOKwSAtQJ%1UTK{I=;st$0=;=5w;Ajm&2cVbmT@QM9yt!TS>o(d;DKUt{nRsINpw+G z-H87ocqnQ58I2ylkCI;Z$*s6eQuhV?57S-eak|1}EB-CGuVQjh&nP05fPV4LwYht3 z|L7uu;F8<^g0Y}&*nzhj;bZzOefJKLB!uWzBJD@`SMZiw?ND6P_)U_xTj|{wdLL}@ zrP6GJW2Hltyx%d|!P*TD*CM@-_&xz1hRW8f-#qI5g5%C|;1}#IkxJUH!BOAVpA-h( zui7?RPHqXinOb6W(?|X0fCf@y|H|iW>eIOeE1jVQ&)b+&aSy`(Xr?~nKe#U{xBUP* z&iH;{A<8%v+|N;hH&^0tlQL^crgQ#EzE7=Xc8|iNpEgw;ZsXg!}!|yK9y?-1mdb-8LmmpGG-Rf48^SCV(z9l%% zSq;w|Slj7+48})&It7v1BtAo5JmKT=V6VtIli}3{k1cpNOcYb_yKZXlFUuYYP>kB3 ze~p6so%DAGs8^6&Z*t_~8dXM=;!KnYmG1HV0gS}AGHSUx73gC(PJCA0{ zMA)XpLJgjM=n*Z?mC&=<7zbMt6QnzB6K$I-=-o$u3r7UaCU~5Q)R)eRc%*0QWhM9< zBapDA$*@(Yi@J8Mi2nX1{oTG7=xw34U-pmMn&FwJs6Mb=pDDSiw|1s@| zs@Cw}5lFk!I57vzEd7iiOy0Om9Q98Go^cq)yBcRK6k-6jhQIV_wR;Ob8TgGEr!!Lv zV51-P6Wp*K@mI*ILH9ayD>L)u=1V=}R2ru><)uiw2EP%-Zm4$OPnz_0VVAX+!7*T=_ej59qZ2vtCj26wW}<#}3VsbsKQmv} z-*JnqyCWar7Fmn87H=MJ{*IHjAG{AG;P#vD{eCX8w3myST)^QfO9bwnt`%>S`G@!$ zxQs%)_c7&S`p5i(+0da%@?Jf3dDc( z4nXIw*GO*{d2UH0Y5AVdn>pC)Nyd$ySP|t`$4Fcx->>8hdbx}lU7t5cW)$|SQVtbbDyi`zPs;SxBkuz_vF^j zo;!P1W^K*7N|IG^-+h}l+;?~8rn*gJ%eu-<_tn&9R+7xD4OJCcYip{utgE51b(@^) zYVKXP>Ho;OYeRXh6EMiK+Te>IBoOzGL_*vtWZ&;*6a2ymp}&(w+&5y;um^v8ln6Hy z_tP-8cC10Vi;(CCk@pC3zpyv>TUx~Z9_hIF53=^bb0qjXTV$BHk47_OMm9rc-^*C* z9%24x3u_;!t=zN$ji7&D<98Ghv^(0JwZDaxybBNvR!>%QvAt6cl41&{3=@ zzvmwMT}!dvTe%J}W#u)s{~Oz;`>xg~tg9)nT_;p*xUX`3Ek#_2ZwimS!2_Gt)e3jj z+;@*~54fb}0ik@8V5uX^##a}{%qW@OQ?KM@wu3hccb!4=S`5W&0-+e;G|C6}2n=32V)z;p% zdBcVWgv$HwacuyVDT$3~^KQ8DriULT=^67EtX@Oh`wJI7v+y_W!otGh;^Jq1v+KF% zO81rCdh5!4`wzT$@OLjQEH1tEl~-Q<{n2Bu9e@3eH{UwZ)O_;omOuRQoz{2Xd%x{Z z?Hzyq;KPqT{>xuK`Sfpp|LpUB{L|w-b^6TNfBn0&>)aP#p8v0}d|zMa{^r~7dMt(%+NLC4G@3Ck-X_ zCiNu^CygYz0kaF?5W1e$r=24EZq0lfx7kX5TdJLdC!l@>eoSz9$#RH^fK2B|W5< z^pT6?C-NWi1Nn%2Og<&wk-w13)bk`*_?}SZ}Q|NeSOrJ4iRyo(r6sN}|IOX0h6Oxeen*{DRllYT~;$Z_*0)zQF z1TY$l5r@^7i&EtP(o70fpi*6>u11Zz4)r*$ZbTEB5yS~~3r^xAPN5a2)roWlXK_wn z>dqsizM#IOZo_4DJFeg=I@F!GrVgVEH`Lwg9^6vj#vR;M-@_1kaUT!x5Pf*0R$*jcW%L_;%55+Cyitm-f*)?56`7-9b7; zB~(g>sf>x4^_wTnmoCRx4!Jzea<9v= zmit}SY^);P$94`?0hi+~8@-VOntCk;T%KUrJEGZEBMZOk+PL54`Kcf4lOxi9iT;w? WV0lFJ583Trdkp_s(397ZXUunbK93v# diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/bin/openblt_ek_lm3s6965.elf b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/bin/openblt_ek_lm3s6965.elf index ac04ec15eef9ac09dccf36644557989be5066b00..8641567bc259f325bdc6dff2bfcc8e6cfca70e14 100644 GIT binary patch literal 529948 zcmeFadwf*Yxi`M{WhRpg$>air5Ma+t0+UQ20|7)(877lW$bdn>RsriI0i6KqkN_uM znE=*^T2a(;Q42&{6kACkr%sVVkJ@UrJu_fi0@`jts}kGpkl^gxX20LHXM)uB{ND5D z@AG+gbj`Xy>sjCRtoySzn=2NI48xG&KbF|Zux~m77iOCXVToZn5n$O#7RgA&52qsJ zmV4sHpb=pb@htTTH0~oL-6arWOvG!J{-YzHBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOoBcLOo zBcLOoBcLOoBk=z#1a=8Tc$p<+5%d3sF?Sdi+M3Ejyf?dzuYA3S1R0wxU_Sm4Lj>9| z%kCk> zpW3F5q)K87D)wn9R>J~b{?lM?h_SNXt%}`B%U8gA&K-o9J4SeSA{FCcBPo@o5;qzN45E`N>J*ikHP-p}~O0t*9ZTZ|>m5^h8Wf zByE8~KB*9U#@XZqXT0oDO;Qr5|3al@JfRYMf0&tWX(B~E5wk{vmSO71Sn!0H>P@ZU zq)h)9A792#OJ4ApTRELlnX;f-CTxrQjT0_~cl4iAqu-!{a&LhXBp znCZzSJ)OfjCd9bIPT)cU#6^O{W$xH=IKPs}zftM$+v-^S{z{#mpl^!hE@Ui%$jDy~ zrPx^OaJX6X#bZ*2C|@+qp&>@ztMHeD%S<+AXR4R%B(^+}K^&2{4$|Mh5312UDtp*` z+>9pGDoLtlQu>0~EwIt^R5g1|CaZ?B7iUV4{A(}Em(MCDu4q|02CWJ53!rxKV=pWSF z5a>idQz4}Vf~b{+w6h==BSfN`D2E?P&}Nc)`nMY|f^siw6t=mF0PrV&9oxDt|dL>$r+qkP}okY5aOsK<`I?=rD-sA}4>TK=zYp%&IsB5l;S#@(3`9m1IWN z<=au8PgFr2DZ1m!rP=0pJW(vWtk%89v01h}qKhf2nVt9!|& z5-~?%Q`Kmh>Tgn`PPI^EZ|K$N6l-)QX}<+3ktYxXT7lSDiLn7gTdo)YZ7Q=PAWw!t zB0s5mOsU@I-J~-gt=gg{Euu0)o{38cmDGj-`up)fLO#*f!kfP&#O{(?gG;?*o3dp# zg9|VA<~E&d56llhR@CUe7;VjkXwAC@iNyr&3(-1jfW@r}xqRQo>fS%BH=)LP2%Q^A zmT2uih_Q!k9!}xYnCYLk6NwaXC6_v1P>fE-Q5|_fNpimGSOf~Ql!x8M&T2V0?-k_g zixGP-LMJ2C)k!R~5%!PREp4R0rBU7gKTzc{4!Sam!f6F160uN9AI2CvdxkA|Wg-J< zn~1jLK+^!4PVoN@%DEh25j`e5DrVJ8U!ZIbP5sXCO>+aZ@5ap3dTYGF@C`ZZ^vk+bz zYq}Et<5+H+;WF9CTz5G_ENO^c8lya`(aA9(KlcRftvt%+VRM?yQ~oG##4bhx;A9kd zAr5!AAi>Sth*fj(8P`RGD|~$ie5FLDin%Dk8p}Nv!#Jo$p9F{Fq-@y;*|CT}L|jg6 zsyGI=Io1*y)Y3e7g~P8SLl%O#4KZ_D99sr_b`Hh)3?%prfX~2j7AB5YoL84Txp{Yj zLzi6C?Cf~>aE_Q>L@u$-fB7O)&LE=*VZI0R&3_^COY#BfBwrEWH$-Lq??I-3|AbhQ z!NmzJsiXW+gsT&0!5W@LtGjunKHAL3q6Qc=HC*-Y6B z$_U}b5j%X+AT+mC*@iMT~W(lMrB9%5)O9~^YGF$J@=di`wBcQZ4C){GQ#lP`CY zf+-UE2zmyeQINpq(?QcQip#D+msZlmp)K??nH=+^~?&kzWqb-)9EQR2~F%}0X7HgqD8G6{wcIKe&Jz+VCos^)zo@0MaZh z$8U!Y$xX`dq9Z-Ub~SPUF+OyWAtf+~wfXUGCI` zot&_fB6KXh+7S3DUE-SjrBZGb9~DQ7yF}CT$uD4h#_Hn(%M`4~d;0EaV@p%ROerXp zCWXzVhOkh|g-=-~A@Df?V?vsP>+G~-!S@S|CKEAPA<6l}L3l zVSkaZ{~CNoi~lqjM+qN0lUnGr-Ln; z9SII&{QF?*Wu=kQdOsMi_j|#hmgYB!lHUnFsQKRtKA_pZN`#*X#_>BIjN^AKxKWD_ zCE|Y`Y|#823ICBq`nKR*TDX)5|8v6rC}E#X*k{1I0EGS+tku$g7&HO5(?Or+iv(}i z?Eg-r{$0X;KViR@u;aCG$?pWO9t-|oaAT}vu*18B$k=h2$ufd*mI%ov>L+GafNe%N z#?>GpPO_NDNbO`?2xkzVPh^4mk%;HDyk;(!+GYVkgsg=7TO;iM)=x)by3eHhJ#~nV zLQ|(&Fr&>-c{xyiq&rYOA@X)ll69On+r!BL_X@@)KU8j$Cve&22MF7{xgoo}TqX^S zE!#)1gTXw#mnma*GJ7)|eVqiMVRK~Q|H4aJd5$(7Yvuhl!}TW0V`gpc9eSPatCnf&8L8SBzR8>CzsZ~JI?^4y zosAIt$xFL~ym;e+RR4`tBmK7Cpi%54cU1HeU%Joiqhl}|&cUxCoGmjM$O@{acryWy-s4scFKQaIo+go)jB&L}(K=OfjyvVVIz2s)T zmn?M&g$qo+apn9UEMBCWljq~GVgoYBWtEjW8@L;{z~UN%QU-74<-sK*%X8#lby9d< zZ;&bM4H`ykB{EW>9IVLcCHEwS)1~aHEPodEb%qG$56W>lar8zky`%=^t~PkbT;Xb| z8aPg*3>P74zy~`3<0K?_3v7;@Od`bPT1>-^HURgAs!TsAV$U*ifMq03cCte8>@atx zw#{5@4*$30D_#)}6n`h|EOyE71?OLKF0Plb2F_YtxmdB1I%$>0msITy*HoGPHC3#u z9c?FuzX#v<;QLAK1k>4r)Zj8VG2l80fXS(QYZQ%Nz%1lD|*6qTOKhN~oUO;wJ6)ohA8mCYhZU{O`E zR=*VVhsrE=8asehqCA0RryU-+BqvK+Xd;Z6wuI2ooZetH3A;>aN!USCd2f)*?hR&G zQPP;RnP_=4T;G(Qtd(wzxX7=1zQ2?yJ3P=Mr%Au+c_-mE0{^!XZmgjM=bM4~!|gna z_Gh#$ZF}@EGa;Or6!a33d_6h&$)|*j{W%HDx|W>$^<=UN>FC<%WKS|Tw(WV#2=w@_ zLD$!e6)Rp}&YXN-YL%%h&zGY0PBx=Il8oMocw3&E4Oh#m6DusieEdU{nG?=%vBdq7 z33eJxpu>nWo{?gv%wZS&7mFRVj}MO2e3GRQzW*F_Ut(%)D2coL_|mj8x}%|ecd}F< zZ|25VW%~@$RR6fD-HZ9@{Pq0I9Qgr`jpU(?Q$l2NcVtY}805{>cw@jDnS4HyDVcF9 zG0o3|>&zETqAk(wCCQoHhzvKih zceu@@PcmGe!pI%p7fH9-z}5e#{VkmDnF3?ey@59-7{wj#gC|CbEY7`3{2wgl%cf6g zb@zRI#BDqKXT|LLaW|LVSdkjxx|#G3mc5u?eKDtmQPNKqq&=g&DBKRey|;Sa~Rx>F*z<$th}En9S(=c1wD{gs}J zTsc>hEX=jB=So?6{+bs0X zV9$U7dk%j3+dcD_t*ag0JITOs?Qd^i8&995rT?*ow$PS=g5F2UZ1O0`_^XyQgxovO zh1LHk_CkAhd6(}H-03XlU+g~)`MTEHu-b>ulVAQ-9jIg9MQPJ>MS5zP4a#&Mke!(Y zO3MZcAPL*oXTW{qfUW5Xg?HBB*Whf$uhDq{ze!GJ@7fwVZ`Wt1`s>(mKNzm_FwKkv%@?OqDL>m^ z2#NpERLbLr8qMln|9W~;@w@(3&}1?OBEvM#Q<_(JjPH?B64d6;qbK4=`e&fG(^^-} zVZY!X(N^crb$vTsaw6T2=24!uUZH5Kf0{H?u0W(?zed6)-i?S!?#_N!?e?sk=~ z42z(#BHViU$JmA4ie33Z*xya~Z%+7^Cj2)g{MGQU#4c+Q{65&;gnvQ8KR@BGNchVW z{&@-iT=?lO?t1vsV9$X~PY13|*w-ZN840^AVNXrirT=nj@V{Nt{%_N1rw~B{<^$S$ zMnTT}+FpIf`fMP5w2z&_cUJd4rS+hn_8Be*>qY@%F8l|D6j;kSNwY8VY@W|>c^l&B zdHf#~p&&<#6AG+Y$>#e4$J6d4MA7=dXmLDBnW62&)l7O$-`scqc*bGsSLwI6abuYVqF5>R&<1g>48?Ev3p+X9aQ69Ce7Y*Qr zzr-&ct?}}q!YAVR;ke8Dk&g$TA1bCqoastjE8ZVF6AI!yu6%5T+Wrae8k1e%TL7R564}8xo&*uL&aV=QmdPthC|8~ z4a?!auSR#o=#J&#skHZeq)Nf5|gd%X3l7^Wc>{ zZ(Nn<(<^y$(Sye&ddApy$_^2!FRQOFrbZ` zPUXTHI@VvPwXH4hbv4rQ-d0D)_X6M?Pk&gG=uwtr)IL{ft*1951Ca}BY>9j}-ShEx*)+~A9iSSK?Z(`L> ztPXJd;osZNI?Q1K;R3=f2v3dVYvJBDlg%WVZAKU#hLub>(Sv`0>uGh<9^Qu45|Q6j z>HWwL)uUa;35HXSwdJzFIqEieV==Y3Vl2Ga}svEu9%Bkb>W= zt;&Ye4$JmsO@7|fsNR;K%0>!(^ahEpjSZ_11Q^>(iU(_N8fWyXNKdSJ>u+tkb^V_8XV>R77_Z7}>YzK@54C%Lla|(0wPzJg zzZ|KPES*TdykSp+>8d=}0b^cE>-O(i#Ux_Li8wE&g_MW$h~ z#K4`8I$|MHSQwxsnr$|%B;4yFKaqF!OZa`Z|71^+Ab{4(4RH>LJO_E>^bDe>iOB!a z&&=B9=03K9ZZ+ae3)eL5Unh(sa;=8v+NJ~RvOTS<);2L^6xnb1;l&E%|1R=BoXB5_ z{55{lqE}YcHrYX89w>AL>Y82!g+r_AK;dPL!f^Q`yw9&A^347<`SZrpJC5#HZiph2 z3OYs&Ng_`I{nmB4o_(w0E&J{ANB6T=%KryOs|>Ae;_Xt?G&O`X!`Kdus)X1B`B*KZ z%r_Gy{SjexO>EUu>ry>CR}IrPbUeQlM4MBHA>XQQdw12 z6I1Mqyfr- zm<_)NVgi02jE%$ZhFBhcYht7EyEvAE-y$^=zoMFs-=D-%@q0J)Z`9~!)qvk!F;)@; z=p)2ZptDnsTYEM_rPLlXdXMyZ%%sTpajwWfr>Go9OKLQr$$h-P#G(WJl}Add%)t`x z^N-?O!iT$1Wt)2hW(-cAqfGRUZF#?qlYbG5MaclMTD^I}Ov!?@uCI-_W0cix?qp~I z%#Ptcyv5cQi~g;jSaXqzkp+eBe|NdZp5`w1H;%-fnG|G8IaPieH-P&T_*s;Ztc*a; zGL+GRGJcQT*vAK%>7?XK=$OP*x;x$FHiAa}Qgc}na&hwMA@h~HrzZ5xk8lrl24(}? zrViApkI0{>(1{6Td2@Y4J_mRHVzV;?K32X_P4`xR{Yq_Ku>9-n^|uDo;8WL+2@=b# z!4#B@{ZrQR;Ei8%sNWrGEGlVoM9ZP{7vsKbAxtFEGIm2mYlA>ir5b%GX29<& z&=xU7I4f@d0u20(=#38m3!0Dio%noYqMU@@L(jWu&;U2*;38kP)7{%zXa?Pco=(&A zh@p2(Os9+H)$;#;g;SgyD398G;A1qTBBJbTgIqMxJAWd76+_AXxP0t#C!Ho5pG1CF zF=6z1dC+wHP4IdWhU!4^r~{EtVbsX=4bMH_#1r{Z=;n-8h`b+It%mzy1$`0xe}Y~^ z$D35dy^OdQ`qb!ZgdagT#ef=4WTvK79T?zj=S+POGiKJ*fC=XT1pYjc>)Oh#B-~hM z8KW@Qn?+JAM@%A}Wqb(4o`zAb0kMt ztbM5FjHW#Uo34kbo1Xiz=o|N_260TJMGMMFSWBXnUc$A{#O3Cb{c*g z^o^J>SFa!Z&45LEeQb~X?`91pJ;v=i}Uqa zj2PoIrI<$3HB9qN+^?09f-=JJQ@+!t`~RtoxBqP!RCefzVnT{eP}vA{yoUBC4kCZv z%s7hBhY$A;MYr_-q;e?wEA97}@Nep8tc>^)b`&?O&bC&>9qDI;q3BEff#dt(d#!&T zeqYgkf875gI?wx-`&jF(!PE-B(`ke8D-wIwQW0)?}>gE zv>xh5e1Mfd>Lb=iw0wu#o~$HRa{1(XHEMun#9?S;jEHPQjt%`Ba;)wTg3{Yaf4`O< z`er37J`Q?U_{%~;?`b7yc@QVRD}6Uf4_2L0f|>W?OgPW;l$(p#Je#VP`YNOb(7LgI z6Mnt@n{HgcXsYP0Be&}dbluEcR|*o71q`#kvlyw9^} z?!qF`hk86XWJf*z+(%>2^%aQ{`2ESyEBX6iw+BZyf4M%s24&pXH*=u{ zIycaES}sQ) zMatf1L`{msKOzRR2=JpQjq5X_7U_K!)Z#AG0y|^Kfq)ou--N4Ly)ZHkVMeT&SmYcD zH|a}4&Tb_eITs^mmX5WsE~GY6d;X*|{6k468g#G)Vd`E1p_HEu>^5%Lb^YOFQPG!2~# zMu@H=u5$%Wqt)nfZTJ66%uZbRc1tQkj;aKjXlgWT(0Q90ZPQ}>nC~FZDl`41_CxK@ zyZ>>T&JCt|dfsI9<~KbbvLOC-q@5j^pp9`;v0mBRKaQ?LHK}Fj>Nwz#4B{BXGi4(y zb3Ifq8ah~Zb0o)`*ThUa)t(o~K|kbPYT8W=kE>hT^@EPNvk@2%OQ9A8Tgp(#IzvA zv&5h6dBJTsg%Glpq^4CsA_~zvZUPBx{{)OC3wUSeDE|?VN#kR|& z+7MQwzk&7~-exQ$k^v(!T~EBKnnT!GgKsJu(;*MDMi7DWMQ=ezi{nH~ui9jn858HH zdWd{v9&$Yi{deb)GXJg$LwFwAjGhS^#c*4h|I@tb9)7wS{eFMhxC?nlE|bkkr%jj1 zdYa}xk!EolKW?n}zaoVZaPI)eU7xh5xC+y!SidqHP*QNbCi&rH`mMr}0A zM?avW;&fH;RE+=WSZ2mMJx>-=9d8wLrm<_A5R3LIw}fc_d(GW@T3ee9?<3+iqYUsM0)|b}%n{(s0W2!wb>>I<(Qlr0wdsMifSJh4^QJ-pK#34=-E!tSL7WxWt zA02U4QSAk~9@N%^!&t`elj&aGAUZXg&&1Tp#;|HPhN)&Hu==NbndrY1=`mq~o4a)H zt^Pg!OwU=Ey!uSfa+p0ZXX_u!UEyY5bwfIMOXVe*P@Rsr);g!X9HQk@U5h+_OGKjMdQ>%d7hbBKwjAT%9-qN7#>Zmi zfw2Vf*JWt?K|k~j=HkstRkoigqb)WZNAv$fWw4_)9j9p<{xdMY7RKE~np_v5Z;tZ* zt7$84dRc2Z{k73>suheqZ6G;i9!`3gz^`z7T26n)zBin!-TI=A6655WQrmD79l^_v`Rujj z_3WJ8ZvIsHqro-MwvR6DPw zYgK6~K2ns%Yw7kva%f)-(!Gb4BB(Atc72mUo@-b;p6e<%a9s}@n2DqyQyT5fy=@j| zlY7*eZL!IDXY9&IVicJf&>{L-ZQO;~gqfaJ($zT!Z%14i=5TCs<{4r`DkmdoJ@a3F z|0(=La19|;UzhUol;~~Du)W`aIkFKwMdPeOP3~69z>|^d`o6JHq@}(9ZH!sUsKw08 zG;miWQvRl@BSMJVNV&mS{7ounYG{G%N`6EkJE$HlEswryF=#IyQmuH$pc+cD&g4k! zOkz!wIGJIXE)Tx~+ACCow)M75-zHmlW?DwE+*#H_92X-=kkxFFr<(rVPUhMorjzZy zhFLzIJ;>qBiz&Y#`5%#oESw1KHu&f`#gy%Iv!}jXDHQcZZq0Xil8Oyy*n?(|Suv$C z(+JMmas#PiQjy$A-;ShOi0v%nzPOY-*UmO&;|v{gbnRtLE*QThM8_iNxFcVRnB6o1 z=N_{i(a3B^D$WNMVpep{Np_gd9Kb2siV!o6^`&UzWMDqc$4m4V`c(MM)lLfN-akzY zv|-2TU(uG=RMpnmvZduIw;^&%(;z+b(e4Cs9`8%Wc<)GPbSNEfHE~CH@z-lew=;>c zO%tybT}c0@*c%~&(`(zBp1cF~JKb5QUT!i;t@YzQ@iac9c_+qP&rI$;GkgXx{8B2= z@z$Eh+*z1;==lA6jNkqcV=ESUpiJ|v#)}bVA~>J%SuMu?iB7zLqIV(0_Evz_=dD`$ z4(m*azcfWqqoZO~U*C*7>5&n>`wsMuoEX*=E_xfE9_G8ZAS6`_q4(@b5xUajVYtMq zkA*GHTL#&b=WjcljyVk{FFty{W*C3+Qc3fx(D*BKkrE=?o2UDdXOaP6WZb_Mqr;rXVxF8tbJsdOted= zHE}T9<-auMdboS>1{J3a?L(-yz#l^YavU#7I54Z6O* zy#5I{bB38jBz97@<|CclBzDH?n!nbRd2F@QJO^sI(hRioa?s4fE0g;pzkr_oeF#~J zkkLcF+MkkiiH0?Vy`H*Swv>t7MGTUmtAaB?*TK-W)L^)zj(nr*=F+;;RF-Tt7kok7 zz;LA{pyC6SzvCQpVq|^3w*HBkj-fTz$0hBP!MLQ2MoK4A{$;QLGG&hNmkn5-o*A^j zmkgf~zQ~|Z!(UE<>w`hbHz_c-D!YZA?Pdor1c@+FN)-yEG{K5i7NitmJlZcGcAmrt zxzY$>6ig0G7K|At9VQJX1;zwpgyCUW7((52P4|cgXSGX~)XZyWm{;S1Tj?Rd1kBOZO?L%xV5qtfhAO~CuPRhP9XcwIM{Od2B%aZ(9txmZ96kUI2$`dl? zM5fj}>>n4JgSwH<2iMF9&3W`l*Rl!II`3a|q$W@LxsnpBzF28bmx{EN=ti8l(Vbsm z7_HoSfBi1^#8dBf@w}(v@24=sfWw)Chif+09I5YWOng!Lpua$JD3=tcZ-F-1v8?b+!--- zGs*Avm`p&KPYoNoOx#YzDa>KG-pzIN)`eC{8DXo4O)UxK(?Bw^*Z5 z5qYo2l$_J{o1UaB6XZXcQgGUEQv919&YD;Lisw;Kq&b}uEj5cWz zyV=vsR)shohojlTu>BPRX8?P<8=^KJ5HubDw%6e)WAKg{aeY$7OyImaDQ~S1(vm>5wWBy=Oq?2;}=OLo8&M6z;F5yu3NUiowu@`ms%) zIY@YHh?#L5dzvK7vy3)F->9;v?H6Ibm_(ASW-*RAFSdwDezbA&jUr#TP@*Ho4+n65 zVE0BosZVJl#bWsV`#5ao zZS{4vqr`Uin27iO-4(f!eQU5@?LXYc-0*dKjx;f3LMdPLH&=|I+R0^gwId~UBKEZt zjW9e63qz>UIPZqM38DS``gv>G&~vyj&dKQ9jFsat zXa=Kv=LLg(v0vt$Ms>&1Yz{yDtej#GXcyEtOM80K-K#A+|o(Wk4o9yW^G#Ryj3 z53eBwHu3iSF$zZ}*bSYfI1yWEPXQOqHOXB`>=PJq!xtYezc7e9@|zab0+Y2 z-MTi=ax=4MC|Sak;;%6dm{L(j%I_3@p8=(P36j^g;Pomk%bjpm{RhJ z|M>iD1#@a)#mLC9?o_-gq@m@~!U{%Z zrWJEx26u*>g8}EPDHqy+DM&-_5pna1FtdqA{z}gODF=91h#A?JS zaC9~DBsuXF&ejO_VG3-egV-M1NJpyr@CeZ;man1X-stdFNK7(b2NU_j*y6TWNy?ex zF*`QW_Wn_RZVRz@M}|))OFWR`jjugOtl6SnlIw5He`}@Su!aqg#m{WXMM;sI(81g( zlOEYff9E$a(+=jAH#{C{#ai`b`xtx58M&U^Z^AClj1{J7QWEay1gvxq=5F1{OiLA0 z93OU04;{)SmfahB>c`&Cg;K;~l)1E_r~cf1jt03t-xcOB~KMJlt zs9yvzKGXBM`OO#~=w3S({jGZN*e-=E{?=}L5-AufO~8KE<%vb#z}{yw_S?2I_+~>a zNi?91uEoxq$ZKPd;N{0P;>~!kOn14v+@rM}_$Qs9seK0_EBuo-q;NLZ9abCn71#}nG=n{l8#&OJCt?5~FDTrh0n^Fw^Kk@&?a8P3O_GWc=&rM)f7Ybq`73$HAWVje!=8;gCl z>5Oen(0Ug07oFuk=;y?V5`!bXc@Y+H{BZ(%>Z%bY<{1K7O0D zW)LU#$C&FZ;iCHeU7k$ZDk89WH)LNEgC0kc_=+}f3JNDSh31Io+rO+oh?pFe&hA88 zdmhEyVG1$VeHj@D#ZxCM&ElDmU?r9>>SNJ0u~ci(8tPgRyIAj7a}G0QE|=X%DO8F{ z7&{D7lE6uoA|vIB>gVx&P4!oA-$>^z+F$5QdricKbFRq|+r5mVnC``l@zsYDDXyib z0T#Mni$%xAvKP>>v9YWLbdMIpS%IaV?$2V;%-AP>x<89W(_-iAnQ7;18QWCR*E9eg z>5h-!i#OC4ib2b((5`)hWq5C8?$~yi*+HJl5&45Sy&o5g+HrF~>RdX`3Iu0r8`T

*ykTMAE$da$Vd6k!^~53CVVwWPp*iK zgVxc6q}ihJ6)Fv{p&S=>(Z;<=JGny{JFC5!JDJ@{2i53fF`RyfGWPE5ON9iHv&Mo^ za(>3ru&0eL;UYA}Nu-!Bo03xTwFfICDidQr)vsnT!X`obOt_8qMyM8dWq9_DQ^oXM z=@{D{9HK5d$~6rM*7Ut+8;mc0tjr41H8uFb+L7Sqfx6!qB9`=Bbd0RSSB#8%&jvzR zMOwqgy?{aZaImK0+zw`a+t%3sdO?(yMx+H~BA(iCw@-3dyQLCJ=OcB>~f(GypV_=Cw*2^ zqm6OD!Z&Ds<|e0Ri1w+)qQ&C^{|$o&J$3aCPhHI%zr!=fw`nYyvblHt>(KQA=IGVh zs^A-}ss8pb);RA1#=lHp{icRB3#|V$SXbWzoDT;7T>kPEoLzEr@EfGZMaqD*D-<8S zf2Ry%{w{8Q30erqL#xNPVaHX-ZwKI>5O4Ehw1f|u*d}uFo0f1=*$}X*_$ersYwbAPBIo@(-Lp<)ix+%Xx6OB7r|AT= z_dmB0JvYZ!+{Bb3HZlUMgQ!{ut&LIVZp*iM#y4I!dBn%eA$nSy#t43#zpBjM7qD}} zzKQO@XRO9ME~c#2r+pns`)(EHU-YMwn60miSRcreg^X*%bpMm~E!2NH5I8oBg=Gzc zG2-IjtYfR!blpd}>}ojR$E~D47Tr4ViQl00^C<%(78trm0mY&LVli}Q!6ghp_Xnd7 zvkQ03gOnbvAt&KHL1F~;^Tao?(C>%euKyG#1Nhz!X8c(6F3f_^{j*wqxgvc>E^F(D zbgc%rqXsVdrQqrZpo<~z4_@%&ec>ruBgkrGJWc?JwYG*#xh>4>`R=2e*|lvAUP66u9d9!9ZPG(E!v8--&_Y4$H@#9Zz9S9f8@z zpv`pG4D#Z^5PN6@zI~U0InIFDW6S_A-Vz#-nBxrRCbt!dG(6IWT?f83mx(nN9n%9H z&x015pp-Re*qgZ%w+HsB2zzMEPUt0rUMBK&V>Y5+DjIWz;tNWZw$`Haj!~O;z6u#$ z%-v1rx0(G0F&=Nu$POEyGwr~62j=9XLzJty`|pq>5=yf14mOPMY~d_nTZoqA!8d-K zp~+Yo6p5M0a~^YFe#ig`-HAF0Vm@wz@E#SsV|>J&e-OQIG)@W$zHPKtggn1O&lwI4 zlD&*09jiLtIRbC~*W^0_%=8qj#Yc*bUrwx2Z>IiM-e$cE&M ztdY56$6Ltv^JXZch#+mrV{vA8GB4PkCz)pj+eb?Resd%zelw*!{H9ALXRtk0S`4>I z+IRWF;$S;;Ac}qyF?5x4?|d$6?^718BV-5mr{<%~>=aL`l-A^J;<|X--u7Hiuzf4$ zTn1FwsZY7PPbWoEo5r$NhsWQz1dhJbG{W<@_Uwh91-3S&rgSF6c4$`(-0(u_k zsZ;&<#TspzJ5?GZCTs1S7fSNlluWccQ-<630ISjXNBHWzTuM1^3WBu#PnbG#@J&)8 zsL@K*3@xB;=u=@|8-TvciQ2e6{GyTv);<9I3v!@QD|k#+Lw6n%#5W&HRV#MJRtEb~ zE$?Z(F`;>(nR0@DLkc=up!;V~q~BoN34QpT-ks3jpoX(&MCu;G*N$+a;gU-qwrwY{ z9S@tVPiVSlw<{Mfu=aZ++pA7%?Ql9!7uu=0{$1M;TXR)*kea%Ol5pN+aHK?9AENEo zx~U0t)opk)w28xP|ft~0r;xN7G%ytFH`!=B__{@B^AdmhW%wsLD> z)smJyTk#6*O{!lzOub^8uyC|g^U(gbeD9veTTy;SB+tA2@e6RJL`EaO4K71uq<7C_ zb#Or+t=T_48{g0Tw9^buN}cqymUWay(!6^g-`$Qr6C_rAOCf;UIjwJPhm6s85bwg! zHxUbA-iCQDH~tMX`mTfalS&xcZ=TkA$WwSV&6Mpx-*{5%8$ID4Xr(;v8C(qa6k(@QM0P5Zg{?{v+;;O$r37v}ObOhR5aw`gRVKkW;GXEZcTq`T zr&7Flt1=O$*u^+cwr^D?6Mx8?f3Ce@L9dYvits%bNI@-h1M|__{npi$7%kW(59NjY1^fWRkCc)iwb!-zt>4qe zk*6Ly@DOyAJ6BZEc&>mcTZ%d_(dzu?Fg=0Yu=Qqqy@Io~ZnABnXL!8z{KgBLyo(M! z-Wpu{_=Vuw$85nvkJSaShS}tW7NfOsc~foUo~8qh=bA1w3R|94ikuf3o>lD5R{TzK z*5P-e(*}*XyN8}treIQ$xy6oaJ|@-~Xf=n#be`i52MBLO@p>nvZl~Lhb#mR7)-4&Ja}8@*uzlr{wrmf+ z+Yz8&@Ejwu$%ffSy0&Dzf6i>p)B0L2ZCAl9vn*{-7A-kb;PHEnV8rh%AqBtJ3MG~d zw6hQHDMI6U`aKVvF@WD>EjK+$f_@*y0>O%JY@pv}#r|}joSo z&c|(!?nYm=ZF#~w=7~DZJ#I@DPO|2QE!#;kS=snl;f_q{_Xsl^G(0HNo!b9(eY><#Wd8gX< zKX{-kg4ZKU+cQ1yweQ~uwTH7y+)LY~2V1*#Ae{A_ZojaR>xyu;O^kINP_RAJ3W>38 zsd)(XNQ`@nw$O3UgrofD@L{F{%rBYunAMCAZ4=+0U%v%Ug*UmZE0Le(y~Uf`BDA@! zx4^GPZyqGp@1U&*qqReSlj7gPWisl*3&DMSB*=2Cj4XifYPk}TH!fH1tK`b{PjYqL zzm==&{s*~oCgh6B=9F*A73JR!4kilL2HNXu@oN_j;FtCT>|vsp2He(yMEwMeS5}z8 zXy4#ANwAJb_+YeW5IV$uVqL!Jtk#g3vu&T#W-q%9akSo>BjFoi3CWc}t!|S!F+*n!{z+O0WK38s_4m)e(uO0$ zn3J^}hH#SBDi~M6jS+4t8=v-@t#dUQ=pL9ZU6)A9hYea<+m_epw_IE196KmYPf&lq z-+6_4+7+6V7WRhL5{=gT1L=|-`BsD;mnL1|_>tf+2hEZhlBdmQR01)djfHQDV7+e) z>|&wzK00R|z;B6g9>0?X>;0Iugj)Pg5)RNa4Xrp#EpO_>fe4W?`{-uLyNEcboC!q(dOS>x)Bg&VOhNNDin zr5xCBVMF1j)tj(?Ln>h_u_gf}?BQ%!3kP}h8@itx9<`45LA0^h=pf_Hcy=Xbf^E^YQj44_167*5Z4X=_Ji;6u7yRibJ5r zA0U+0v^J(}cz#QAbak2>cC1RrfdVzTFxgUWRSxz>wEU4M|LOaFoHugO}vG zr~qE%2AHxD_)XKw{JaxijA}}TA9=Vv#B}2*59==z%kjPb6n}$nd&{06-E|ykpgXbU zz-J}QahMe_Z^D?5=HN{P)zr@q#P>C0q|8c+##6+dJ8$`tmXvaQGq$T7dj2fVod4(-u@ynE0{BJ8M z=KU?7G>>0k@(XB{{Xq{j_zIM?{0|Z1QAXvTf3!f!DmmX`-C}*Tc1spy{FC647HhK! z6jDlz_)RV;rn@z8m5kD%Nv*AAjVRrymCi@-ZzqUGryBhdZ*{56Q(2>Oz6NF){LZ5b zy`7J3Z>Ou|J?^wfr8gHVY%{P4ezubf2Msd zN|{^fYNT?`V6^Vpz+2C57}IF9E^nM! zHX3`rV(VyNmm{TmZ&vuyJm0IRC;oj8d=C)x%}=*12hw?sm>U}*u`TIk*+Hka=cX9C z?k0rdNZ)Cn1djBr_OQ%QT!+UP$dx~L4Mrim=>*l|UPbVJ3xb|o?`-UIsC&7bR44FKYAv5o0Tul!$ z-zxv!#<~sbv`XL`ay*O)#wBIAJTR3o|D67gbv2EC1Zg?GRhQKp{Hq)8UcKSp#oZaG zzvthDZ>(w95GPk1Sbev9;WFZ!O~{lfrSbol-m|fxZguG$_3KvC++uyhUH?(juv*v{ zSXHxOH9q38e&g!K4Z^C`8_*i7SEY;~gwmYhE!GN&3p{8-Q zP`mD)y0wk8mQ@PM{?(wq5lQaZc=sxla#fneSFug$CdveZ|6JmC8|t;HCaQUb zCgr(&-97(WN7Vh>*f(yht6SaJc*n+d>+Tim?ztp>2IKBb>L#2Po<*I(xH}t8Yw_&sZkYSP zW*Y1$nRERL+9mf?%=^K-CxiH^$btn6e(>aur=PCgU48S-x9;Av_xTrI{L#Dx)i=NV z@{fOV@Re5&z4p_ey?(f@{m9Xd|NQwIpw}`uNX(kv=(p;nUCl`uYE1@4dsLsP_Nyb7p2Io6Tl7Jpm#K zAwf{C2Bav0hAJpvC?YB%y@_-XxhkNjfS_Q5t5H!A8*=RhxrmD0tJoWstEkwqA$n1N zuh;v`OeTin=kt8NfBc?jcb~I+-sQa8sWWqCX8Y$~eEHSa-+a5{yYGMa@u!_X@A~D} z|L*?n_dm29NlQ;;<>VC<6=Nh(=NzEb$d;<{ReDw9leH>|x>+TzTZ;cmq$=e5m87YY zO8S?aQZlgQ)RI9Zr-Y`FSyIpVx8}Myh7f&VMvSn4ea=)#vIXwGEBn6ZM(;LVc;W zt8djecn`&9^`v@MeX5>PKdPPTdprc?7xjmFLv2+zs+-i!>Xy>W^ncXX>IaN}->H|? z?P@)45Xx%0R{cwDP&cSM)FWzn#bp(jS6CJ06~ik=R1B&(p<-l3zlswpPOTVHaY{v2 zMP5alik20{6{@0R#n6h=Do(B#STVk0OvSK@>WYaKV=Km0jH(!2aZ<(LivAS?Do(GM zP*GG7uUJ^IpkhhIvWj^XciSJR%k53}P4*M^{c5wl#$IXPX5VKwE_=$p-)>PhyzFi@ zx@=TgY11Z6e^x!q?otiP9#jp>=9b>0R#mK0!&O83Lse++Qfc-dN~_sg;U<>W+SNzs zEPbp#M4yHHJl#iiwdU*d@LhoKh590V7wN_NVtkkCOZ26BnO=_X<@yS}Q(vW5=#}_( zvaZoJ`dVFTU9VT+dn3N9@m-^D!S^qqnk zd^hT!^(Ot8eq2AHH|r;np4QJGJ*S^X`Zp|nPiu9FeqZP4AGEE$(?8;ii}rYZR*vpq zrK`~`kF<`oI$2{{9&J@wo$>8q#qAy1 zb%h>fjkd;EW33hNYl1bR7*d>r!i(wcL78|J}CLZ}#u@ANE??Q5V_^ z?M3!t`))hie%!XyO{m$;cGP|WTubar>`QSVKN^;{&z- z3-N&R@W%;09=5Z5a7R|Xk74K{A8QXE(n~Rhq&}$#q#}@tKq>;M2&5vAia;s?sR*PZ zkcvPm0;ve3B9MweDgvnpq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3B9MweDgvnp zq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3B9MweDgvnpq#}@tKq>;M2&5vAia;s? zsR*PZkcvPm0;ve3B9MweDgvnpq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3B9Mwe zDgvnpq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3B9MweDgvnpq#}@tKq>;M2&5vA zia;s?sR*PZkcvPm0;ve3B9MweDgvnpq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3 zB9MweDgvnpq#}@tKq>;M2&5vAia;s?sR*PZkcvPm0;ve3B9MweI0D)UL;r8`P*K`- zKcfHtPJd*yQd5!msh*@%U!>#zLi(f5M=7m359r#fml3qb-~G{*%GXMLhq9fU<7fEj z;UmT?-5A+O`@i*3ZY-@&x|QSP>3m&i7wLw&Odr#=YlqV2$Mij+bl~L46Q`GsnLMqu zbN@c2-Om^?deo@Vqe`o%O&&RV`t-@urne|1cWjrSLcWK>Rsr*EA*Mo=nI}d(`G%|YSiplPvI)UVga_G=Cui!T_3Sb)2jR0J@8lPux(ke0ms9dCneKhi=Ds2Y-Si!=n zs#KM$`{~0F)JbPU_TeXkdmhLpj1-%GA%127oxT{EgNV5VKW&jz?3h+sFn zCaaH?@y;n)G1)g~yo}b)Dc(*c3+G7JHH z_)3U8qzzEE7t62+Xty3&A1rRDU!6<)X>6LT*{fpvau}Zq6!NU7&Bct3z<{m+#)*R zxSx=5F(tb0&ouC2=F{Apm|sExQ8$-zmp%_=ah2hqAuFqj#a6t5Y^1s6T)UPECXbk) zoa+vz2KPhKucxT2w9(V1DQ6Y)+3qRRE#=%mQF-o^zaxJmRTQ~HXCi+S^TqDpS@ve; zOWl!-!5WG$Pa83FjB?g82F+mx<;8pS>aCo0?LdwcY3G?F8mI~Rvs9tn*0lUNSN{d&3C(uu zyv@-yxfU{1@^BD!avgM9$uIG1CmT~wvInS<}HIW>J-(>P~;Iop?;x{|_F!*zlo$#BhvRYdDDE$dc$Zi2fU8V0~ zRpdMbPTg5!Qc%q;mHRwteFaq@(<6+Uj=eQj0uN=SfHz| zbMV_wR~G30cB}~F3TvV%=%7XHT^P$j1{12Y5hYF5r=j2$Fhxa2hBF#)gChYn?8fMv zt=|HXgNv@Y5v0N|03I3$)T$H$BX-^xO75>apxgoQR4+$NmFgztiZPKj>P?rf&@+JH zCqD<|4v_N!gc{=@OBELY-5u!SQZJK#5Hi)kL?MNYakWWRslqlup8|B?7&MQd#rNxO zAQeG}t{H?f2dt##`}IIza*+xLflSS{T2;fzGo)HFx?!vG~SpnaHSz2^Ml{bO) z)K1%AHZ|DbKcMCzmY8ZaTeDHscsZVhoCZ;oS#ZZpahe%vve0QOp)@^ z_P{W?ZT4M5sMDd}n~7dR^tsv@1_D3* zVCG#TFb@6Ysr-Mq+F8%)K5{i8Sp{{vW+Q&xbwqYzwm-qQ0&p6@wAaA=2{J0`a21n& zFUfzC?1s}Zj~^o0XNWzN*%)VcncNF+XDB_Dz1vzoF`fWtZ-nbO@MTJ$ouaBT zF)+2t#30d1#f!jjA{fR63{}ElMN3t@+$()?eIkkrOKY%3>ui!~E!utxnu#ku}D z1C&SWP?k?riDN;T4T>?SR-Z~AbUoa=Y%u6c#Jy(7#Yf=he6U9l1}TDAWU2T#4_)k| zp$9fk^3aF*XpE;o&jy-0t9`Wc^|n#*^FU+}#v3Ar6A6)uF9YFH5SG<1#rU<()B`Zm z#%nybd+U}Dv#bFNE8^w)EUi@Xc2KVdHU2m zT*aRO*WKVQ4!BkF1>}E4@Kjb)Hl8=xjZ)>bFgcnGydU z9IVhO_%{})#IMM+Dt(LkS9mrFT#-SNAzJ=$!gHiq|J3 z6JXo`N%10NSi5g}YSRBu$uf_qa+^`Itt){r^G6Hh;vMnB7_zK11XZ^&C%S+@p|leM zRbF$-$#RuYtvPR**zN|_WKN$qdnr#>;FF6!>Mld-B8G5Sn{$P;D zXnH#X$n!+Q-57B5N1lN&j1>w8y8PkO#wtBd=G_FEYQZF>PZw%SLeoZ1_u=7y<4Yin zhVDk9JJI%Rl9eiX1rSu7T#1Z?g{uKtS^=$eWrm7YHBs?fJ@kzYXAammrwgcd`4;n6(OE7L4`EsExk z;GuO>-5&7!fQ!~qT{8)89{O7YX@Unz==F)o90-#($mI|e!xh? zLUEp|Qpv}G<{+2c;$`C7kl|?egQuF4M|ia3@Mng)#1~-YP)CbU6{^uVP8C;c9+m6@ zZ5ZlZ*F2q$5YAz{xkf_vUxo}F?K*+_B2Wd=Ee-rI;TdQ7WDZ5elSiT{U8gAs^JkH? zoa?3KG)3!87NM3o=f=yB;rOu{-oqyN7#@o-ejEe_cHj+$fn&Jz-`2oO>#On@KJXY0 z2ZJ5E9fvW!L1B~n!BAxAnc){Z&ns343#EE)W4Wqh%T;o*&vTW>bA-ne?O>G0Zv=so z`}l=X|1WsVV|*M1a-@0R7x#t7vC!j~1;xVgz0aXgfpqo`!=bBaQ%qSZ*$fmJuG%4U z4pOosem+O7sRMf9=S`GoycHQ+HCoNl`ZcN2!C=Y*R@YcDze-=1O6&|Zs?n?LP#@_h zke>+Fg~Iwy!1}SU{vE7ltk|YM28TjBDNJ&8`H9r%(S(Qe1^lV>sOf;?DG@A9~>^|-sJ_y&*rq59k}dfZz)?v7p@-vC~wlSapL!^e9(*!g~3_hI+#8t1E1`icj$< z|MrToKUnF%VUz;FIKSi{aQ;wneie{2(0O%DE;^a%(v{+T4>)Y*)>Z<~0jCK@Ym2;B zqCuJ2w5>=w8|OPY1hfff#TGIu6U-y0&r!O)MDjQ+ zHIv(*K_=Rr$S{PLLI^{61YAHzi91lq_HnDhW0^)m6_$@6u)t#;A$_S=q6P%^!`FQR z`r&F%$~S+Oa+k+!!8qyhV1CV$+|b|xQ$F;B9Om%`ru0CQqbbMzsX%|=X*)fqaI^G< z?IXMbXL|+wA!L$Q;L1N2INK|*&Laea&O#9A?BgDRlg?U?`CT99N9I0{`CFd=<_*Bn z#&lFzV51eSQpw$*NZToKWHhM&hm0mkm2(xRfsyTikyGHMrAtx4Ib%7-jA5^c*yWBY zZPErK36rz5NiUROa#l8Z75$JYGO5XAV3;DOH~9*OqfE|CW&XKhw~y825zL58&fKyX zBr&<;tR}Yt#S|Ia25rZ@3qj<9ZO|oh>$(GrW_^wmGm2pF+0Va+s)l3K<8SJ>YkHPrzx%V#(tOz6DT^BSn|tC!*3@+=0j*od-M3lAYHiVvPav zKNioYu(J!2Xj5`=}c#tOn@`@ttIL6C-4AH!d&7}%FY<9XP1Dq!6 zS1`=m80OxfGBR&_vS&gT2gleT5Ez*s!UPVk@o}I;FeK3wvg1T)7j%I1$emERdIqS) zqOJ`}$IkE-#S0>cy=mVX&~I#YCh{?2E#Vu~)xzW}Y6 zDY{&B#31LWPMG(+^Lrn>=Ur(+d*Y`H#<LiUYVp*od3hxymf`0ev*ruqOi zGN2Lym!TRC$G@(*9lvg0SfU5?LN+rSKarORkDZ7tKh2SJ%}4k(jAO}o85q<4{SNrX z6MTW?q8ujmqt8G%mx8+HBs3ON=20^31e1IJR`L)m%KE2jXL9$q$7GY7|Q8T%{r7%NTo((1^om(j;#fm zPF`+=OW%EH4>Ii-uAQn(&S<)^ekKrVqdOX*jYa6U6uQL|$^%9Y02~mu?ont{3aw-X zRw2hrdbD(XAhb$^Hp+vNCs}h<`Vr8M0i66Vn^_m3PX~;+8&6IJgQrw*tdYMPTo80S zb_}{FOWclh>7PpEf^u7cW9{<%0J$53;>lK7*$(Z@EPE4nOc3i^f<@Piz({67GC{0c z9#}h3;D3d!&G5#^8J-8Umg~`QecG|9A!|GRsYDBu=vRvqJKKcp%OOzZ2p+<>; zPYWx_2D2AevA@2O`yo2#2aW?Tinv;Dt_&0z%EeUFisrnCDN3ma!;0qYR}m%zm08Xf z`I~rCDk1wdQ1Y9oe=G3Dz)nFZWFPETiG_}l$t9?6Y<-1}Q6);w!362`B{`mH1)+&@ z4oQs#K9x##dVQ-Kn7xq2EX)xM*$9IRHS?yHiWhs-y0ym3-#Jq`R`#|gLOnk{k#voN z$;g<|ThuNN%rNi+VPBsQnL!aW zp(!IV#}Zm0BEi-Fd`RsJX20=pwyfWH=0ZufTy)7fkqzUvz+*GsycMXsP1JROc%$w% zQ8$L_Xg}5cE2NIN`sz5)W|pwm@j{s2>pDgKu)ZcrE~AophKn=K#;}qyFw>`*-oR5| z3D;tozp?(f`0|z97%2Hclzb5=F>nEv?|~;5c}g($?4@>gL3Bd+SFR2U9$@#PU);|H2~Zr&)E^kCFe&_2cIMz@69FItHTi?Sk{(`tgj9NubL= zB7iFG%?|;e^rzAL6HubUX(;_l5upPq@)Y4b2e69$K~eLAqL{CsB=*Bcz+N6;sRYL_ zFR@iUBtt(CYKve8AsW#~J`oBnx~0@>^VcGNIPDOzSC3%^CpOU{RK_`E&9mqgKUW~> zntxJ=*qSGu>(x@U6O!j6ae_7HFHD20FSr3ZQ^q>lJSh;|8zprOuW}l}lSFWvpl60kUP&+R1TRi!i=qnxMR$s#lf#O*W5X*NDB@|lx{7dR zLI#UEie|CGz78q+CQ$UXC^|o^=+QkWdas_M@AssLM|AoiyEhv3YVoK)+M5a8LDGxY zLQz&9HkXz*X}Fk~7zFP5;BF7tb1*&vxcXJp3LM#NA4wic>gDzhuuTy~JlHpimW!gd z=+Q1u^74>m2P@$El6m-?Jb`w-j+`%4V{v7KzAi!!C<|5mry-#%QeWsh6nZFQUkX9K z&_RLFQknBcgoPdnq8|emsV}qw9Laatawdj^-Vq3$C_--u3thHfp;IX|!U5pnkWikp zH|{?yLca?1%>bF?K#nI9lP zy3xI6`~e!1MxH|v$@AGv<|2otEP@kQP)V2E2=3nkK}N!}AqmM{H1UQ|(Y7cWebitX zjT>Q;N?uF_imHZ%47b_U4Bpc^GZt1vLml(lxUn5{po!UPHK5@*&p!!y%Pd z)uG3pA^qJy8~POu{VAm9RT?^n)%}z3PJ^K;=@L-@l7Bj;3v4j{6r|Cg%fS|vLC(OB z0uF}k1Hp`j7Mjr-rR{A>Du$BeFPt!sN*ji8%lJALe>wT=S4W9DHummx=uEN6M12XF zB*tg@Od0>Af7b{|;|C(?ng_uc{g65gl7yQPIZ9+Ms8QP4PVPM@a{TEiBfzALm{csv-;CX;m zasr#%E(r3w)mzA$Snf*i1uO&p4r7^zMItWW5LMG2dD95;Vq|&?vVux42C;6Xm&Eo~ z>E+QcBfSk?C$&uW3_wi zZusf0_zwD%bu0etE?i!xm46BR*e=NOgIYrSjNbPRkmuGSK0!7;`Z298z$Mo_}4WDLRI?uA4wqN1~6vq1ho$fp1e_;}!sAmV1X_M}V3AQI_%-gT1bf4^+l5k64%RAx2?`0+P$= zX|-O3JU^?DbPcccWr@;iO|FxnCi{aO;OsB(`G9k(ajMaSb^q*#5m9UjCHGaJ$`J5p zr|sBan3!z%Jy`G4beo@+!0DPzfy(N)9bnPV+=Bt% z{~0(9+#0Y-@*0|+D@F5)aH$zMUBfNFS-)w^Qw?ZP!~d`ib;^eqW?XH+k`2FL$d5m`F1C?BLfiA{BhEKF`bFH+oRSnEaMA97r)4)mE6M)kmAY39Pb^oYzt0uy|4bvBc2_Y5t7`^aVli|-ro_Vp}X42^L)ei5)Iz)T6< z4W!NhnDvY&yAmbq%3q@r@9a_W?HI=*H28H&;pcE9U2{#KTnPWBHc|d2`F;VIAai|B zwqknV1_GU-;|-M>1}0s@j&E88PJjc`t`*=rs5V2hB1Qnt6=!fv06I3iMt>(cA>>Oy z&MJpAs!Kpt*f~3viyQ1JJ!)M_9%SddOhJbuhx;v%aDW$BbGT@`E3oEpQMWa)rbAt8 zjudjVHLRgDTEpR?uFfi0<5TNWI@20%#L%PkMA%yeHeJL1YGN}=v>gQTiFtc;XFLTo z_yYni5qFG$BQOjY0hfq?K@_lO3ob{oyp?Q_8)T0uxwxLuHRlFGHi(e(_AcZx3Yo^B zz8eTZzlD$|0wM2;kac?(g7vD(ejEO6i-QgS05C_-`8z$6r|Ys7UhvD~9BsYksY)YcyL_IlJ+aG)EgdvhRX zFl%5l#sGih9ToMXzgdj`g2u`UY_mNYw2Zc)Xg30)uCdRC;(0_p>T@2o3XTpiR4dwn zt$KzxXdDL$BOUF4HC4=^H95W6%(sh;%_YFE0c)E0cCoR2*rtCPxxwsa$G-Noa`)X6 zhD!O;G>3=uMm`ej@8tR(vD=KwZw}YX`l@*wQ7laiz2XozE;L^ZeM#AP8s&crm zPIqytQ9nlAJ%Ku4q3*6goq@Mf-59U3SJYE?4Ajl?sC5lF7V7f2cTbNL!3)7XEG`~-@Z z02+8c;H;-Sfjm=I*KVw#6L0KM9q;qXaXA;QN6x>S?e#$2dQrEQ>i*4IT`%jjw*c>@ zMjoZW2gv%K2<#^IbHl7@Y43@^Unp>`;pzg8a>U4$Ehp~_y*uE&DEJs+^SJp@XGU1L z+u)Z`a&e%mI|v+qZHqVD)rF4y!aIUGrfeu%oEj2mx6n)1Bv# z*u!Kt(Urzr1}={Zi(9Vbq>l6d;H1uh*ny;nwLmbMBgEyH4!n!@Ke{BamVQM%ROA>9 zcFTvEyS&#FhI}++H=! zqk=m`DFbijoAkM}2!}}Tig-iIH_K3tg4*;Is19(l%TEBDXuy(PrQ}tBljRJOfi>^3 zFh=5hpcsjvUvM-M9h$h1DLr8%OORTZ(hI!NZH&MI;#$V>ZYep3@MDadftLbK&Y-gf4#km2H-9$z7=sHLjq?tBG5SHqk+*E< zU-VrEprQfm8s6b&4E{w7x`PHw9Dfmm-z5A8+vBf7-$nQs4#);BKN@k}2yye_Kkvi# zO?(bGR^}J=Ohn<>M;?_oQP-_*8~Yo6_o#I#h_{Mknd_5QZZGH9I za(@_}B~w(?VI`jf*q@?#hRW2$Ibz7sP;6@A9C76Iupzut*NgOCs{1^Mj()_J#e-~L z$pe9sYedPCK*=?tA)EI8I%9A6`y zLt%Bmyl-!~Hzqol-I<%Gl`2KTvLjHkU6eF~P!qE4;!XdslJWah!ks$NcDSIaV`#Mg z$(Mt%+BTlV>@L7gr;@pbt0ic+gq6Hlt0WlgzB0H_Cr#R>N!?B@5aIbQC7PknGz@Uz zUDmD4(8$K8va=l86c)Z(P=9TT!Evk?O`%$`Wk@F_*oH7&6kH%1K;qtXyZQ%}Qe_R+%t2YoCX%=>N( zqv3Cq_pj-B_U^|19?u&BCG$kdz(C17Q8JJ44z^VT-$13&5;JmL7*d)CT>Y+l0hPws z0#{48X*V8=gw?t6Y_%wTJW#q?lzu}c;FRI<%UUIZqmpz8fVZLW-{yt?Bb4H?hKYq~ z2)JPgOF4-;XPQ5gT4nH=8L;y})&lRS@)zje1$MqDb|$;}HSnU?c_iS#&dUR>21h&Z zu4m_~Av?DOC2H+#y>~m?&`ul+MgPn3$(%|y@%#sjF!9_ab`A;b+$DA{4BL4mn7x(~ z#PcMB3&r!dke#!G618^zm3YqKV2xWRgLrnv>e|>@F2nh4ft}?JJ$XHB=f{E8+IVUR zs9W#2y+OQSy_Xs)x_Yghe?3yW4D9S9c9wNRy&vRt0v@3F z@oeXH0^WmJ*UtX1vkFw&`Akrvj-9irSMb5S4xKO?0PWT|6Q-Tt8>}276+WCZrovT829)W`sW9KTdb6H^L zDzS4z*v@wYt+n<3OFcVp4%t}*@y4^iWT*6Z_M_)GtUVR7^FM)|Pl=uH1$I6qcBXd^ z#q<0?YptC(7+ffxSmy-s+!U0kwR3;{h<5T$WBrvg5beXX&qX+jHu3yQ>^ul|nR@?9 z>>NZphk|`Rs_I}c`}IBnIM#bFgA3XD9qgRtH9$VV=g;xZ@K8{qw%+$KE=j15Ks0g=4PCyF!erK?9A@r*ZXL(vlHMTo=w5**E?Nhy>~Xakew4kb`A?lgzXf8 z4EKUPkUd+`J@-5&1buB3+s2Ae%=={fzN`>mh6cW@5MLIDefc&}T^n=WM+*N!zTjCj zL0@ZWsDE`X2<-fnF@G#%=TCv1kBOak1a>|qcD@<5b48%F*3SFu+4)q+&ewtx;h66= zp21x33fnLrb>p|;YjgZ~ej#@53hews>?}Vf)HaR=^Pk(ssRkE{=eHp{&kjn|+PS~6 zs}gOvEyvhgx7NabriShOEYMmT&j?XC#d&wL;WAu z`%kR*P9ZzL4(#kCc3u|P*-7l&7`F4$Kx?g?ch<8L_pAqYz7UkCjpzQx1kMGcS?^;* zc3zq1$8)UM`9WakSg|vsXQK)sd13PB~CH}gd9bhLPexaAU3o%`^ zL9ObVPWir_%f-%?u*=l@asAp?Gc%O4P9v zKJVL3K4Dsa;%F8vQYLwk`DSO!5F$<@WOHJ()(1qXA26qn&RCT5IF^ zQ$0KL(rVjh9>o8--v7$DRKjtIPfGCZd@r!Glh`>du(Olcxg>1o+(2uso!1&%D4tzH zc5Vnt)QKnc?=zlL(_rTWv2zM|b#P2O7SjO{C*k$f7oG5m`O}K=knSo1>_2Mh@ zKMEsSAseT9+xbbYOX2gPq8D@8UL)$8i~7f?Ue3I)5p{<_yy?bkBuuCI>R6p?j5-X) zWgyg{BXHZw*DS~V`OKzUf-{h z42aHAs4Ax1Iru+7SglDx7;(bCY zan?>$q9ftl0XEIolln4zdMq1Kzb3sW5`40JiCFor-APz+>+rm_iVpSSavfS_-DXL*{5ml;ci+Dqh5q~8g-v%6uaU(z|p8z3@&J= zb_{(x{Zo&+qaJlQr~{jrvI!U)?yYug1gIy2%7B*{Dm#A0Wx%nec$KFzpUr~{?GXb1 z%=*H>@%9qU6KOcfH2e%t)ZRL@9qY(wHey_=LqgvRgjS2t^TR^<*i^rYsHlDwoj{>Z z353oRp&Vd!4US8^Dw-)m{|TXqo9WL?iyZHUl^h7>eJhzmCA&&d{Q8jPD*`3gi;~@8 zp=a+`=xPeRy)lGt6ruCPa$fQ=jccO_ZQc)!O8uraQa(9Z@ORM92%)OQIPx3(U&>t2oP29vU1XG;S!nhpG7A zd@sga5X8m6cl##L^KU&RoH{6iBLwf`tn2yDd-ePmdOn4nu7NBhD5De!_q7LR7|MHL zwPTD5nMPTp{utdDGgS6qtiW_zhodh)&5?A?JAvS~qB4D;XFL6QbiaZ*y=U`HAi7!v z-`d0%%u7QiaMdEXEd}2NG6ffb=-0*u;Obj^0tH{qb<|X6B1&ulS4**YNg#Nt2p&$s zZ+U{Jh6HchqhMa^%3g@O-mqRXJhlPa6on`PLcTP99p(9R8L zv`yDEPx{uMC_-PL^|I6Y#7GO!b^$i4?309EJ}4Bj=WCS)efx8R3(fvR@hDp8lBf3l z_NNky^KlENE)aN9 z*xIuKJ+&jz3WEz-yC`Js9e*mZ3N}4ji^E8O`=>&7X1>AU%n|G*Z-tax9VmHAlxz!>7&z<1P@T>7l+5trTwe*- zzS$SEq3jfK^wM}^XZzk5N=FDbPwm?&ZFO8&(f0i+`Y*j%!a8k+MWNr!#|287$>TK^ zQHkuqt^7@;84i!@s#Yn zUXG`d@jNv&A*7^rjvuZGqND?a8YKoE6IN0P=6!oI8KSda)I*v#8q`7W(9Vh2Bn~SzJ;*CqgSl=*V0@B+rS^FBlSe zSe$_iP71~SATWFVAsAkI8eFKuzU1z0;k*TkvyYJji9ZEwLtw@iLg^M(dxNKT?>*#O z`gS#s8Pl-J_h*~zJm0r8c?iLju+W|R6&i=A%<-(=@{rImfzWahS`!v}9EkgFGc71| zBM;|}2?>>FhsqtB$B58pAT%L&C>)b^B>ef2@WUL-dJ3F>a;R1|?N_P1%j6YSJ?|q@ zstQTh^u<7FCgVw>v>k*RrISR-2*9}`*oUSF9S>z;;rU2>4C%i8oC!sFqrk?LKL=&f zt+AD>OW-=sM1 z%*@I|F!fYxA()DV=_fIW3a47kH#h~u#}y#Ujiw2Q=4UOX`xjfQfi9I&=&&mOFP6Gk zN>TJu#_@J*Gnit+Gzd%?!gRYZb%5xc7eHPGJ-K-P1V+cK@eJ4_))t`6>gMk#Wkf#` zh<=(*Y_)cSsYpbx3YfMAOlQ%^v+ca5{?PO$n2i3jZMt>}^)~~#QuOz?W}xL}t!LO; zMmhp-%;s&zrdZ1ei=EA4-9YxtmNy?~{YsNgae4x8j-QSSik;%H*tHDg$sqG7uqtaP z1D|P40is%5;K8j?lPNB2B>yasX;t32$WY%ra4}R>DtiG?td#7lk)hD+RmjX`W{sa& zj|_JYtE@uCb%(VHh$_)%VI6Pu?GSw*P~USPQ&ip?$WY&Rw&=5>Wh!SIa94r|{T5#; zmUSn6z(#4TCX7rzzt6}_N`y0T$3=DwWRY2b3}to)7iCapGvIugGl9>VNSPNoRlpmW z{{q&?yh!5Mo-%ubEHVcnvkErx7)$KJZ+~m1R(V57fwp@+3f@nhJpnitQ(1>l&7ICX zAk1AMU9b!@YVH&@BdKPw$DelGa9YCvSV9vEO6TuMxV9tF&Y!t*ys zH)a%w8M&t-s#8E_AL1LPJk=_DBam_yXfrZw!P(Ct!yzJj3o;xAvfn_4LrwNpWJ;O& z2$}!Fz3lDCa1_k`hCD3(BQk7Z*}o#go}R6m;qht_WL#ueA=zdGSlYFhweghvSdQEidj3K}_~S^$9F@)TdbOd-M8XEzP_>4<|(VIjaMn zQgrb?=JooMxi^DbNB#{{_hDlo#D67Bof&*C33Xl|zQ-9{-Zf))X#;MHFPX8Aqr}J# z*xB1T^mF9hFX61yY6h~(y&8C@HPMdyBJqb|q{Cb->#JXojb4MFuFC2G>zp>6tF2oY ztfP{sgS0yVmmnitcy83k5Etp{0F$1L0^=eVI(Sr$iaiY=vd|%`iftm(Vuxj&ST6z> z3*g3@%>!_W6p6-OVwq(E#536MB9{qUR>m3Ar>n^20_4OlrGP61$jg{MTt!wmH$rkj z#`IY#@(;-uW=xwg85gJpD2n}!f@=h55Sz{7*9y=u_A@Eh3D79Eo4}0@gHWu}C^H@H zTs*}YQhCWvq@jx|0(gQe?My)`K7HCq<#u7- zbw9lvuQch(ki=EyCIndjw;OuOYe484oLg6kn;=F1##*~$D8LUPNdHdoIe^Jy!F((l zXVws8csuL^5SuNJN{r%OY#@gN`K&?W9kR(OK=IO7at1Q9S#qIQl2ZLN~80VP8D5JL)0Zuhv8zazspKdYSM>Byj>1F^G7 zyjz3vx%zw{2eW-78-uc%Wy_GErsN@hrXw={1SV@7GV77yb46%thP_=SCjyBLolvok zDlT6DxTC0WF^J{K;_7l{-$TUANPan&{=Wk(z`F6uIA|ppd}+a4vP-&2fDb*v!YYT=7|K=EpnlBY%KX%s22vrb%xqW)F_6 zb@&F*BIh7fO&WiAVOBZ;$|j*Hvd;OPa;}9Lk%J;PLPhZxEScl7I*S{S$ID+(i*L-A z6fvb8Tyn;spY){jg6PY3$HFuF(No>u$J#}Q?BC`?lBCj4smdi+}MJNUKT+wtot zD?;F$72r%e3R&H$5>2KQpsx8HztMI8{{acn4#@DLZnPUR|3pTmtzdx>)qoF|0_UJ6 z)B6%Rn%RhbHriEeFzD;Se>S&*e%(zf74AfnpA?I)R?P z9{UaSt0ds)E|*IW{WGC00o$m6?H7+N?|HgatT}dU2Vaz>YZihp`VGL{)SG@VdD=XV zY{Z7;rTJV~GC7yzKL{FAq-j0}RVKGX{sdr{A{F_5gLanZbC_asJLN9`kttH1&w-N3 z?U>J{D3h}+pG#FH=Tg-NIvw?0UeFKJp{5_~ptbnicN9)|FWrAXsC%BG?#YSqkn>j> z|0gh7pS=UI>4p-z<|X{PI{`L;mG1Azlrs}YKo4c69GMQx9E41FWK^UP%-1Kh0bD8~ zex`^jzd%o9(5KM>exRyj0V3=hIEMCQ!QPlDfY<~?l$FP1FN)SKJfr@I6e*83M+qk9 z)M)KuQe6r&95n^!mb`&wHdy##hiEz#V-T)V>dZ?JP#sN&e*6u`OyR%3-h1J^d1s*I zEfBq}e@*ajRIn4(`U@3Iyn&{$=OHtdnG2CQ zo0-MPT!f5rSHgQHtEqbj{+R4CcQpQ(oHDm|`R-l{43m4Z%K?ok?R=Lt$`mbgSK*Jz zZt9+dKPIQCI~jjW?kO&ZHKw!$F541Qw5j5`F-N^xTP62`n^jVp4hlYVJ0lpE{$E!K zebud&AQbHAcs~zK*GDZ25b8>tLU&YA0e;QH3zy)~jfNfW8TM)yr9Pu!_0A$%k6(%9 z_@O-@x$X(Y!NfRe*EB_`+7pU(k3r5RkJrS^2}QKWKb=rqW#oiTC|c97hP4aYfM9)( ztCMy^k>fST?}#Z8?a{P}IKYooT29}MXaw%ZDCZo%4&_wZsA;p5Q_Z|~zd#YEAM=*G z5;rk8{h7Djt;7#t-boujN;v}`M%Hz^z+>kG=A-UCWEsSK%oFrVeN zpvZ~L=edQ%pTT^QyNGfoF<kV?IEMT0s2V;GeSPKa%aX8G@iFJ@* zCBDS3ooGy_54`1C#}fU3&q(}?-+1C8P%{%QsENcV z{3a8Z1D}<67Qfkvhryqd=!D-~Wwk#HZhZ4rY!mm!eieD@77^Zbw zS=W!jY}tDxcp?jQax~i{Pgq9=^g(_e%smqSBxFe= zP*E&J)W<$NS6Q!h2lv3sfw7~JL@EEAb1N+`Q2O8|SX(Ey4W?oJf!2wJeQ8)f9ALt* zd-?!Xxf`WpQGHk+@VXE3e0f`{$HUyftJ|6J&Dsqobo-Xzi41mhhdIcwvFVO%DJtn8 zOuExEPy{trF1*u64F*s4oh%o#w;+E7#wK$_MJT85F+!nyH4N}GXto|_6TrFaFkI=l zO?q#@Xbp@;_GRsWe#>Hh3j`j7e_hiWhZQ+TcM%F>boDT_y^%`4BYdv`%HIZNjEE}l zw9{te$@|tN$QKUBvD0=Cp2RsB$nH73e)cg%?6OE3g}uQz`gAJA2-3qS;j@2+Rgu4eghJj}?=^{?b7q0hkSNJ$b6>j!$xB56&6>jx# z8+;se?DTM3d>rKc?%}riILPB0a;SHgkAu7-4;M#(#FnTktn_ePlnD+xj`eVDd>nN2 z_i#OZ9CQrva6^0?bWHScQ+ynBobBNj__&yAaD|8SbYc+W*qY(6{WRjbQs1`$ELj*j zaGwXNq4+)?3qHVR!QNDyZd#3y3b@!=D?o*f+?uK;{UaUX{kq-5+Q73U_*Ct9=?(tHRwtw?UDS22D=q zZ$|kXpYuN9jCttgK6-zKKesJw*#6tGXL90k4u+v1}|#CT_gSN8F!%)x_D)` z`Ao*9oR4$ypmT46(vKF6na29u6Vj_-NB;`PT8mF0Tz&j(}1EW zhx(kxl!cyv9zNQba*2mN)kmAkyBcU#-c&)$w!*?y9_s~$mHX@p*Lb)ZzqqNqbwIQ7 z?g|xuz{73!aj3kF9_}q4hst}(!|@%ltmK)fycdAuD8L6@vfWViD;}=U;QY#a2WY9h zk34#NpKc_XU#uIg%8A>-T41(6KRigOPfQea0c8X9UpHbErYH zPmcqNdV2Vr#>q`UQ^2V{dY%Y)4rtc@nFfu3vnn_}Zt)6S>`{n&!^7QZa1nc%2;sx( z*$N&Iv?>0ThkMS)u?u^+_kA2%0T)j!`@N4tE8ttzXserLS^-tZJ=_5X=e2^uhCojQ z>*0cC`{htO3zyIe%e)fD`z1`fXbv<(Ho~BFJ5_iHPz>2wKBoy;N1$oOG9PW)MYV^% z#Yf-DAQTJ)`b=;a4)N$u`*fps1klv`jzQyb=!N5eqTcU(PNR1g(9|2n1Q{Ak&i2qH zK6rTufD^P0kt# z#1I}DnYD70tz4N6La?n25a@ zCko3Jbj9gbB)5ChE;y)Ua+12t-&vN{q0B#(7+qBMJPur$bl)=n%vX9@SvMToGU@8F z$sjW60cDMF#KxqLFB^j6S|;bGvJv28(*2vRfL10aUzd#lBa^eU%)iQ=UQu>`YfCXX zzm)k`#M8^m{43(=&C3peP$u24%s+{VEh}%rXGfLbte9r>g)qSXHN8MiQ+62$FTRqpF=%v*B8kkn^oLk)& zGwt>48kX$-*|oZ-!RL}-9JZE1tJQ8fF#FuD_Y~Oli!H$R8eD3Qe_fM~;}BPlFkXbI zilcJc`=A~J&%Od8inU~1ZBkV#eLK*6n`-PEWKKn z9O${UjPJm>I4mxTK`y5L6U8fqqQh`PiB~f82~}XL7O6N}<+*=| zwD=BscH$`jcLWX$rlX1Ru&6&o@wKkl5-S$jQDCE(8ltDDw80?W2~PAG5;;q!jq(Z{ zU$4MvDxIe~8RGP5Udhn3PfzIk4v*w5^*LaMQpq)uQaQih}oD;fcOeU(yoIBwv^v}qbW$G zuLJr9n!ClLr|m$7FUkEIlJOX^h}ho=`)-fjyj_m*2O!ljJd?_zeq>q)yw=*(D##y<%gPF;GD%T$^-440_C6=`ETz^APv_g%9 zACB7EAQ+PVHqH!5Z>7T^e7-ym$355Xqu=gx$L+o@UxoVZK07N1bbVxHYs3-u=$gmy z>$U@!fbA-B84Sk7LwpSRL*!h7e8IFzMWgr+s#uos_jzZaT$irn$?pO0Jf#R{8fcoQzOSZ74afZ;BQdd==3w7e@x|F)U z4s=~gU5N=0-UG}yApVnZ*xrb)JxF;y19^`^p4%5-2b550nk>&!a&^Fh_wFfo5Ww!j z!f8prMJa=U%fr8}Vd&hU0IQi9gUl)9&AbKTnC!C5pV0J~?53GDz%be6nQsEaWLIRK z3k;KeVCD$?G1)CLKLU}-Zk9P17$&>9+6I#xwFc(_y_s(_@Xug;EW+U{Klk*(9_Q=O z%<5dev(0YqE@1Gk;MK5Q zML3P?>KTCB0Ms?S+?RIDcp&>%AbTh((wzh9%>dka$Z(i;7a;QpGYgSSFw^c9vChi6^lie)A_QvEiOK|G|Q{;j~M>xskRwSgg zk>S9^>%cJC2PXW1Dy^w{6GtX^lz*e3o*ncu*7M;p=)$MP@wjo7^}M%bz+V|oygh$Y zA#aa+{uYx^X9={&J%5q7KK>oBxImSSyOrPaUdtL zG{@4XrXhsxam1Zw3d)EvjA+Mf+2_+i(mAZ-8kThqMGgN1Q0xR2y4RxEXHXYC@vm#f zg4yiZzt^I?*y*U&Lnngse&K8fIP*2IuS0}Y>|Dy&BAi)=A-W<1dv{bu(oiUUSRmsS zkuje#iotGV+)U2TMMlp+#D!=*Xm(eF{bBgRH-cb9ZHl$MY%9UrkFZWbsVs*9jJ2SZC&>xx zTTx_)X!t46aFRt0=RsmzYU>oSauwigSzry3k~;notN2uLb|Z*64^iw;O9qGKqvCDo z$7up?1v!&%O==4DnXe(ka3$VHX0x#us~5i0W;TBN>o%})4(sWC@s&?6HJ5r$#;k6l zU?{&rZiY0N_azEPoPlGuhG55ePSq96<^>&iln8LFhTw%ATrH{Cm9#iV+^GV`90^2w z98ei0ITDD!L#PRLj`;enz$}?-k+*abW&jy2a>ZF}4^=r`NzWI4Jve{VbZp`)5bkS0 z&F6MYT`2IwfOAK9=JDzoO`L^a21Dh}0EIPE07Z2unF~OXD6aHa0J4)rr0r->Iy-F^!rGGevxDU(t6qX;ju#-PNNgx{m2#RA_;_$yZb z#p2?2!aYe}B5?K;RPz?7V;9VQ%gemx`GC;peh3`<*7jOl=8wRMN4t@E$+U~K8LC71 zELDaGa1PZU7q`U(Cr%V_Ce=HT_Yj4gPqigIrw!N!3)>&`RTd_ew2@Y@%~Y4A*;G#j zY&Nx9n$@`oa9rAyBmDOR&aHwtwp~7})U+X$+uOt4WpJ=`rYdVyta2xSz?S;9Aw=vp zSoWGXHktR3C2_zo6YYPt#|Vjdk8tK5nN%T{2D(hVT7m+Hh*wu)IcB^n6DxlTyebo~ zaxswSNDY^pdx%bmOZ`>|)C$zxt00U%oeoi^?Wo*U9*%DV01iGO_V6je_bPR&tt(Z? z^@#2yR&4;Sw^;Qqisclr-5f1eSj%T5c7fW$M8b~kn1?v%HVnh^88`ywT1THLQurDr zGj4O+eq5xSB~ni2q*TaNkG@h^tHD|&3XcP8?g)VF@a;{LG4aNGoji09U9=M}sPw%O zv1K5`T=a%09(Sjh8ms}FR1G zoR4feS1@|iN(3?vP}jt`QA!`b8i;a1e1qSN+W~GwMx}EXl%Dbo;9?=idHkg3QS3G# zvjoW(>12EXkcCxd3mR5M7TkN0RHfb)ssGf*Y^4`7zS5naJ_D87uLU$L)L1#95g}f-&2gY;J3^O1MsgIBu$P z%KM;|uwCuhYBhIql$v(adNH}+Z{Z4i@^Jc%3Evq-P-^uPzyxH$$ z4`5#QJJ|!dJ~sQE>=T$b`2 zpxWH;WY1&SSeAWJ)ItQX1;t*-oa}e97tsl`-^pG~iDti(eKGT9zmvU$0?d9Vd+9nT z<9?@`@NaX!lfB|$WFyTj``SyXfcu^PKjyvzOsZmO`-C}@VVAUlI4rP$A_4*;pajVv zA_5{H86^n_OHj!yQPPsbl7pyZNs_o_VZ5WBIfPq zoy;Z7hva!Db1A#GpLa5sQwDtAiT%m*PUcF+?fzosIxn^*n~U`}7YDF-%nj@*TAr>l zH!`1Ho~|-CF(2n?->Ii&e!vlOdtUCQnVXpnxmWc<)2*yh(9@OlZS0)`V8K{n* zPC?jKkylVN`Y+s8#9Mv_BA?|p9*tlI+pf#Jg9589d&^c&-i$^uu z<5{H-axl(!39*nW0Q~Tk<^nV%)g6mJ03m-=UG<#jJtTi^$<#Oa`v&yd!m!3a%A?x zb;d|1ox+_ol}^TVzB8Z=HAtQOa&O;^_9*wdt0 z8O~s!Q3TDh%U~zM%?3)dP2pw(Wwd+JY;-E=J3OfO_gR)iS@EEf=9?9Nn6p;HIg52t2+W%%zaD`x z1~haEjcNAJp(#5~%9$U*A6Q8E(J2vXc2MyoVC6!XXSu7Q#aG08^?nsEUK2cfKYI#uWeU#_!xU_+A2Cj0o=jmn(9pDUwrH1Q zEDEu&h!*CXu-z&7C(a%5!yZqdT}}!l*99I!x4JK(*?gJG60A^r32hVBH()$_32hgX zI!auoxfP43!P7_Pj@U9Gr(T&0*6l6F5r3%*PJszh`m5> zQzFRy{RYM@4Vs?%C5q$PbMq@1q>FyIKX7`EQb88A1sc!8m|iBx2cZTN@7V$6Wu>Ey zzzf8b#~BhvkJ0Ee0xq7IV!KgZO-&A03>6y5aQzVfNcS&_V>*xK1%D=AEyOVu9Dwe- zVT*mquFivM1u#g3+YdrMb? zQO%aXRmD;tVJQh?!aTeo4UdwCiD>;D#Boe`fG2`6Ed-6OkOL=`AWt+Y z@}$LDoMQO^SL!-cYb~-ElF5-pg#g8tV;~0Rv-*0)0DW>5;GvIEn=-48y0p?Hb}v7G z3mrxg-ApsP$V^&^2N%wP_$Vy8yCQxM&VF-<=)g=GiqlihjR1|-bj6{Gvha{xXfX{1(URd0)LuX z=!nxO(Jr$!%m)xdzoUc-F0V=|XdzccoA#_tGm}0>#b^-OeS1Yb3Su3nCjTB!6#pTJ zEgj-`McfTyXQ%lhMLY~*l2db|O*E38(n5P3+J05>6e^x_T7RR6r$G!oX|wsKBAx@W z7>KmxXlNsB%SInv3zc`O7qUxiQ?3IdXZ3`t4na0`X!TXaDX{sM9b!90oZ-?EdN@Rx zdMc#o<(e9fD&o&gJiEs=c|g|qB~(6)Vm)$ytMB9eZCzFB7q4Nu=J&`z#?5Mbwb3j8ePdQS+NR$FKUCN;qjG(o4XyqbkJwT&H zykOHZC21uK`tRyFaqF=Fq%+WI(j~1)!g$aqMGS@Nr3(6QtLk|4l!v217QMhGR9h<& z;A6^h?Xe#WwwS#Op5wZ64nr(Tu+4Bxsa>x(G(B1HslW@$(bC4>2cDPJhg)=N`U|1E z=$dl(pf`KM^pHHYg(~58sB>tf7Z)nG4-+nG5La@%i_CRJy`WC@l+Ffj*n3XdX^M_e z+R5c2b7O(q(M)c^10x;v<8Sbw9r#d!zj#z(%=A1K%;W#yjIov3q`(vmO=X5Yb~0xio^Abs)6fN!6od%wI3?Vf`HUrZ zMBhCex}5SfTj(ccGRqDO!nTP%YE{v+{u*a_DLl*VF3$1>*s^E2L)2V-4Dfl}n05-D z4BVc^Zt3YL@ospK27f|JGYP%rOzR`DBE3o5RKdE2s*Z-rBg6IbI>xh^(?ShE#yu+C0wLM^b8%@{cE>Rm4F?g}Dg~TL=Go|!6af#tICC~a8*q2EGj#J&&z7i;{MXXyG9YeHN7+puSeHhI@2`ConIUmEQroci|z%lP% zd>ThpJcomQXc$`;uzF-hk_=zbK&<6QT71gK;l+!?QMz_*k@%>|-(| zWabBnVUw`2Cnp1g6_)hPKvzk`Ai>8(f{TcW1RoO#?zeH=n=CEm+7wJ|2DqRgjtKw! z8AySrYC2n3Eo68^DbUc#U_a;m=Gg39TF=Oxn5HONF0p+Z@lz zy@E=>IgN%AK6fDo-)Cd%iSa1*yu|lk6Xl&48_hQrjCOQ*=#OD2=zcl1&{&5y*QTYv z8`BSWV?r}f<0z)L)@cQIQDCV^U7i5jw~T(w~1GLK!k922f7i|Tm{ZZE1jQt>t5 zvZ(6XE}<#KUr!eO5>|zSs4v(BV&W2PJm)mv;u36pIq*<+3`;-v0tV%*!eIvA3CMNW z#VMc>jsWd<=xLD9Dxg9Ai^>ZPg0JHW&e%sGQ^am#+r;B%`Va#;kys`71pDVjDQ8~*j_j8x;1zd!- z!f$(`P^h*&@dg+t{lrl~zt%ajz)0z;h03CYMm8{9q9t?$jWa zlvR{%x=CJVGE+sRDdbL=pCJ{$Arl_5E?~OZkH>aCN zoC0>!A2hnr8bY&ACh(k&@_GcX~z6f(BJ{$A0Pf0(boQMBk?Q({&s9**fzpI6Q zLmAKVL5xkKlXH<3Y}l|(72GX$3i&>C6q-p>*w700>{+D^zB{aIH?5>f5!;5M9U|Xo zElm*LhC(3nw%DseL8S%Rr-m=k19- zwS{zt=UPIIF!o(PzTd#elnfqqNk|5bx5kJMAlF#PG;6ZWpUdb&rMDTV)=yUIo&01Q z{SFKAo4nZ=#%4QN#`%f3+_oAnY!{lX*cv4ry$C#Sd+d&*gA6>)ly!r|?TnF0nJKFW z#ka@)I5s#4bK1kk447>6BHQ-j+8rO{N;Xp#4+?E{CZK^YswX9N5KRFcaTWB1;EtmP zw~QRB#=1dT=mv=Npbte7i`TRD|CA8r$Eu-n4y|DXtsZFfXXM?z;e6Yf&!ryUdLpph0rLJbFCk-n_w*51p}@O>boZM~5XcQHNG4bXJK6&ytyVSGoZ3xVHRGtW+jC z*p7k&eJm4OrUJDst*rFB8Mx^1@k}&iI6gauDwl~mJc-hRa--G8gL8m)Q95-NsiDe~Ng8O!VJJfrpAPJO zFp`W0-!ySLml>cj#46~}-T-YcXuO@w|7E85?I2lhDI0I1{0hqH{aQKYNkyO@+ZSc6 zZ@Yqi5k z!Ms1rfn$kw3RvE@(9xb8)H|Fn58xlk!w`Q_W~I;%^?qEKP{+?FwS)#JSf?y2Xqyjr ze4N7&1e|rjh^mp5yqUT7yP`H0BG@qtJ4Tc*GuM8>`N}F1q+$c$Vig54+l#qtd=kE2 zE0}o@TEEW9^8Iw7%v^jaz(f7eHMRPBVmhtjI5-O>+$1MSNt&VxEJp!-T4)zCbeF4~ z8axy22aWspZl}NAx#7Yc+BrpwfzWy&Op-g|edUO(>!zS~}h&Aunj0zKzou z_ylPpe=@2UiK6U_p+-kp!1IeZvM(A%+5dzQ?7O`WMbQ=d7AOuLk%rF$7Y>R?*}7pCv6@QF!FoI*G8smvJD z(vp$%)Q&jQ$bGwgQH*Z1Me3OGSrku44S?IqIw%d_1umjGp2B8frVmu*?E%!fiK!86M8rj{bnwrX_CXw`Wn& zR5?F6k@VD$)jXo3IghN172nyUVhP~FclKyo#YxinKNwVgN~uJ+cl!*v>>)==C8hVL z(VKl=qEs~ROLPy9Rz@1mR-=`5M(b)zA%|n%PThlHbnC&0wbkf2$e)S&w$gk*>ZJ=a9GUc|B5QEM`7?-#S%L8H>E^= zCL%Q6pPJy*Mm!bxrxbXQ`GcrWDBnc(Fk3S-!?_|&@!6jju$PFzi z^aA5iZ12_WdCPBd*bv(56yPHzl>n!R0B?o`I9+O%ddCsq3=v>Bteojch+!q48=94c zcK;4)%l)G)Toa!VYu^iJvQ!c8DZv?b+IZ%7QQjjBFGie)BD=T}P+R@-S>JPfMgJTMpzXMYol&|E}F z3zKzAOB9>6qiu=5V1`nNlxbs6yEM=i6gRq@rJTuL9te@pT{u2~!lDrtw=0MbAdUL0 zr5fEvqlS8QyE~S2QYEt9(Fo-R{-Z9$rtEHDS_PX(6;94bWLNLoM5A{mVeGC9^|alH zj_80>ZU^*?4mbww|C?}$P2oziQL7E)e`w% zFnY%vr$I`6UOh%f%nr0WNy#K=AWnW+*;xX$)x^0=b&)694^m%Hb~h=!ObouIyTp5e z<-kz0yL40(SYG(?9y!iq2qUF^Uj6{{H768oov;}!@O~Tlw%1ikdn%(O1HA!|M8L%$ zQf^%Th8|=Vx|aAJO9(1WVw7??)pK=OTH?3*tZV(3}glxbT4XT1z;7Jl#;% znfIeIW~LQH`BYsAVW~Lq!g<^9zG>;0!C(qUqIi7&Sth0`x|oa7cTQl+uzI)KV^ns+>d&&l%g;MPPYFVC7=S zIAuWVDHlVNY`EId*%OYuPTPKf0>U3x*-F~u_yH1grxEEIm3L-Q$+RMS$&gqtjhL~1 zo3x^|`ut_1SVrWaDQQG@!h159r)Y6qx*~rHp14i$w$F`NJzV8bx+-if?mMjR!|)i- z^JXtr0p)iC^<@?0v!4g>;(R7J{&J!Yzj*ppXBGUrYZfh3=vA(hD|&M@w9Lw&cwa@f zqH1$bE3}@EiujFUAfX0+=jY`QMOvyFgS0{?7?+hddPoheGrVnmWTo10RV}C$vUt3$ z>7Fjt2D4gkWQ#1u&uExj`HMU|SJ#+a1&Vx;s%uQHgd!d1=^B$ORJ0Q8naO-qFS-bE zJ(F2NFEVzft}(d^YO8eohDK`)@j3s!@hdhd{&4eT5EH{^Ne8$xL!|8W9Dtgo^{yzh%z9lxImgYp zo<2k<6CrLdmQ6=}1n@I!fVIU~ouq?oYk_tPqqT^(dlgI2E}jZp^Yi!O^0y5Gf!)x8 zoLZ2qNC`&?u;e8z_!{z=T&ycaP@-Kl2ybX@iz8*;6`+90F6dw^vRY8Wc`9-^^z=B)znL}Dj*+zNfwdtOS0G` z{E{pp;g@8wTKFYdM8Yr0BBEZB#obH2B#Zg*OR|WBUy?;6{E{pp;g@6)3BM$ZLcmM1 zNV`kEC=a|OYZ6SinDwR=ZNgCcbnL2GBjv#~Es)e5ukacrh;F~!YqZod{JYS`8Y76y ze}c$cg17@oN$6m#wDJ1$;jhB2af0~#I|c$7Z*h8le`O*QEQ&tpe`N@eiGoD?`wRjy zMXKRXb%{(BB*y;D1>1DP&JZhuoEGi02Qf5F2*W(tx&(6?KA&6*{=CHNob zIJ2d< zsLXf8cu@m5UN#wj9B_=m?ql<^@ik)^8q1E5S`G~|<0O5}VE-#oHEt6MIjk1Xmsa7e zwkN|w#SA9n)o{$i*EvXfYIn5HnSCRUqSd4aa>y54;q+X(2|{hDG$mZ=c~vPFU$vz- z^m5dWsOXo9JIIYZZ*G?yBI~(UbI@io7og5hG4r^U4w~uAUqMw{2X@We>*x&dd6=?A zg*^Dz-V%A_DcA<`t3r)zK`>+?*A|Y^M#e}2J0Z~Y)P86dEqZS!B~P-?!6;^*-6Hz5 z%(hvzP#(k$)wH+~s32Wun!`?Cm8Mfbw0G%yXctAtffDMZIXcbTgL>&MHTwg%2kjyF z1P;2^8I*ssfjQtOi5#q)mV2vH@P{f$Kml(S#_dvUmjSlw7t5X?^`1OCZ}B2 z+pG=ppU{@Cd0Mtcekj{ya@T5({9fjx-3^!@!-!@Yj@1POriG2UzF2rz?4Qm36LqnlN95 zJpAElk9__fSVyHbyg|$h$>XL|Yxm2*7Gi2zTxOg@?jVqgOI4XGD&q!kQq&Qd0+3?d z$H-8#b$7wyB za?0YqSD6?{N}82GMp$`QQK$@|EQ4evvknFmkIPXG^PCJ%eT4fx}QD>0k_qdeHe z@LhX)Q*XaE{MP~w-JV0se~vZvG9TEeyh&4U3TjjZo^J}Od*PNHCxV6M!JFIs_wMDS z1UjQxLV=%YV?*9Vo*q=o#sgMCo+k7?oPuT6VN}!!?+(=ua-+vN6@-Gn(@ejxYcyaD zoR&`&l?DxgCdyMvLMYgimiv`mwHC@`OZycA7G8?_xYbvLFILoNodwA<8^JFX;oBAU zxkbT|WgbP7B7DK3{;u7}9uoMLMSUIBVVNh{DfRg@%vJVDNQp05)Hgl`ie=(851}Hn z$i|oU6!w$AS1;UB7u76(Q-iOUg;o~9%>pB6@|q0gdx(Iu0s!b3Yz#-#OxC|uge0=U`{ z!4t#mh@f94bcfI4Zkl#s8BWmuaYPXQk4`!JKfr-s4(aAo(=n$^O=x)W>=-W(1f4=eCVpejqsOzRwPxL|(7P?lpfbq^4JAqs;#ANw2|tw7(kjHOX;S z|68oXSK4XW{D(-d%{tlrzcOEkgU9%XFkhDv$n8JNd_B@b{+`S~Lwcg-dlVfd=nZ)I zu$gEmOvs5|Z^QvI%Yj~R%zTub=k+FxEu-Z)ufM>2RyobVk2mQ$ zu~Wa6$xBfzp5KO6N2jv^Ei+5Y#mwprqNM5Ktw6eM1mAhtVCgQP%YbTGj-KP(W!UDUGsnZkaqu%GOFajBlDyV~J4pVTUc6_=v{ zP)?>ekQm$!O>)P`-F+?gpi`n{=ZjGFKKca^TFV?-p>mw?*-z&H37gPi{Bp9@wFk;@ zO9x9S=PppK;lP<3>$cw@be&bZ%>vnV51*X9*@$ynrD}S#_(Bv!k9jOITfhy(i_~7D zyzxi$AmqkvLB0|YXfvX4pANc})sJ(KB)fbY32%_l+a@#zL6%nnyh0wXJUG$3bfV@v zdKS>~(tn!Ix{6`OdFeXMo}!7o^l)ZYH&<+4IyaT4Uvu*L=+a!}Ie$&hq8G}XEnsHO zmAP?j7Ckz4X4P1@n1Wg$@D!*BR%0|XbNN7pK<#W0heO8!z>3ua0n&BQ-I*dPd4PCT z3vUjsFup+dMWI9X;4iLMinlu~9G^wc>aH9&y=pxB2#g-8gPW4b2FKtB)J9xNRcw-( zN{73y6kictW!ClD+1MZ|zg9cjqj0LxiO9edBWoIHidT8CNw>`Qcn>t&v;K57 zrTCPIM{w#<1#o_mv#gzMq@I4NKGekfiaAxhg(QMUoC^Z!2$P#=|;;HF&dUa?lfKHL2S;YKt52CH%(JGh@~N{ft?~90TaHT9@A8J-=!AjHIQM= zxYJCY9MJ+DyYecH`O>P`>nFX%Js4vVt0L0uaBn11?{1}cj~d)t+*!O4e8U;sXJz@p zs$*Q7O&|h=+Jj2FRC}ffwP#iZa0zJwh)x?MgE?j4Ef(6Ut;Vcr0-|-n5S87eGmGqL z^bGywaQbu1BF16cL1WV!O`J2DQyL$EV(^@{apnpuJiYaD=D8!fM~gga;pSC~F&~8w z`zs?(F@HugOh`w7q2A9fBNcsL|6*nqCm{=5Z338@co@Z*S8)ct-^cg+l?pk-K!k3? zN>8^DP*0g1$vIj~ulclLGUzIca&JoOVAft1+0y#63E49WDn~lPgDsp$Ax3)U`x>X) zF&AfAo;0qwB+~6G>C7`?nNvCsB+#%rU}fn#!<+0Q9bJ^egk!VO_LiPSE%L09{bZ1L zHf03al@a8yO&kv>MeKY3kA!*OC5-pgL{3-<)0wb%Oyv|JCdkcNS@ho*YNY8#SajH1 z(bS_N+ow}ObcD>I&n)(8&KkidsM%~yWLKIH3ssCp9y+j3rg_F#ieA+U4~jig=_+37 zO1Yy5BgClmK+ZN;DW1lb=RtKDxf<@{Qtr2-gn7mmm`(-%Vo9W5O6g9X5nYJOQT`{x z*;A2OC$o}rI;Cak2(J-Uvf+AFM^eX-BAkwFH4&8|MsYYwFA@FX#B*OWiLospR;dnO zDB5RYb<9bxx?B&csRufg`~$BPlyYItr19_GVUb{#-Zbl>gt*kW8LOqHgq*grGM;&Y z>D3w$AF#jh^1|YZSas2nn#>XwXL@5I^XZ&fcyX{qZ4l&Cv~~ZbpTpM51N*oKSQr2G zhLO?7`y$i=x&6l*MudUN>PWBF$SniHidJgPVoN5gve#_NUbEZVLXPzI`oI!=aC`l` z%9XwT$6IcsP5C2?Ei#h*7p9geT$WKc|7QOU3p28V4Eo4w3p%6GD!J!Sa*wg)9-Ch7 z5$%~{%Xe~p~8qp#?QqN&JhrG`Q{h(g{ zfwbow@iX4%xz7{R$!WD{_a+Cre9LIWW^!gN_TwX4Xr%vE#2(| z2B-gUOX0tW)b4w%Qkz&tIeF7;U!8_22l(;_+9{Nu$*lZLRHW61Z5V4Wy$UkM=1S0M zw}<{NXhh~|=h=t_C2R73a4Cd`$tWv#nyez@H6C^zbohwF)c%Si?OYeRrr0OXbrE+S(*nuAYgEPm zj?>Me9BNi&*V!@(=x_I{{ws;4DKG4-MPg~H@`P>f@7$~VDUMMy)`*yQcn8UFuP;r2 z|C9HI9$+Tv73pk!ldHmk`|M-1U$Lf+ozBE~?2MS0I(9mxnKP>BztG6PIz>C*vd9VT zAHK-BuLD$*aXeno5rczfN>layf@&p|h}#_e+9%^}4z*-xKs<6qx>bxBfl9omykFSx zcxG0<@9+NYUwqZ0*2;f*Onv}w_pj8G{*>#r@8tPt8~-rVba$!35}@Q5RXTfqFf5M0 zFTKe7Au!1m6b#<5ySs_SlNF&HpI+!|wAx^c7@e03%;QGWsZu zgLhWiFE)NhdGaMj_Vgi24(C+iL@Y7RZUx@U_B87$n0}oXia^nKV%WC+KCi+ws3IA? zTG4cbe}8KDSLX6B2Irwci3Hm%Z!0PkZ1J|DLQ$$>7Wy)j`qlOsP%a^8-}lI*To{8) zws-d1`&oSCY3Dw7u)`7X|3<`YTdazd|E?i*!fa)< ziC8E3R6Rb&<&^E3I=PRI#ddz1Wc9kuYIcg3d)6FJlNJ0WlaJ3u;&H9f`DFh!*NRha=coAe9kWka46snKy3UP zLIABkU{gRmd9JMktj2P{*5JLV2Zur6T>(b{{tmbta6UN2k0!$(J_K)QYcUi$#wR<> zl;aiy5YxBYO+Ng}9c7Y5~ zmA7!{3M#sSmz;8fDM(mf7|Ionj&R~&^iic|0svq z9uEHRbyBP50C~xG@S)U)lbJ^{QvtNFS*g)_GC?|asAnB_CAw>DGUw*0~T>zbs zpWHSGL!wEtUUt_|^DKf&1s%CkzV-5GT6?EmJqJ#7AoaT%<@C|~thPazPsOi-A*Z~A z0~Md7-_D!1KGi(_35M{ylYgSKOVas&v-c}s#!C3yul%3rqcZfjH3J^mUk~X$O;2jx z86m4p5xK{-QF6b6emiDpU)jM!4y@%sB{%A|DGDjiQGm3Eoq(4B`6+0FFjR6^^ubPf zk^@IMaBK#8zYOJSp2{vmPI(c)W%=#-9|lx>a=hCSIP394c^64Y^CODC$abu!_*F1O zB0dUNFeKE!_owJ;+>EfY(~e+2lA#^wqx4b>{J}J}j(|Zx{?lA`z)^r)Pm2LVfO`OO z^3e_hlKv|+5P1PWIsg! zIes<3Xuw7eY!7%2xcXE?#?Y1wRP(8Z6Z%om;;=(@1xyBHot=Q}cRyfzK=rAIjG>KB zR_#lGnGk3V0IB~`fCk`LK+by;Am@G9ftLWiz|{xSLH%hXRex7dUJbO;cyK?YDgoN{ zE{;<5_-hcB^ND}+5$9GJkmF4RoDZlz^^n0ITP#%VOO#6MpW~Es@X8M49}|(@z=2Hx zIWP6$Tn%mMV%1+)r(P0ZX_PGk45`Y1Q;=X6OI7{7D6a)t^kcSN)N81m8So9jt$-~7bC*feEA?9%IQ1|VusG`ha?a|-@(s$O58x94-GJ(Y?!Z^4m5Q$! zC=Y_BUSqD_SFT=BuG-mlGzWhjV=Ga;8=U`pVpHsz7oclHE4x}z)f;p9mnku*5(1>0 zivVT^6ZNjNT4J9bh3B1lqu%!i*Kp!$C*;Ht4ZyQK82{*p!g zr;4gKMd}^eHvqH^`Cfv0#}P8>t+U|b!*au@^CdQWctM~sKoxC#U z&BzyN=isQB7;9!M|KG-yelm_jUKsN-F}BTEu5gwyHdXllEmVB4FE?UZ#<`4fnHb|T zF}79xGtbyo;mj|Oz!hCVmT8DZ6|Nv@jAa#`5weUiE)!#1CdRZG>ygITH6vZki)D;u zRXOvFT@{`YvWzilM*4q>vk%6s|E?YDFm}CPJ(e*Ry9CU+lQLaFQXl3SgEBQjQXl4%oW$5teV8AQ#5i+O1bqtfj47FJAu+CGOv%KU zl8G@T6Jtsy#*|EqDVe$zm}d;gv>AzUAY(u##(+$W0hy*DsSo2m#(YeS`Is26jSPF)^lN`V)z99b-Bs#&k@K>6jSPF)^lNVob->xe|1R)UUFgYE*&zkr>l4{aDqG z>lo89F{Wc;Ovl8Sj;Tpa=pBhM9TQ_ZCdPD3jOmyd(=jooV`5Cl#F&nWF&$H9B*t}& z>6jSPF)?=2A@F}nEMp9pv5Yjva2e^OF_u$w<{7goT7^|sr<{7gw^^Bl1Zez^G#F&lg6cXb$#%QX&f}}AvQy=CTo2hc<8KW^VMq{eh zLDdFbGl+OL;bLmK8FG1w`H+3g@YDiynWarnd&!iuJR>Ai-t8IZXHf-@mFEPSyUdDS zE05)7?n$3#w-i3*xAK$(7cR3>z{=Apz+B~^mFHGY^j;v7mHik_NM_E=mU~u7mgS1_ ze9eZQy{zh4hB(M&R*AOq6f438Sv;3W@r*=V<8rycw`OUXaX7NHs&Tw-5Aj;Z!|R=g zdF4N{Sz5fw>q;CeENyajUR_>Zf3tYq1tu)5oaE~~!h99!^O3B~KZ8S|rFF;lVrd(s z&QUO9X}K^}OIsYx>n!Q{mW(o6u4^zuOH0ne>ko1*E1aAvNXjm08%kiF4jvpn%4=Qe z|DKFKQ?6}GkUkzVwB(;pEbXlV%r`8}YdvgWmX;)~@+2~U&*nrpye<4slm1&kzLs`M zxQdBqK2{{qOG+yiC*^cDUW*8a-wC(pWt1J#&t2j05ojNBgP~YjC4_^PHbmOO(;&Y~ zq}Ko{w6tfj>0m}M4MiX@>T(BBhzUX%LArRTUpr0)_6U*v0PSLNC?Kl2Sl@5QBuXJuY3gu|dv z4nD>@bxQI2LkzEvi>!*v=*LAPH$^^t?W?6(!t+tFhc+-!@beI_ou%hQ7__C$krBTU z`Ro&`*q(#*mt^jb$+e8gZM}@UPg+$LZr>0dE{lZ6O8>>BZJg9OCHkE!(hEr)OYGbb z2}Fq`uZ!O6$t>=O6}?o3qwf^i@}IOV?Q_xlP^tNtwDQQf#YCRNWRwje?PXG$EZh#3 zwuw^uxp2;xBv^9e!qVCzY_hbaqVXh|%O>HWrC4km;pA~?Ran;A#SrVylv#8YjUShM z5o!CF$a#S1`X!GX=3?BWR8ub=0>r@lQPygk?j@fd6m>}AanmvWHnd#{9I<*QTl8znwpZ8{cjg~ zewmjcv)(A9+!T#GE8{kkIt)PJQDwv@#23X2C+`Wj?@G-TqN69J{wFf)RFU3`Vx_%h z1sxY2a*KS1N~?FIw2{cFo#^xzk<4DP1h??{sA%>@xt4yEoJ^DX&XpB9Kzc|NYuO+g z|4sUtFWUS}be$wJ|6M%TYjWK#^F1V*#WSYpv!3w(leEet^}C5q%ZPsa%1kFpDR*5< z8z*vTC^COa=2cy4RurC>iH>@UBzub-GKr?%77ho=%3`==X*)y?aU%I2<(fz4^`Yo7 zMx;^0&6?lKT)K#CTZ??U$tcxilo7(APxRYBI8Tw9U4*MPGD=60-fgjpT*ANp2z$OQ z{EU%VghW$iMJ5Y{=P5GZZ$-1?gkC|o>LJ`(CVQA5b6FtcCJR6Kk3`V-*z*;xo)oRc z38k<|{w0}dAK~PL=n?;(h*o`z@fs!kR1*6fC$rcg5_n&%<(x>qjA-g1;bD(h>2ETZ z`NBhA$sdNj$(bWhJ4&R$5=ypEH?}EUB3yO}-vC$z8*zlh?>It{M9k7|*PZh%T9Yjv z`kXu$yUA z>Wg#9W%Gjc5ieyUrPa5zp*9JWS383vb=XN6y|R({PG1zowM#up=hZRPLHCC!wbyQy z!nM~1_SPH!nx$0~&!zig_eJ0g7S)WFWTCGo{aM$VwSZ|#^h)UU@x8mB^ zq;I71KhdYL*W>y<5>M(Q$^9w4Ih$Y9Z~W!)3k%v7-WHl%iFYWxQY$Iy(&v<5tz1-U;7>B2brE^Uy_(Z0)R)3} zXbih5j^|*}vLi)Q_Dc%9LK~{8@)#O-Z68hH<%;C&l_E5(e)#p8W(_P%Qy!zg4lb;)GG3>cW*ZeK=~QC}r!~)5N6z*dO~~%2#>C73`-~-I@H68T z&S<|egY6C&jX1G`MjKW+WHhIyjv9NY{$oacn&xq1RS|#_#;-KC)5dwK=!~(8&CeR| zbG)yO+_aE$MpjDV8zY*MKW}VeFW(v?X{r~ESTq+UKXjJPIVzmV^Cr)UCQbCbbt-_vAz#8SdNOVdF5EF=g0Irm8# z_2yK=P`xGFCF|L!z7hH^uD(%vDx8q8S8N{Gb(fy(2f0jM3Z!}zgyeqaGDTHCAIu8% zj5<*7-@`Rag==mVu6b0r=2hXEFC4BRHgm$YfC|?TnmOTGrf|5HS%qs+DqM>Whih5> zDO}5{!nJJa!ZkC0cFzaUh|5gK;duxa?J^6*cosqrF0){)=Sw&Qmsu#z)8RR05)Dt0 zhRhT;J@3AR;O_%H-qWBZC@!;TPS0k^iNuN?Mm?)Uk*&CveD+IROU)mFKZ<`Wa}``m zuU!gCnVr3nFWbqD>*EV*;acu&cU&tKZHLmzcLw8HW#?^Nt2UdB>(i&6z_r?(Xw<2m zqXzOdj48O*ywnqvT6ZSlTDw(MTCrM>%lqu=qJ#U;gb9N?$2W z$#g967V@1w8jWk`A9mx~#m6~z-7pN-R|`+awcEIpxOO)`#Yj1x%=zXq}x4xf0k88iL;f<`>kLL%#n3wjEGq94G@X z7R7bY@7q8btaoe%Fhq}sR{|dM^+l~g9Hp-& ztE2UUhXBUt9W9i-r9b`@z*zk>ML$kIQU_(@^@{m{P0%NByovg$I{+#A-4Y;9(%Z7i zWc|_^fGK)k4m4G7&Vi=s11Y5Gdas=TGxVaI#!P)!b(GE0>y!nUt-r`&Q}yap*Bm|N zO@O)jt7`$~>2tmZn6I~mQ?M53OYxwjwNUR^8`vVf*9CyZ`a2r{mgq$;11!~_A)jyS z+KT|o^g|TYJ9@hvD0^2wg;j68rzdv=Sgt>eV=nfTzNsi%r!S(Ix9DZ5$F2HkAFzG; z>#qR&OdpG9w5|R6>mvaU=r_1f4(iKa1URH0;%pD=Pj3SDxgHn*a74fQ28dtiF*Ki} zdh$9DkLiUVGkd}9?+<#(+%(}*ZD}%(wW0z_Z!ZRVnHu$wFS~6nu8%)b8P{^=kGMXu zh|?`!ZY=6l$jf}i4=Lg&-+mkUr`FK|D(!KBQrSzxsZxslSIv!oC$^rBp#fHVFAMV3 z+pj{tM*KBgYwo*;YprdaL9cz8W?tviDNyP*qAu!HJc#QvTleEy|7Ks5K5I~o4Ni4M z{<$p6k#D$xa(n)6XXG0_-Wb=$_ZooG>7 zJ_PiR^@1uD}JO2_wzRP7U(5{7RApdF$JT+l;dy6vfUKTfktR4?NjndbiX^Lyl z!hho0t1|mZ8Z!ph-c9G>+UH_2YWAH)z4SXyb-sR}2l8($j79#??`_(Oz+zQAX%?P`x&mk#YI0tuk<^>Nd0I0AvhM|%U6Mo z*1Nt0Fh*ZN#lEE{Z~>3ir#}oZPJgW&z<7NE)ig(UrvS{=J5fmU^eXEC=Ia42*#&w> zHeaYePS3PRznr2ub~lTzw#3F)aV=T%aa>E4q)ti~n1E}UTz5e!oBe%UAOBDTrQFrm zk$<8z^;!PW&ycT>z~xc#Pul5|tLRvtN+yq$-u@W$%J25XwMy~|T&qr;2>R1qsJ&_z z``}uA;Ip9A_%JK3HETSBYpwM!<63*_8I;zssExYIsQG%OZXo~6(ARLS|6@&1p6$e` zG}wL&*XMquR4=Jg_XTP3A;_^1}L2$T#i975<`5N8fBF`E1_i z59C{TsL7Xla2>Zi!;PWUq$hA~{T_L1(~Y*%wrP9h+YKUb?MpPmwZpY;puBvFtL>Em zgOTr;%oWgS27BxLEpCKZT^5nguBkMFSD&R_bX&sSy02t!J-U63{A+o{?jEMS^y)^} zkkonCV%L-eSDpbgc#Jr8V{zW*zLWWCN%fZ_UWu7DBx4leMKdI$RDQTm)9h@yn-2lr(|=|2<+_JjT%kYwB(RnG$MiiL^yxH? zje1RbicR`Y)j|9~-@!x2PJKoLU?1wEsNYZZW(@)M={IYF__^MfDn6orR}jQ6^tp6) zNA(>80lw0&(l}1*-Nyo)(SM}?&+5DATfWwxcmdcs-SauHZ}jKt0-V>IJ_O>odYRS0 zF6is&4ZhRQdqDhN@5DYY>ZK^_OZt>b06*y8QvpBf?e+ruNk3f)*k!#DCI7Qtp)kNN zx=Dfjsuyn!a7ACS12wPeXW8I4{UXP^rjNe`?03DU3)mm}@z%ip)LT%V*YzDOLA;@_ z`3TreeJ>r_Exp%qV1Maj+5rqTHt}dM%s5aPAlX>B8N}hnY&wh)Msa%2k;bDVKpbVX zCljNMlc#}=G3s+2y=7dcGaPHo-v%(wnB5p)ywR*DYECfbv;vrD)anIdiV@&4n`B%l z0pet%CtdOsBS71oYV`ROV49J_!_9PKCnY$;I6M_#rqPER+I(Yk1(dyQB-3_R8hrDZ zwcco80&FnGHUrpb@eQ>0$`_6U^uFLXdGknUG}T+b{j?j#68B7+d=%uxZ4TX$3`X2?-Qddx68f8Ngd!* zBiHi)`;564QS&q7;R68sjU)L$JYZ!171%+e994D5$W197HhOYpes1jHS~+6OIScFy zBQGa?)Ocebz%iqc*q||hrg6sD!XwaGqx>3_eQi8464*IIy9?|aV+v<<-pIlo_FH4r z5nvaL&P@QmGn)1T_}=(~?JgQuIKNBAst&06gYju2fFF(R^d3JMC*K6PY%J$`_}O@u z2KI|FfC~TBNT#N*7{#JNylR|T3hXzd%xHjX#vf+@em4@ifc`Qb9)c=E&2ue)jW$Pf zp-(i2zW^-7Jl-2%l9^Bs#K~qZ8s-$U%~JqV%|&Eln)%sefa&J9uc68e^Wbm5W}1s* zfXy=FDXiJ%D|COU=6L%4Ip%U2(Ok1L&265U$aeG1p7bFL%q?^t3(cb40T!8?N`tuA zjC~u}67vggYfH_%EkJzRTud`vW>%{T@Q!(sYx-St4VUkG=Bfq2mYWTh0IV=OWCn4i zS)QI~mDzC+z-qJfHI!{MzbEsX%xtu}56oIzGMmlExT|b2zkLp1tN9wmy3OoCCbpa1 zlY#9p4|@T2nxhi|J~Y3gW7%c8{{q-;7XJWXkJ+3e|HwQVhbkYNm$}h@Vp?y2xYtak zU;5O%G9O@{xrQS6%xq6zu-}~47}x=GcX@z==CAz#4w)0UbsRUZbD%S3G0y0MnU@yw zlR0`Bz-6-)_x_*FrEK?$*^?{$R})?xR$$f?D=;7BhV`2{zcYx}%pZON_B&o53G5Fu zXH9@V&Epj7b#vAPU^mR@!2mbS=P0FH=7cQ(f0?bH1@X4oh->8$3v{@~WLz;&e!z(QAvH$hzF z`Z6oPV%MELATDuDp;DK+x=`eAySnmFxy)7lHGp?q-_`?o*A<)wu*S8Xk;o=j?Wck5 zaDB#&;bYfHuDDNJy=gsrT~(>EPhH!{=RQ|9I)Tqz`ZECgT^?H20asp*chL377+{B7 zTWIBnT`%VW_POina=?zb4$_f*;fkvaaMX3T5s1fJgE`P~*Q2!S6RyHJ0ZzKA?*;Ko z*B>hYPPuyC1Nh4IK?=ZW*N1dEXIyjl1DtiePEY%_t08UvoNFML#WyZJ71(*#S2qE^ zbzN!?aKTlSzTi98BJo+S{PeUxyNsVf{KXaj6tG`ib(#UY;#%`4u&b_HJXrnaiWvdy zn(KX<#_z6S13~=5^#rZ_PgmS9fa|W)Yi`XUftB(Wz(T7Zm+vBL4z;q_O0EpB#H!52wbZ)$EWq2=Q}k}jtP|e=TV>6D z6=1d1hbFwn>P>dnT78EByl=G_3gSAeY8HU?R_bC9H(1kl0&KHd^aa>%-J@=ISWh1U zw$tiKtNYN3qsDew6)OVlwywO4DtoNKbAf$kRqp_>-jUtmwQDxODXZrwlznBj>jQAwD!vxPGgeO8*;(rmE{m_NLfqWW zSqUuwE?R5Y;F492%i;$sPzS^xt()ZmezIOG0pexrC{M~iTZ^6p_{CaMAH+Yb7&_HI zt%h_n*R65`fZed%Tsb$bC~nEOtbN^p{bkjplx|y{N&^gYuV8~@cL}O^xcfR89O2%{ zWiisdZxp~N_nkLTWwg7|RA6J=FVoml+&KmSOmeTKH=XS6Pcxn3-t{ZMRJZ#@fNAc! zwNN(QUF!s}8Sc^N0Oq;hq@m1r@2-fl1@14&;6ir~TGk?We$IWddmQ7$CGNNB1eUtD za@ZB_lG#99>GmuHw#t3xF<`6RGw8F{xWDEIYuyDp0le@2k*2ZEotqo`7WeyC0k*oM z=?AyDD|AKKc6UAQjXT`E-vM!_`*b;g58Xp}^xNhBts}5c-P#ub``krpgZP7HE#;FNn0t?n!LpB(nI zdv7yHO#((i`9>_v6h0 zF1vp!g(}zFGX?|u-Q9%~`@{Vi9sHl}wvzy^yIZUWxZ&RVJitx&6Fg4da<}BsZ-^(e zoJu@%xZ4l&{9FlDl0D}p0UPe=QU+jzXEIm7NY7v{!%?2m>}7&y1^0)Eo__BFOYxki z3!3CPOx;fQEPDcAil-4RYpUnKB4E=zBenv}@$}pQFxOL;TAAm0?rVVgo@~_n0?(5> z0Tz0GeH>tsM=ylti#_wGxc5BGInZ)Xavcy?cy0{>w$c+y0$AlaR~cZnXA=in<2jas zDr-Hf=(9fX9H$62duni+TRcbB0o&@y`We7B&)8D{+dY*STkP<3Zwau|b1DSziDyhI z%JzCLahjicUggnmpXd2nAb#etxK{Ri+S7X<@I<`=aL_ZDqC4hkKLy~p=Q~dGgy%dJ ze$wNw0q~{g>xLkn@^q^X>?==GTGnaL$+rN$^|T%faKV$O6~K3%UpeXTJx7vI^P*=6 z<#Ne0kTd$hQ??zjA3a}lja~I@<;wZZvo;E4*F2rLNPhQxav0zb&!xcte|k=&09^O{ z{3*Z<&mFGRd!D}iferS0?*I((?xw+*V_$GA#80M=@0~_wU$r+9GjeQefwC^Jx(|lj81(@#pi@VbdU+e&YnZ7*S_-6S=b3a<( z3sAQUeY>deMZQB50T%lPw+2|^yW0<7sn4|y;BDW#T!zbh-eLgn_-0a#@A*b@{jKob zD+RF1SL09AT;nS@AK-o88J<7a`!ou9qwkkvAb#Ncei*=J-#VTWw)n=s<12d);3MC|9B9AqV|s=IzE5ba2YrLNst);@lmzjxZ{bj2pZmV06&&$J(cHf9 zJV*lh(z?S&SJ_Ky3e+Z@fwttQfV3ofo_ng)K5_F?${1+&mwf;BR%lrNpIKOrN z2E0YP!T(fIfQ|k|Z2p1&7w$Qm{TJzzxA+6xDYyE&+yJ)CzmBG{-G7b7x6^-to^Q9m z5a+(fKW!1PkNm|b`H%e{ehYBWUyE}%!0!0(QDeygUuF=82kK4$HX`swSzsdrP3X2q1q#zWO$ZF(5ny8A zm6t(G2`s1$Y-XS(4}!A-zxM>TAh3l_U}0bmrL-u}oqPY{Ku;RjlE4VEyFAc|j%7ul z;l}_g1Law^D)7@-fYkvvt$aT_QJWziVuoHooc#b?7cr^jUF9V+}1a>O$8dud<0e@wH(}8!Wjx&Kn?B#6WZTh9J z1K-nHzYlDqp@UKiHrI zz=EK86vTzW{M^GA1;;2&K9J_|lv7hr#I57|8s{Cpm&91Xt6b#(0iu=f_=Rb6YlXvP?G zE?>bZh0>yJk>c)7aCaxg-K7Ph5F|o^1`-komIRjo!6CQ@cXtU8G(hhACRs?^eSSOV z-2cDN-B0&;=QrdVpN~0b7HioivXq>)9SA|^Ia^4IQ*9lZg1TU<%YeRUTf+sHY~gJH zmu)lXu`9Oxy#ZHk6{}*(HQV0Jpsw4_js@JX4Qz(ao3;f9K;5#rFc@yzYV%R(jxA#l zsJpf$^z=QOiHhB~dGYq{f$dQ*P!DaTSgfAg?#H3?h3ydcduK~xxp;3Y{t=L5E1cqK z+l1dR^P}ws^HCK~dy7O+Q|v>z(Nz0PCSIUD zc2L+YPvhsB_y z*u*XvE#96!E}^rmmE8RYu1nQo{VMIlq%CuXX;yYEeO7KK)&Ivtz@*d?{Nb?9pAS@+d01v+AeJw z^<6jb!FBhS0@to#Y}I>uItm%Bl&5>*BRRtp=m zca1RdL29i?E)8m(D9=z>FFvp$Y!FU-9^NP(4*(S-*7B6tBtV`z+Q3J1rR5))2aJJ z1=@bUXvK90#AeEJP!wzi>X7J2FB}%ZL(q9dgfYmEiohD^JSKcAgE}tk?*J!+iIzVp zDlp(qiB^w6#fx`LmD8dvlj@9E)dtjAv5cqgIZ@#dI?s!tV*nS#cph;VMa>bQE{Qxf z0GGuI-jrMsQ)siR;%F66*MukCcwH=^v2KW^4E&p73v1OaQM4+?Zi~*t0e8e1Cf!{z zrW?lYiN%9J-4|7?pdN@hEFllYEN=8jjC&4vEas5Q6LF+0;Hk*RD19cjSHoC>SUefj zbMfa|P%lJ2=E+M@j58C3mD%)4)ML=U7JF)9?2Tw5LA@0pc-Qn!G#(7^@UF>2PH+Ku%AQRDUUJe#jCso&EC3T_ zQzJSj$v_jpN5**pCd&tRFg8UFVttt^e`Xz!pQ%4b?rMXvxv~sp36?z;0_MreTLANA zUWQGG>_vlw%8tyO1@a1uLYSQPJE(9ul(D-|ZlVbm$!J#X27E3>#AWP)oG8kJb z&oNAw$zMGH%cYUQ5Gk`P07S_LbWXH976EF7bfL{w%ErEcRdQ$sz-oDt;kHKp$nah( zbCyEqI(dgNv0nDN4%i@Da`%nW_bjLw`Iz@*o8-kLP@CnLUqNk=Z5T_j@+2$DRvFnD zuuZn+p|)LKn+Ix#+)CYd$}QypyJSzEp1Y+RrQRbOwgBvveKTW0ocx9LY@d9~vu(dD z&Ej!Dx;uk9C=K-hhvX7w>R~ySiXD;1x&e;L8Z5oXq<T5R+jWPa<3<-w=!=Jz&rVn#(FP{h69ph72Z*OkXG*Z zQJy9BNybr@WZCfoC^r>c7T~UG;=sTkEl1S?c&ftP(dnh!=wfg6WE?sts)oFen4}gT z1^B3g0qC5p%145lqQULXD{>tY8AV3{2iq7fElaU;# zelHIQQpM<_8LA9ZZKhiD3e+rBiw>Wy4!#66Mxa%9@gDYCt@w#j1EYj4e@FG69yVO*F_dHL5>gx$4iuCQ_|# z3@S=(rCrvl#Hr|9r=GGFtXC6ep>u<}au={sIaNkyjQTDL)F$Qk0bCS6exDL6xv!?4mNi0d+|gW~IHN+_M6%sn=BOhLS8Sx6~;LaYt=B3Am@y z(MR{yb{-55)O8-14^{A1j6G7VnKF;nHP-ScsyA6bRr!wqo~g`x012wtBfxWIq?#{O zaBjd$wag2hiRuW8&MOs80bi?0tP^ik#_FKnDnUagtJkc%Zrb7T7<1S1{t58Vg7^^P zspX<|y|l&56>rU-i8oPuGafKWb503i?RVCf$y$91KSi6xjGL;hWx`I=a^3;>Y7q=? zKW)J{fWKC_IHm+>8IOaSt|hbq6{sC?12tEB#v6iQ4L^;Iz|_K6QRZu}T`?A-jp_j^ zR4aG@)B??KFsLwXJ|AAfwfTJj3$^+zj*GOMMF0_6RhF*BT5l@7L<{T%SgM_Ah0bN# z{H~yuYsINbq?Y47AWDm6XSBA4F~33^NK35LUY!HAN^{}e%xdipOWqo-3Nv-B*7PH& zby}nssP)opN2emlXtV3GPIiL<}`zeKB@HD@Xw^YQ1j)E@?k^Lg!^I|29xpw9}

=q-3(;Hm%C8sMdSHvo9+!`1*M>SMY9Cg~*@kv{rD-px$bgW>>F z^o)Oi*;Ks~qi32vm?`S3Po?Sn@KJk!zh1sMIs^23bwEwWdo4hqo~=zN|3L?A(oco~HtRWe0=DQU zMqn&f-|h<7s$ZbTw&`Jv-R*i+%DF>-83<~pUab*em)?yrxLa?s9z}CD2|b3l4kz^`wJ`IPzOEXm3%Z;O>Z0y54b&xl z`Ablj^&AYhD|)~|P*-&$1MHeUnuptUeK`xn4Lz4TsGIsy`tO#$i9vK*pU{j_2P*gYQtl zB*So)ARmLzI>2PZQpVC0!=wIysfN7NFVK*mvIH6O@OYVFsK8sznTA4KFw5X_1Tfo> zmA9I64C{H=%rz`O0SGos4+qRM%wRsuH+T0bK}OFA-lXAAP z6eXKu#OELoJ;r8_Km{956$drXcx5lB`NrxDln`S=1R&ISQN!2*qn#EEGgfi}gd2~I z!Pr9MQs(<2W3DowB8*}=V6oA}$Xa6TZ3Zkg<_|^ZGUI;URxCG`TndOZ4y}%{C}V~e zprVb3dIDA$XJPLKfqDrHOg|_=uJPI zG*;wTym8V{be=KB_XBm#_&5P@!FZHhE*TRSfVyH#Yyh}p4C7tiU1MEF@_plqSil40 zGIDun9CIA-$e8>R@YtAxrh8&M#9H^%II{^lpBYPb0F_{@KN;}c_--FMUl?Eh0eESw zNR1MW7gqyb8B3o9yf(_~fH%gBJU-tVy)t3lJ7cnJ_!(()Mng(t}$kDXB5Q&orKP@i*aPA_!B{e(E>fbcs&| zfu_E!&_O1X7hr~Isy|?+X)yC>mgz80r`e`~_W^TE3!7rWT+`ZYfM8SiynuP8T}=D= zrf1%m5@MRox)f@P;;q;MQvwggFw>t*pm5V7XHW}Gvnb#qQ-`X62-BekfW@W)OqnI7 zGOQCzO|_X^%S<_If?966Q5{sIsSblS%2bl>k2X#7!`KQ_-tPb_P3|4gxyp3o5@5Bd z0eP-5^=}Jmtto&<d-DHOcREo z^OPwQ8 z&7fYG2Jn{SrD*|AghZ1kgW;8FCl8I+rkf1mHzsGs*;`Y_M}TCLnJ#uSH?m>O-TZ7n zC=c_@F`zun4H$}E=AsN}Z}a4ufQjbG6k?LuPSg3A+YbUY*?fSyPca*r;Zx0JS)~Ha zM`_j|^BE`1oMArvJE)oFZqq@{G6&E(v(0BH%N+Bjjexo4Jb@SsHosyWoM-MzW6d|` z6Mzu&Yo2(a=FN<{1?Isk0paH6JutS&yn)4dvH3P*X^FWJy|UEY_6KV>*dQ#xANX?u zwwXWu2H0*cG#RkN97I3tG?!so>@pu^GQNGW-{143B zZ_c?0)G_lKt~+jS8wcuyIj#z*ljea;*i+`YJPqT`hpqrlo1Hph?2I{lJgBqg;u6$3 z^GSA|H-E6hWVD91we&Zax%a|EkPv!3oHwHTM=fd*b@+L+0WByp~YGj3l>=f znMGJ$_W>-nR2~jkV(HGClckm+8vx5J9eA6z+_H*AHPUjNXMdFCJ8Bzk$PAbcet;NDp|*fc zmd9Ma*>b5BV2foJ?GkGlGYYWP5;q^P%~F)d=XT4%Jeab>GOh?k6hjiM~g=OuGWo|COSc%YNPX}We)@Tw#CXD-8+_I&p|!53gkZJ^|va^}GT5toN#6?5K4J9e2!n znfEWpt;wuCC#*j)Q%_pEGyc)JyWX+QVxNHsW1-NBR$D7OB)=V^3qIC^-e`W2;<*%&=m=>R`kpn>` zTWy;GZnh1r0PeQN4*(vv!5*06X}iM52rt`^r|6t!8_7EFYjb%6%FkAa;BO0U2netZ zp_IO zbKGJ(e-#jGYup5|$F{}=V|#6lS(D>zds!0p*;-TT{kB5X;egHB3DiN`laYW!wq`6B zhiwg9F&1w-%DQygc8iH{+4iCw;I?gLN5CCh3EJ$gEqgNHsV#(le`f1c7VyTFnX&uU zwuaBz?`)y(K)tt3T@FaHZY6!6Kmc0C~3wwOucW}k5y;BFttg6mr#6(Z`zjGlUNm(+hh3jvc{gwBXX_1F{5Xl z{SH$**8Ye^ZmT_=D`1;_Ebm6+?3tL2`|Ne-hyC`wa{$Nf;l(g^!d{a~pSQp53+lRk zsu$qCeX9UGus`a7v4{4YtdWoGtA_y|+dsAkJh6{uJ$q`O*A3J&dmUEj1p7G#`*V9~ zruGYa-v*fS(msSzC)t<(0Qg{c^+4xG``({HeX?g@FeKYwFofNluVw<}?%cCCz{7bu z&oNKuAa77!&f$zKZ|Cuh)``w-sox~$b4M`6$9X5q;biBkJbI=$=Q;_P>fC(@rc85Q zN^O0e!})MG)A^$hs9DYtwC-%@u46&Xao+bHFxUAw{SfRtY6+-$&hCtm`Of@4M?J)O z1#cY|J1^)2SmNx&qO;UFe=Wc==bTNjZn-o5o`76&_G2ZAavlv6q<$8oGAxoN@b5iC+Q>+{U6eSz}0JmZ1b;MGR-4|skP?eGMa^sx^sqTfw?PZv!T*N&ra zni#>D4HOS=0OpAId{PJ%1MPqa5l0(GiUUlX)#BwYP#eXkyMV3YH19EXi@rQPkBZr6 z(0NR}x&SyXrZ9$1h~1?@ofMbuIi;yEdJsCQ#qlt%v1$yiYi?z!tKEo9>K3BATq;n( z`aYY{-|(j`Xd5}tK-)NwiP~gE5Zb0U+F?$!yG+mK*G8jlvAHpNS|zbOwfVrrX`95g z?cXv7JG#1~zf-GrxbAY3mAcz19X;JQ^~bgAavqSs?_m7)ct9C?{`Lyjy>ca@?H$D& z>yzUkuKTv*eL%l8z0lLY#5G(GNcaWU1D_W~J1Bc3dImRTOnJYvq+Hs{2bm=Nl&>x+v#k}2sHNr-puNC%`Dk$dG!j$!*Ijyuota%F9C?@v= z#E5IZV{DVi&L@)1;v^$ri?|;SDps@@2WqRhno>5!l|Mmk7bn{Qc8H2B2s=eL4b(32 zBTunIVn=^ahsA2f*AdZ-b>XNu#lz*8*tQDPadFHC)Cm!~7Su^`pdYAHVhd|jyy#gS za9XUp12`kD7QxtAF)|L+IWhhM;JnC96I>8Ec*k&2lxz#QB!cS!E{i_Z09S;$57u23 z)hB_vCgPdP*F`?w_umkI&~Z0Kf1WY7#PP;}+u}U~_>S1lihNfLVR^qNR*?05vA8wh zfmq>(v4`SfA5bsEVFt=eF^acdiQLuf1>lf zNT#imM9sp04m0q0zaq>u2bncU5St0hz z(@d@d^56uFosi)(L7kMzEa|7@t-he*WqEd0i2WL7~bb)n=yb3 z@@LkGi*h^9i%Zh|BdE*rY6ehO|Hv-rBaNmt#F#aDv5DJL)=Zpj`a z0Jr6@^#OO}0s8i?{E0VB_hic#pzcfCR=@*!jVbd`zM&3}Wy^g`<=J4KhL3e zvMvkDds&#rLXr$f27HiRi0IGhU!%Zoio*nHGo-a$56m*)o>$Vjv8vjl({ON zWjk1Pp;PCn+suynY7+A=L@noIbf~&f8q@+6IvfzDT8#sQtMA+Z3suS6fJLhO96*FB zz-qHt?K}W#i5lz+YN?82%r8^f+5ncT>%XBhQeERMO_Un^9uTb_o6xyJwW6FWm2n-IQRVpX$p{+^-h<0uHDp)cv5U+8ER!b(H1)u*%0;cSMCS5soT1y8oDpB$wmL z{u*#X`Ovy2RW`>x1(gByL~Z;9)KgWO2g)#AT|3|cDo{HX3kcF)Tm{U~jI{tWwP~FJv$QZC zXS1~j+n_p!snJqx-gLk+tp^>xT-zK4h}8Bl2BS1jS}M?vS_A`ZmFCXqS*=ZAD6Y}IV|cICItByQX%o%?)@$pS4;!>HF8~|0lg|M$ zT7kR}Vw1MB2w<~T-wfEIm8k}Z)m~)>Y}L}yg4?u2nr^$c3&k<@AtDEdD|McW(aJ}8 z2vli%AJL=XOzjvLbA541ze!*Hom zW*-FFBSCIwXwFQKepDkeL4MqT{v8Q&0V`H~f{a-S`hJ2e(-D}IAbk^ne$Qpz5McOo zxm01^`sZ>JOX7j&vP>J`)#tJcLN|4%fQsm;WUPm_@*{Gu@^CrYs_pt?WwrLa7pcA@ z3_Ufxm{&D>{E6#YA=I+=TGpI8!-t}+>+XY|=935Dy2X7SXf5Z@Ku@c2t3+%RY77%8*~PXU;|*DDBTyG^TnYaph865DxemNtL;H85huJs zEfpT40jtCVA9Su3=bHdF37acAH;d-}pxk7Ed;kx*@C`b>q@AXoDAy#WcwAOcPEKFG zlG6CsUl1@kzLL`H_)1EP<0~og(*S9|Ab{_mO#4boyW=Y<_0L~Pi7%o|`vrk?j<2ND z9A8PPK7S=;`hWUL$_$RLq|Es3S5oH5#U!zTPMB9I}->Z;I4PVKf&6E~?-<#9IFT3XW zwo{Aa+fH-n#@0O$k>0|P7LofvYAPpUzSDf+q--)R_>I?627a`rS;OY|I#|2I8qSW~ zOXtWv{I*6~?jc9gaxbGJ_wdsm#aU!k)l8prFS8@}vZUo+j;#Nbao;&IF55R5r~eW^ z8zJ=TKnSiEC>M;jpkiC7=p3}Ao3bs_a~|5Vg*mfaIbN6VvH)#`*>llWyvF`YPuO31 zKl`f~*;YM5KGj}?psnuA(Hh>ou6d9BwJLK}?L@Y9Ua+Trdva^gk#ibf=XH}V>~A`c zYnu(GB+ZMHa|;u%TV@YM+s1_)+79A%J3ngCaU6R({Xh;~nzE;B6jr5GjD_s3G?v|! zH*jK=9lWl(g8g+el6~D>ymkp=f4%i&T)!3tX)u9;Gzv=*FrL><9&)tx6s~G>h4Z`I zqi9`+fI~{wOcWZY`-oV=6tNn^sfT1fPA?e1?m{2QzW67yEHRx7N{%MWQXynt`YPLU z=_pir#kN8WXIA{kwN=h>ZPmJ*U(G^=st;saV+#9gwj;M%R&uD_i1S^NW}&V3lDpTx zifc$Cms`I|f?qVfOph?caXG8;G9HXo9ckpt0X1FN(gIoiR|6Sedi-w(a`vpB50UTw zDWtPGLi+n}LRtli?8YLvDbh4!6@pRqL92e%_0oM~p;uW96zRvVf;rOiz0eP7`Cd4u zv02K{Z)wS`iWnUg;73OVD2g(HTQ5x&vlv(LLNB!{9`-DgJ{oP=+xyX$>l==?d=+e{ zSE#-dZN<1#jwr0`ejFXu%5Fqk^C)bc5)$Qp+XuR1*ebNHF>z@7Myx?QWXdkIqZjQ) zJK_2wv=hbS)c{{H0xMG1=3E5kdcIcRn?iT1egyQ;eiUnhf!DsGH|3fynx6zL5}UUJ z)`=J7x=Tzr1UM#Qq5$_qX;O(I2j%gQGY$d*WcU`qe3@Y(V3GWZGoxg;!+`a&5~bQI zowflENJ*vR4&&8723B4wjIj?^_3b>;eqYI}Rj&RCs!(%!=!Z33s;D})vt$p60 zod&!%yxar`GR`E=HAbJMfD^{=V*vMzr^q_Vm_SwhO~>inMW)%u0BcP*342Vv=&W^? zP+2N+H8;I@nXOMO9X9y)Utta#S%w3Z&=3CO1CWgHv{fi zLY4uZSf+4?r)OGUP-W&pd+7KZV_Hs%F+QZE$t{oqQ&I^;FYBao%7lf$g#JU z@-)b6Ycs~(JL^17d2emTFiNtPCH2ATLA!X^63+m`43lcVkXwyG6sJ~E`nvWyuB|(UiRcnUFV?F_ zcQ;jM(KdU$25s{joY~?ha%=ej$*Q-SO*^)>aek)&>e#vVYP4N)?nT=*ir3w$((>JZ zq;*`oGS&KyW`93FTB!eQdUwDu&KcO7JO_2!hjwr<2X)YMP3q0s)K86}-1#&Xlyq5`#=BQi3U=8Cf% z3l`nzg?VBlHJC3ZQS1=$BW)TXO6>+L79DA&CBmD2Un+KU-AXZ+Ikrj+rj0g=SeiLT zwBZh$1pb)72cMB5ETp5KJ^{Ec z@^hn`Vl93BK)6w)1Tlb0zY$x>^R3vx+#7MyiCb<%6$ywT{0gtb+_!x1$$&XCEF{jvFOCfM@*xAaw>!As4PKa9h0Y+ zRmWuzd7hBB>Bf_C0ZT%>jOK#Vaubz4BL{M$v$72>e@?bw5S^D-7~$7sVS43;d`C~; zmoXILp$wtrAIY;c$YUAIitm(_O;m9daFV)8%14c&K_;v1Ts}obarddpHKppS zyA(c1RY>ul@}itG75=WplWZvk3{^b|3)BaiCrq`YbHde{Wq^h1BQ3Z{wWC=h)Gh|! zV)cljzeE*cyew5&X^Ca37^kdK?I_D?wVB(lQRlerTGfx+u2asm{Cd@&*|AYYlZsK3 zDD@`wg0|kGKGG}rE>U`Ho5~Rn*scNyJJh+91X9&FWtWO2wMQi|r1mOjS}9JgWcKe< zKTyB@Y6T0wc&yy;UemJEjQHXeTnN~Wjno-V6sx*`2vRXhlUR9y&ysmE0 z5;s+2>hMrSa`#6nBei|3%vAG<%FWPts*W;DpQ&7g1XX_*;Du_&jb5radL>c0QQTK5 z3#r#CmOH#ri)iGxst{f5roCfmxNAAj0z9;6dfHPvyBpx8xzJVKS`y`)rX8lug0$Wg zaE4Z!K{QMAAT?X-!6|dJ!3?munqra!Yc~2XTwBJ(Td0lalnAW}#};d42urjZlx3M# znXH#<`56prv~d)7tu~Jf)@j}7ob_5|&fK8QA+=HS&#=1*~tYQNA}$F#28 z_P92NRyv`TXO5lJrcts}TDAy4y!MhFyQEE|k1lI}GOn*^RhV2?wG%YtU2Q*Id{65^ z;h$-}x!{c!#N%FMv3cVWrw^DCQ%dgT+RC=}kBQ;v1H{-Tz^`ew|oo-CAg#M1KH|Y84&5e3_ zIxa>(L_ciOXE6ph>%O$a7JXwFAXa|_HB-+10)gaLX%+RYypL0>6rua8{!EFgouC1# z&pnK`#wo^MgTqv<;cd=rG@U{;mK3^4CeCa+kKy0!4E64OjSlIV$kD;|$TL!`Bco{X zh;vtp-MBGJ6=EE1Qok$P2B#UI4R4TjqhXxbcn|&Dq#vEo^bq|sG%G~GN?F7Ct7osG zdfHF26f>kfnG`n~Uk}GbRYFU9L@6ot0y|+IRoImFIA(S{j#(V<6|9c;3O2`k1^j+Y z+It1Owo7}jkk0X50WTejQyNtjFCEg}>ETyn-e-4mQh75u-sxrj{5Y27pB~4uIv&Tq z`{r>>uRiq}o_qL{rFZbwRa2$2Io_Xq|MmNmwCD5cmtdS?&9Ou;UgaX%5-r%4G+sbk zst`v@`|-NWfGcRrp5k@6Gwd(lh}RW%@w$2wwl$otqOBRr{#vEj)}F+`s^d=9iZT%b^ZQ#%O4SR9-M(a7Janw1qP4=>FI+gRA-Q&#W-*IM(e(Y%}xL2#AphNkd&rrtcJA1BB>VfVX8+I)oH=Ye`-ewy&WJH=M^2`WaK2x0Fve_ax*DVkuNrj8koViF8rizP2 zFV4hAILK_3c*^B5;wn2giDg`{L*(MvPVt26_K2Hgc1oDp887^~;IwGNvCE<)sav8q zCBH4k(F9M#0?vFY8dJ?@B8F6g=z+1+thvH9l>~NVE^N#AbuJhjxnNBDRifgiv|lAE zp*wOx(+ljqnG#-~v%;K~6&6QUSRGkmb7Y0xkrl{=w5-4@?6j;%@5l<|LRwZJ7t*o< z@7+?fBC{havV6{p|ImB4w5%xY$ci#)$)MM2a04c3FzhzkZNi_#cJY+d4)NnHz)m5d zG46gn2)jj%>wrBX0%Ob}nf-@vjaUvJ48W@jW12O;7*T#@#MFZFKgx6{C@C*rRB1i6 zvZPgz?T=uOV*OvEExzz2+7ds$LtC==Q?#XkRgxO@X}}$abRf^6`?<@QgokLyhI6gEXiGIb#5B(J6ce5Syu?k)?Ja(y64OK+ zck~r`Ip!y-5dy>mGMp}YbJsv|j3NYyG3*Q$%ec)vF(DB!Uvwpeh+*6)R0I+hh$QYF zCgxJmr6L3OTQ2HyqbRY3R#+hfnXMA{DcKsaizZknc5z*-xJKA2+^Ovz;Yx)L33qz) zuqaMhPKkbm3*tBhyeKMA6?{z)d0rO1>7y$mj6AQ3wd}km#&g^2A`>lpL)77v`{D=g z@IZJ`vWFsxJ3JC++4)%Dk&ne|G0v#+~JGtL;F^QIVD{_!^vbe#{iPD|o zPLk=#%un9oa(~&M%LC*Uc21Yw$vRM8;LN$woh}TPKa=%5xtX2wrIm&Zk+BSrP}zlE zSs*)eqcFLS%)(_Hr!15kDefX!h*X43PiBkd9-4fKY{unFWqV4tOy=jd%ViR&NO^`c zqhtwAiI!GwyFwOa=St~HSS6d1%SM^V-DBianr@SP%LO~+Jz96CoX0UdL(&fiWCRU) zP+A!ihhz=vep3EQ>Xa-U2dSbH)JgW zetM8IZ^?O-^R{eH*7(T^a=9yelJz|~n=|jr++_AZ#*=y|4V3JWoKGPh%M#S+iL6a7 zPh}EK{!E6YI8}yGvgfh_o%%w~WZ1lvq0}}}X88zsB`=YBEr&Bc-^hM6$XnT%>)uIE z8sxovNgsKt5?tV=LMcn2s>j`fRnC;mQB^o)zPih8Bb1e@ELJ_Ze1$4ao-37KisjWn zLX6tanLCshRoSUxI0YZqA?v-$g|h5d&Xnws`jv_uR(rYM5w(yDj;cz8GwKc_aq9;3Nh!bQxQ=B5NS>gl*nJtcTmr(JD zV+%wEatRYJ3E`p&VWB9&ZShpV{USsGu3Id&lIIfP!6{3{kEE7~h2*kaNbVjf+H)*Q zOd}O78gZi)!iB=G6gw%|Dp7>8tQLQg^%}94V{1h{QtL!1T5Y|EX6FV`pRDmK#iU|{ zg=3qNc^)2PoF?y9^-$_`8Wv0LuXBewkd$z^Pe2=z7hID94-cFCURCQjL zzCvS{shtgNxuTiSmLHWLZH0H7Q}O2=o5pA6=RZZMenZH=|8qOq0a5H9n3x&upx%^ZupdW<?CIoahGCwigcVcMU=_~m?~Nj0>u@I5F|F_0n8BjsM1UkN|+^nrdei-Y@9hq z7^%cu;l_P~MQ4gTPh4W>d|{wSi^LFei4c`ZEf&91{w1OzVW~JqA(n}16lu9AK|Ldd z4~-Eenp5UzQGu{R%;$b9g*(+*C63c)t3@TUjuGeKYGlZ8O1(w+aV%CubBC>>4eh&4 z^rmUI3s1sM@s2!qiA-d+TRdRr9&w3w+$$cD=V39O)Df|nCOaxNkmqsXPGg-A8!5{v z5l*S&MHd?6w0KIX&x(E2;k?LBxF8JN=%U!njqrLPE8wbVLrYx84{-zTi(cgNP?X?) zkHvWI_f)jye$T{k!gFz&tX~Q@y8D&*lZw3&zi{lGI7xAn#89gFQS>6uWO0qIa+j|t zgr{_2I82ozxPz}OOv+!@r%2Of`V>#gRTOEajOXsNWghaJE9a8uJQ>E_Lu6sjTp$~9 zhj6){iY<~o$z`!LbHAn1iTkaRS7@Hqay+N3k%!1-ovgx`STBdu;Tz-=ZnRO>B3}En`lDjEj zy!?sG&d4QPepVLb*g2VtT+YjAvc`=z{eE4}_kYyO& z@8vjdnBj+&YY?ia))UuA4LjQNhuao2e^E`s?Lo4r{#V~snm)g){NM2g-~5gBRUV`MOa8)8K;_jPpAGvi?XzLZ z@!2qoh7VrhgH?b3;8i|@sl4A7hZMOdRR3CT`Pa`W{-@_-7ZIaSdB# z&8}OIl94^Lrkrya8wIg9-4A-^HC@n~4e?ZvY>Yn|ci~@vXqx)|C!=*H8FWIc7Kj|i zKU2sZDeX13%9cwnu(=|Z{gy*B_MFYx!-6q8Lk^=SP*a(6>)NnVlxnBQ1EzTl#!Vbx zL^!})q>jenL$YZ?F;Oo~2F;(Ai3MLi=l%8fZ&|mPK1;9#@u+ z<(f(>Ah=$&C;Mys-X3l3q0P~{SZbneu)1j)udL6Dg3g`44BB6Bf}_Dr?Cc7{U3{nm z%3G9j0X0#Sa|Ja??ClB4M^q>WYMvN_DR}1iqY>bR$k`0=R-EO|Zqlt4z*|--0SJ&i zDbx(vjJxB#b8$eVyw1J1$hp`yMfFRfH&_^Mi1TnN{z>v0GE5XTAuRarB_xX%FfI5x zgJH^|@4~2E;bNTo+W=al$SulKq9p|{IkyMeQu*7VE$vM$%S2T|Th_Hc+H%RTsb0Pu zyscMDq?M~rqi8iG`PAIbnYHg$KwIYn!bq<>zAf5%LyDno7yujSJsu(=^$FQ&GEXsr z=Jpb&uv?03zdWabeyu=%6@1L=$-2nqcll3a z1p3N$G@_qe)EeL~-Dt)D`4e}aE*H|_fijd3B;U}i_%46ia;6+gS!T(qoH<(#AT>v} zBD1-23%D4T$b;Phm*gHSFicndXu(;kU`Zz@!+iBSsc?0j+AdMK;pY^a8_sq{N4out zf!qh`qAhy5I@;R9sAZQAG{^XI6mpJeKnt%FBdGXJkrzu0XT@vGNZ};?d!pZZfxfXN zFdyx^sIl{Xs-Lb8BP;zB#zKZjMpH(wT4*!fsD?IkEUl5HDt(u=HY6fP!?>%|ENZ&q^OT`<`@0H2$xQkRo%8s7}9a5px+>+eBqrb*J!# zky6Gpbf+h>Y@=6QPSFp)hf$Bdr>W~&ahgWjBX7UBSIjM{K3Uaxm=cQRL>)W`)6}>$7yQ=#zlCJ3?bo6!Izy&vSNpWxL8z{sr zeIgaRtykfcJ9=G;dsmPA9dJ)S!Q_9W$I;g>^^FXOBt0XIHP!Hdq335fMQVnjAjVSM zP;?pXT{FBFt#37k=)eR@H)uJ-c<{lZXov0Ms*zJGp&geq zB{^oYlur1_Ri46+8%z<+sHz{nCj;Owd?-zzc!})9JF0usVwR}GW+_RjBh@fQ&|zhzL5`>?%!zoF zj_y0H(yZBJojerh}4IOWN99%S0o4Xe($XFRc-k4$yXT zEL7`3*DTV8k>@fkJslpUIWr4ZX_Xm(YqSB>;k5REik;UQko9HFKucWL^3yrDwM6Fb zeeEW_{aCBVFi6lYF@@cAA3DH8pF$yK=x1q{Ir<;uGEe`+APmu;bN6sPg3K1_kz~D8 zH&D(eKue3fXcDRc!T+_HFITXlS#Rs8Xp)B{>~ zLRSW)o9N3fe5Qh)@)pTd)>mZWP646~RDo6HNSCkg@U_1_@av8{e1qe|Nk+$qlW_li zjyzPQFHcmT@9=T^pLU0jXWz6te7u55y~DRV9%`LGKh&oCr#pN+9Q?~Csy+DU+h3kw z9Z!OvpG*u6xh)R4t$&vrk9}!Rf}fvYzx)%%FHf*|fJv1*okQ;QpXL6?Clh=gD^>1H z-#(1z&Ybpl>pWS~KHHQ}PyM@y%CC%DI>VTHu`<1I$4}2ZYVfs&|2fa#AF`!sXmLDy z!5?X!fj`nb16}`P&$@Vv^Uu#-2!$rSp+JfTYa4y7f#c(#pM7Nh*FFN9wAg|}(lpQ< z8sN$NzpeouH~%TN^k42me7!)sK4^pr4Z&~tx z$rp})te(g5kJa<)Y5yLuVCVi&ukgLTXp0>0M^$}!T_QdED?VmV-SW6jQT}lAua*BF z@o%T~l2fTk>5pv79${Oz=YTYQ8nygdpZ_^8V-B?XO72X`QH&h_oLl8EeqBUWX3bb~ zF-uCpkwc+>q3grY7IEfvnVEm0tsXiO?YRD9(T=Y+5ba)>cMKp-z8wtMCohsZFNbp8 z1sOx?nza1^xGtX!2i%Z{hX8KM^ki~NW+j)~GB4TRk+(Q@SKj5=Ls@4O;E}vL0`OSQ zA+slPFCkI3r&Orb)+ zw@sG&xu2V|Q9yU)%qbqqhhv^90YQMzK9%BfZ?&B}OjIA(IY~uwfsYzOktVB5gsG}5 zmrqkCXb@lJ#!f#qlM%zI zbECN`E4c)#`W&05e7SDE3MLhzYLg08#c0n3DifK7sk+=fTutWkh3YUn7pc z!D1Cio=cQ7JC~_ioU&Xkrw)-S4?CmOJ*u)o{mum|)i6$3tqPFmT2-FQ*Qt7>)+;l2 z$4_NZzm2LF^@~ws$z_vTOF1{IytMol6~oS0RgBthRq?dvHZ`9MwyUgMzC*c?=T5bZ z)Gl>^uv?wt%spx;x$ISuTpp+La@&1MXXk$PGetU}bjo>9T_Tr5YAdP3>M*AqQJ)A$ z)n>vm^^)5jSFSYH36+BjPO9akPN_4LGhWr_l+#Kl>oe*Q;jDTu znFBx3v_sxd{o!3PAG*rL_-2W;yECQ4=Qp}vN|pXisd5(j4|l*a^|oOTzAMOaYp07G z6JW{apZ~C9`0GFVcl;gZ^FR9k&wg{v|CYbQ;Oj0@Z!5BVd+YZv{`S-|t6ru4cqp#g zf9H5>oz3yq`g_M)>+IjYwa(#qYyHFLx7IoT>8wJ#4)<1pw*7_&MTkHH^-dg{xY4~{aSty8)RG8sNe7yM*GVG2M!@b=}T(R4-4o zqwC{ZbE<>Cz)GiLY(kv&|84;nhG2);SX z$!YkQ!99i*>CJt+4I9>D=x|)4qIMrN$Z^$wco)|}1AC$$-=8(Of433C`gHHpzt8Y7 zsWV*%k4U>1G&uEQ;K%`~7sLAu=rJ_)vU@kze!mYIlt%UJ)4xY*e^2toQPpSQ@E*NV zCWGkNGi^iN$;ow48ne-^Y3y;X$%YVD6%l!)5q87J-XZcfwtur^QR@Bs&^{x348_%7 zZS`0BTTB0K^xv{ z9s_^(_rE`L{zabrEx-O6L0@IkSN`rjXi&euXVe$-LgbWC2RgC>4*y~R_&6;jzK#Q2 zcmEOxaM54l0OP6Y$BUHo!$oTPap{+w1C^F@ymF-1XFsDeHN9~0ch`R9zR!+@ymVLq zy>m>8qRQN}13hFYVi-^-zci#e?*xaRNs(D#oNKI8P{gSGn(o&UIJRYIX=ahxgsihf%sVR#KW?}+8c!b5#l$KWzSv_?UB|Eh1 z3zw=AY?z};jj2x`8*&+xwMe^^BNPnUa(W6N$Q1UgA4 z5QZ?MNy5MoAV3dAz)%gitAffwNYzwbNWIp>y2 zc6SGcFiN^ybuj4#AD^~Uqt z!7XnA8fQ{z05uGbF9fd|QxaGM83w-|#O_MghExKdr)z(NYt-F7`Y0yXxwp0WP^xon zeKVE9@WHK#bnx1R;NC>=nna4=Y()B>)AR4xBhQc0^Yv86>wsu27N3gO*E{Iw@w34# zW5elSYaw`9BG^pe(o1Yt@7s&6KC~zH(qiy>+&8s%dNt2aZ^y56elho|Kl_bFwsWNz zYzZ)4CcxN^r#6X}WAU@`&CXvQ`oml?IlLJxpA8CQn?Y?Ym>LTz^29Vf5!CqRg?Kv4 zU)JKCV(>FhtOcJQ7z=*#iLr-*3{D9j3ns>b`LW;^1{Oxr!3UlgO9j6=Fvg314>)UZ z;1%@Qt5-+@UOD%=9fo2=UPYx`L^k4CdHzO{amNVH!4$o)v3+Last8OBIBINbND`G} zSQ;8cOyW!T)Ft!7%1khq5vlmLvAwl%3BBS0{8Cv8nm>E~)a5NP=+JS}p1_1C?Gc!07lBD%SYYCd2uym^2~7Ml0+YUxzyygp&43?PdV=6Ydg4xzp7D^Sb z=k@fG7yl+drAN{B{{9O6Ay&SusLyOXdSw%s0i_XUE?v69l!2d~!Udh+7uw0mkRR5` zke^nW@6^S>pCv88<+`MW_Uq_kC1~o!Q5k;qsO1||8J@8^TBeQ#Tb{yqHlYcp|8*dC z_p3!t;S&l0GX5zQ*>qp&6X#~%8)i9TSWV}mFQX;zEzDf|lP<0krmSY-tnUo-to#g}n;&nDluhi=&uPtxZD z_=pYD2mOpqrw*i2)|`0ycFgK+gE0}+Q}L6kls-ib-`l5wm-+F**erDh4RUh1q;$_s zpC63nY*RMU^zI;bSeuml_?aKut>Kql!y`kPA%!JB^tZ0~CJ7l1!< zG=iy5@3<@eNF#|cj1P1O=NkooV{hVlpFFAq@eF?CCOgo{M#??Pjlb$OMi%>LyEVKs z?$D5o4D{i+9Y|IrL<4M*M+M@N5xwVAyo?S6;NZZ=znVplnEN-7J`e+}2{8hLP!7mO>1wZw~RK3%=(&^Oe_Yb-T{~lLLkt^SSYwTWy0N?Vl-V2|l3qEq+a$D>rL-3XTYwy3! zOpYk9KYhl77p_Hc_{+D&?iJ~(RQ`vym-r)*Ti?M&|{=n_A{ShYmX&_Va@^N<5w&TGa3#n!*o1|z0bU#t#RJ>T86@PFco=T-hbKT(P zh18W)aXFPMrRu3{DV;i;$~UHAIHyuexuXQ?W8A?4MK)5oRCe%Q!T;~wjX{6^MX`~T zm=KJXsrYE{-v)j?_}~*$>+pB^$+h?!`QL4P2657fL9H0vz82h0kHlaU^=)4W67U$W zCW4=MVhXd&2d^IsUOuMZCZuob0n192)Diu<)V}oB1h-B#QV+q^pMGHa!CXl^eB4z` z9Zsh1pH1zX4SwK>v#|dXgZF#H{H42kp#JW=WBYlEvNj$IA8fFRVMkzn0p!!IEO)V# zN9y|&yNt|_Y8PkNR$^p zjhlKdeR1cdZ@o8mZz-Y*e(YW|3tEFW3!0C=O8nf5rQW_4nc8Q*qNo2Fvx%_Fx4xw3 z!Utaxd*DEE_JQmh8mapVgiy?bV&ab&oD*3MZpX8Tb_CmJ_vQ8lcaH4~_a!Hw2@vqQ zI`~4M_%Qs2=it||AJ^;QMS~9i(0-kD^x3@fTsT-1wvBx_b^Kr``Hr8MQGBa-h0gI4 zOUznW`1#Mz!Xm$pISO7|k4*nNTv6uu?7fgm{~F;#rV{vBO%4i{y60w-Y8Fhr1o!+_ zEVg9s5#NsPg}=A_KrwlL@CFhugDN$HJ6KYcg41ikp{W#yAWPk3GI(twc;y)Ne77e? zo*9gZjGc-Slb6_0GE@Zq_-t>X1u@;2QkjXz+V#wVvLwB zm90be?d%Izh#Mg6f?F2q2OxBVo7YYTwT>T|{cw2Q^5vYsBcEpWyJ; z1_9y=K@ur%B7PIXKhEn$-`uzWPCW8aGa->P39uzvP42q^36BN>BazfOQ>$dlqa?{=?Mv zeKFf6GHET|N~Tf=lBpv7r!tNi=+|O4bkj^eIjwfZZ7)YZ-!d2@%Z(yFCIk`hQfxFu zA<;-LKh@_>D9vIjnY6>5r!GJ1MVFSBm(}v*sr)PJIU>N+)AmuXEg7+le%os)YRd;` ztbciv70o(*6@({S!5hv#7+JzccD$zXDVFt{3cfci+xG>6|y6 z`N`#oee<~&7?ToiKq=A!&+c#pX;bm8f~84w`MK-d@OHZ45B6H}IeB0xuSVXFQQN<{ zxlixDy!{z_gISqU6hrNIZ&o-+>topzC8}Z%*v#Z-X_RlhMMp8W(LawIjXKsJy(N}A z9_jtp?3F)8I$?0&(_h6hmUA}GRld61xiiW{^7cmKHI9VVe}1CYEE8hZWpdbPyJ>I) z2Sx|Khs4covET}M7M#%Je-~`7R)pU3{*B%w%s&|374h8vejxTzczc5zvEbi6F_nBE z^1lN~_rKr=A<92LkTCiF#(kLdcMmG*TXXCYNi@?kB+)!LIy&6vTEwmYgbTx4X_igV zQ+vKIawFbpt(K_~A~X(JpaFfDtV6upXTW}w=yy#;1M~Ke23BnN6XTi1zn4agCt+b0 zb&@|uQ2Co6_Ar{9ieX^LB;(RTKYFJP%kk#5uVCW+7W3dgaDTzg35ghp4?sjYIC@A| z&mj9HNVUl7$KofmRB5m$PF6Dkj7)XS>_mRhb&AO#`?Kpkk(S8Fe`}K*E{w!e`ffi{ z;fLsc#%rLMTHsmDpYz%xH1RK85~DX~^dT>Eu^i1A{hs%f&82<$evr$XoE|+H(cVwL zKs84ETj*yq4Fh z`sQ)I-Rj2p$!N<*yp|{o{=44-nt?xs&q0o)38693)rwcI4c_D7^gZ#4Y>H@j9P zFj@Zyf|=amb!!r!W*4UKkBD>>GTNY6G?j*u!=*@Jqb&jYj`<=d&{DGeu)RS}DyJZhUiPR&Sm zM%8bE8Ce2ddq^@E)*<5(Rwv|KOWIA<#i-a}Y`FZK=I?tSp z+>gQp%0BLTzlH?hf5OH0Luem)4o}txDXOk>{CzPAt~2I(GBU|Gi=TYG2Zjb;?2Yil zqVjirKqWa=1+u3~E}h$_Z!pwCe0?bLz@Iwn!kEJZ&P4o?9)9{RmufvZ6ydc0=(X4a z*7qlgn)X@4iEN@5m5_`3aXCJ{hfe`Hfqw1JP?NzU5XMr8?PTEdI1-Z$#MVGrKkXrEAzx zVDv+ty`j@)X8a|;!4G(Rez%5izFol$7K!xrZ(YkH5fS)H&OY&7Hpmjc=I8V7@srW4 z^f%q%hKv}&Pw&?7sXMI9Mqhqr@PFQ+*bt0AmSkCZKq{(Q(7J;Ihhq5bt-Sq5E?lIt zJ+>pf5?QGn#bit!AihaCD!xcLA-)*90N-piB3*Txc(A0NLRWg6>s#?fYY*ueS9^$G zH{2&Dg@(A30>$&h13wVNlEXvu&0%H0ExL+G=3R<{ZMuMJx4Vl;z4Ugv^e1~_2WG-h zuRTrIzU8LaOK>fj9M;R9q|5*Arq}`H|7Yj|BAk5R@Cj8-U#82yb5rc@nNV5h?qOW| zay&LP^P1GKUYw$fAGifkmxT5>PnUjsZ!CCWVkR7(Nn&ur6|bX~Z`~6cnJET$CBhlx z=Y!kEvWJV^;o%u2`1N(9L+v0qArh)6G&%<>6n{LJg#=gI} zf99NCe-mB*AYVsEGc)1LGX7Nq`**1I52-altqL8u%>%(VV>K_r?DXO*>Eb)E*GU&R zLKi+4BMhWcmVYaB^{-KobvUUvu5fp>lgcRkGj!oQ1_8pE#@;_l4MqNk#G3aT078+$ ziNhykr7MHPRo??r`HHw|x7v5VG94x0Qs2HK0qx(_cathrW%qI*y3^|QK>=SFN-yum5G+SxL+Nxn%!F$CY}3_G4&bt0Y%+xZDQrsuCjxOW( z2#rwjI|!7al{4?8pVRX5`yjorGZI4cD-#dV;R?dHVF|sY{QIUbuK+ zV|3%xqvuBR-P~v<9TxsYHWg_|p-k@ll`|XI-%XYa_cQQ3`$!>t_Pn2c?9}P4a~C$A z#q%^zEU;%C`LWzsXjdc7NUuY1ah6QMy;$tVsqHJ5_+%5bT-w;UK!IC)y}W(q%m#_=o6)06BwV-O@o*P+oVo&^ zP1|wp{KeC+l^#x`)f|J-#nn@MlnM82mVoah?%z$Ef!x$xu&{WWwAm&_RdiBqT%eYZ z(#KG!+W8rJ>NBV(OQj3JzaYb%+IgqZo&>(`!8@ePUWzZ%t$%(~Y`U=?s(tp#SK-=! zh{tlTIKVrz;_swqoC*$$S_ zz|;Gv;mz<)rqk9v`7m9>Zp8!5(qb{VoXDY`HL1e)v()&uJuwHE<^Kq1V4vZBF#)E{=Eyb6}`;`-IAH@e}i*Sq6`PmJY)3sb=tQI&1V;Xir^v-vPU za54I`9ek=&0!9MJTWKo;h>t`Njm zQ2_X2utVQmEDJ)p$2&s1AcAgm0WAD7+K0Z8^o8zlN(w)$0|ccYdI)!lcEA^8;%w~^ zq^nmFYGm!N5^8=qMh?^VLyuUo^kuqC=QB*t3_)%fPJLyFt240&rqvrhOc!8(msmvL z1PdHef)|dZ-K9Y~&cWPS(LUcvUHsWV?7^A!#m02^`%mEWf!8m0xQ_Tg zG1HAdXF7@6LC@!88bU^d<1h!Uy2n?8Rgkg8KfZey2JCwaqmdxqi$;qD z3w#SW4+|DW&kxnl8;KFzohML!e85Oe;AERF0CoF=7fyxgZz`qL`guP!z_u6}ZX6tb z=qO|7dqISQ0}T8d#FefO_Fk#`l}K6Pp&ckuez3UV`^199DsG5_odOlqZ}ID)^}2Yu zv2693>xQ!RQ-qTbA+yV|!q`<3eH-+#kM^+*23PT_WicV|a5mqacoRx=8j|W$_gWIMwBKQuv=?8FD zQBlnFv3M45@Gu_2N2&2=2V=o2V!_5*Fg%vxzw}~o@oaF{n67$n0k{3tVC-JAyL%dW z9_^w@ZSMi_*SqWIZG!lnboE2X95H11D87NQe**m`EYZt{H{`Rg9<}zJ1jx_g^bf`7 z({$ng8bG9~kxpxX@Kbd0i@58AW}QAuSKfrkyf}`JvuZl}95IkOQZDyO!QS6dnYyF( zhGsuRA&w7yEE@8H{885$sMy8!=qn7ZWVMRzA6Yz-?eXUX>=EQm&9Pr!qTgBb`!`=m z>gh9J-x~$Jo_8SY*#v^<9tmoZg~c4<3~bN^n_c+Vo|1>}h=rWGWRB&Tdi2V<^V_56 zF5o?#bhnAo06heOFVl*Dc~9(~q^;Q4i?`+mpP@^|qP>`)i+_dF!ICO+N9fAm(UoFR zuT9al?=gGkQcC1ay88Z`*uT1`uJY$$y82^$b&#R~a?Bi`m6NjJ-8a%rKYJ60T7r0j zgfD#zj>Xf8vv<%fAA14jQ9nMUoj*+%fAF^0OsDu7z4j@(_7k_o>K$7i_65517q`Wh z*WX&~BvTZR7*rnsdghj~dTJ(kY^pp1tuk>yrRuc_JoVn&V<(Z?UEh@8%R;=kStM6t zkgKL?H@GtX=jirN+#c&r&m>dzB&~tBbPmRk@b^Ug&@}dT-Y@$O#^R~<6rJWbC~gWZ zHyDe{Ud#p3qI>Al9_*Y~2)um~1AOWB*xhvMTWt7!di`m-{`dFrZimOo=h=gd6WyXa zN>jll(tXAQil>Hxmrm^u+GD}!TNI;TqCP(K(wOXQr%t?5JRDb-N~ebvz=ysD_x@Hq zhP|urN>K$$K&edmIK$%trdP+BbUwz56Z+Jf=&3JZo8)>r_11kMDR-!2!;l}Q#-F`6 zmg-Eu^{F3Dr?HLAXndTi1S-8ZhML(_YNj|dMBB=Ekg51$9@>zOK;okiZ(gV$%53tl zF|KtN_)%6Adu!umQ!7K(1xn`SzBuA9g{ilirg$e^-(*LT9%yVf^!j>zv0f}r*HXz7 zDG)_=&GgJ{El98^$uQTn?eEAq?)p(E^3Bd}c9+ zXc^8m$Yg^D?LOGg6|ul)UJx6Wa39Wgx|(`&^GPbTHuN(VKYZoN@Rg^6bBQ2a2wG!7 z_5%vlp8~fBsC!Dw(`#|mSn!jHcyWKSK2uM2gj%ts;#IkI?CaYK&P)ZjoGlm6A5iF@ zpFthdXqfTXDT!OwDwzJjuEGdGt+tj1MrH#vc}2M2#}uQbDeNkckBh2!4Cb!jsklzPZ=03gp# zq0@A&Gn`h5xdeAWx{G%jo%POZgO#b^P-2F!;e1H`cZv_;6sa0ud|4Lg#&j7@2k*sx zbjr)$GZs7*OK1t)_8cJb?_W&eh!jpSuBV2I2XFu;ZcPM_Cr)ICOZ(DEP=#4hyolH@ z(=cd);CmBvK|1}4;9??p=~%MZK;FLCvD-n{j-dBvNCka+aZ{zwkKQL9*fj}9L2TY8 z3nU>07)>KYU3tyynPH7m?U_d}pSm+PohxEf{5epk0*4;J1lGYzeTheaB&Ewl#GN*d z1gHlaZROLzOLjJ0wy8#YvX8 zYk2%SUKE>tkT4cJi4T^{_pjlUDF{vc9(>4L%%+O3;je2{JS_rwk0Ls?nQGvHl>Vk< z1f5d4MN;CUz}|!4;gJPZ)E{1;aZ!4k61tg+Pd~YdGqBc+o$#7kCx`2}={H^)dw2$7 z;lVJKC7vVklkNmt3DCk}1@d>&o!|H}?9yK7gph=(dLz{VM2g8wW9G!X5w&m`Upcke zDL&chOczs~RHvIHbxwQK=EDO-DCBY8mU!|cwl^n}!JmG^Lhzp0f==g7yyz_W@XJAM z+f1UQabU4P?i7;+(Pi=;+;JCo_ z1uzE1h3Uo+^fXVAm$H#ACeuSnr6&v5;URMCUouuNmZS}b76Go>&JCl> zJJyUW=PuKb;z8(Q82s2%2}A@6d0i%7`%og*#Af-edVLgYsgFLW4Djw22Kts{>}ZFE z5i(4~kAY_O4u1Yelc4FdonpFkxOiwkgojyCGPvh#DtL4(NFsWmMIn&WmHN1Ik??Ddj)&*LB z{cy3~Kp@22)_@$@>eL~MuSgd#;gi!)yF6_I!Qd(SU^>~y8C?j4Is!|{PA4_J{&niH z^DuvoVQxOlLctKzT6}--vawB!04pYWh0QHWQ`Vo#7?K%_*XuYy3ngEW3f_K}_qMU} zFT5(YweNvoG_hGsqk{BMu#^Zg3;Stad+HJF|II_OY;w9$6n2C>eo~viNFBZBP^^@k zPS$4p8+=0i4~IzDB&UZVz$f<)r&5g+e4ycS=g`9pDrnn=;Cn4z8`?WWx;!wtoHtFI z1H#wXCN$cX6_wdgvKC+b=4qV7y?}BxQDb~3`!e+VCi=G5+zjECN*})8$QEvf`Vy-* zVU)F~@;&jl;5pF{#y8|qr$lKI-UdI257KHU{l!yA@a6E52M0b3+&w?%o(fD*tMKf? zCgx_m`^76!8906V@}sBe)e@MB^XL&lxpLv$>5FGJq=nskT`0k|#k!k2j529T{bo7POA^0L8I(*R#2EKY34E!?bLHb7NK_r14 zspE&GY7m@cxp1eXnD7NtM%nl2Em?rABtp@SRubW>tt6t$J1U8A(N+@O>{Sxog0WpC z5n8m82w!a_(XHrF! z^S@FvJBYo_Th#o2-x<5h+H<^;-@?SNsEfT#_x!(jXAIW?#S;`WGnxE%Q`7$#j6L{< zp>xJ;@mimu)^87DFcyus{yTK#?{10>!6P`y{vIokeKW<>190iK*4Jl?R53(Wcvzoa zEKP6GFIN1c=`dRijZf}Jh$wWjhcZpK{Aqmz8v546!}xqC5!|`h&Y(O zgZ-qjNaFr00_i@`@?h;C6lB*r$@tJC;j>Jx(Quf9BOlg(Dix3N# z3gMH%xTvS`Zwh5g#bUVCs2@nPBf(RsWs0MXYY#j^a|ljO1rNPt@r`s}vA91~+QM^t zAHH^y8ezK7Q}B%NDL#Af>Y3nHQrgB6D=d`%7-%aYlw?J3XA7#0Qi|IP{4e_vNm{~6->%(x&Qpx)M(sV92oo$6_(S9I}>Bj!8V*No( zvof9Dg43KyW6&Xd89Is!6p%uc^p=G!EMTfJ-K6LrrZt^Tr8|wKz1Ql8%k|+Cb=dQW z2X?Sk2exN%s2DkP?%()?%UtoFf>>#NdYO^J6!m6I+IYmkDno9$7jHdqr=5@Hd{Xk={h*@$Um@xzrMoX(R!m4 z)ALl+|C=ay-tg2%$@>c3Y>I+L@1xy;TvcAcwpH}Fd|gG4c2V^7g%v%%h@!_ARrL7k zDSG_sDFeH)qQ^6~3{0#QT>0Nn$G?x553lw(u*hnTh3*DBy{vuqW$WZz4F->iL<7IS zazPRdd@C9BDDV^+3%LSDpZO(fL83}@4W2-X<0R@#BVqG$w#&cK@l(UyZJgVBiku%P9wxavBc@=;{GjYhQXJi z$F*{^ySTiv+*)pwx^v5|#nMV*Bz-NNJ~+_rwrfYarIqEyTDiMeTI+Tb>1*R>A6HP3zIkEeOaf-<01Z-ZcT1H@nBm?t=zXr;Z8b|P z^Ei&F+pSc~=%u|nyF^oHbQfz&G~5_ArFef~bu>GXtyJiN*#^oYiEmm9I`NTlC-B~Jkw-fWFrAnh}*HCS?YmH@^J!Tu`d9tgGQn|dk z*mZ5`YhiOVn^|qt84y_YN;wglxe=_d4^TVB)lv&{#T1sRjc#qJQe6Xlb&V6<^2q6f ziPHm(N~XCoa`oc*gADAWN4vGz+6n=fMyy1B&NWsHva@5kbY>!x%@qpSiF{^aqOkE$ zc4CfJ3g8ols%uSjGBO&bGa(JSb?P$SM#H;C;4xg=9%-+%4kmV9?{>KbPr!2pm91Bo z=eozSj8=Cgk;7%ZL=;Bzq-#7VQ{l+SnTuB*J-=}<92=h;FHB~`Y;K~E$%grH%rHIC zI5<#lt}>3gtL?c~sf;BlL9NcW(Ajb7*<4#S^T=ihDD9QfN|jM@WN8(2UjuH;3}~{Y zHYVIzTERqCmuhphY6XqqK&joX5+;_H%B7X=$f-k*9!#7{3=cm#fEiS~jnZtj0cbPc zj#XRj8YrZ^+^S0diILnDMOs);tXVJ+G%OehO1Q_+$K|bym$nlT@+HP1B3)ixNrYe? z#(qVxKQh}{sUGC-4$7d`Bi+jK>MWS2o0w2;Ew{>Hp8}!C_{?AOieS2y@v=Lu5m>y0p?N zEv@tiTdB5`7zqVxE0A15&jm*)z^}-Kd=>*S%QvdeMp$(lb4!HV@^Yoh48o)$Yw^XO z5sa-Wbz4o)D9x2tr8YOG$RW|!CGKpYKgiN0Lo8iz>PjyTqmargKxcWmDcmCSGE&11 z(w>KS0)jIbmw>@=1lBA}aJ^MMQfse(B$}=2T#a;v5c|@xMJs;0^UKTiHs(a`Nm%34wfwI-BUD~%ZxCW#|hB(%!&DB}URRx0K0>dIUfC?uia zK?~S+;5vI&q{18oHd9!eX~f-tCjkY~84jJ6U3OW5rzm^BdaOk~_pA`h5G4;PT=5Ggw{nqCW=*|1T!Us&SlGEHB7gav6y zQj?gtK*Wi1zDx>hzSIU~0-m*%jsZO|1C>Zc?2?mo7KDB?)KJ|#~$^mfgbi*$5>OPMbJmP4g)JYH?Vr)%H@qSwKhpeO9$Sn zvFtvR&cZ&)9*k-tQA9hGQ{qu~-^vK$cxMhKHZELwEb(=T$h{-t+;s8!xr>*&7cX?r zV;^SsPy*tpwghEyFi}i|sgYz-`Z$>QdYUmH070lk6V**!6i@B$Isb#XFWQdXt&Xi)+idD*m$bmYpR7q4;p~#4v ziLOM0&~VyG4 zZV_cd5>9f_ENazqH=mz`SRtdkU4_c2S38i(%PZCL3Yi^N4Xn2NTBQ@K^Tchc%X&ek z<^kkjMvQqdO6l_DjZ52er_PTmSzPp0-j@TYLOP!tm3qHpQ!GiahLKUac-39|^MGQ?ZP7{TOUt+r>Q{uNX@?q;72x28$p8X7gsGR`3u z2-zg&u)Va=g@G z1FFY~Mv!L7JSXOztCm()A@^68N)5J)eX&n^82^zzBmqNn zG1l%}V;SC+n7b;y40_mbXpS1;MJxxq#WyO^3n_Dzx*4ZJ5i01e2%g2w$B-mE$#kxi zjuPvoA>$${*US$(m*%%Ja_wN^8b(-|UtNT!7d1O*JqCeTPsny-k68gf>PWS{(q(8Y z!rny>gt$I7pmk`iXysM2tw1y!H88ArL1*H!_1MLU5Dq?#6fv%>wdTyVMx79`+=OdM z?x@(eAQ1!5Fdqew7|MMJ_Q={=mqfSmg2_dl9O!ZYq`O!tby(;1*G%K2n8=+*SYd8; zsjOVeYj)y??Jy#Xb~}*|Jwy{Cns8;re_$F1#N2~@oN0^n2!YtEY*Bhm)Tbv?*sPBV zmDTyEZV=(5K!l}%wRMjzH&z#`5Z3G+_zY8StTTYv@8gf_izk+92{Ji z1k36%iuP7l=9ejuW{d-#cDv(31vEp$6yT3`VyIPG96B@vBd3CY%5(T=S3>^G;1h-g z{lGuwS9S=)SzfRe7 zMaB_rsegLzY{Gb|Uzd1w;_DL|us0GBzheXxMF{}kK^-8|pJGsy3;-u1pa=(#G&%&0 zSr=koJL6K8Sb7B~X%~4AA!aY~q)8Np7*-ByCwn(-;t%Zgar~5_Ra+7dnpvnPwCB+a zDG-`KlwdY4Z{wth0k$BGje~oj+f{bNbI2MlQbCbDjK0T4iWG2S* zFhM!a@6E(09N#_`YV7SjUngc~F;i;5W^Drq*y7828~FlotW|34mDE7LEJIkElk23s zlYs@axY}5$L3FoPYUI4~qpVdW-s>qTqD?V6tdV7LX!ATKCv|%3)Fnw40WDASsVQX7z!p|zJ0XE*}c3=t*qW-%F9 zw>UQd5&24WcJ+wpw0UboP7E{~rPVgXAdFNvJ0^szPLOAYL?n{2tu<)pOn2l3N@cji zZKfR;_^3AKjGFQYe1+|AibIi*Yps%E;tA3{9I>iEWzNB=n5$71$Sm5VHFGRe5PlN@ z>}eR)Ec&lF`f6=o&xj)GAQC3+Zsn#^Spy{2m~f2;%WG}1?}{MoTstu_0pptVS*rrc zPogLSn>I{&sGew0h^3Pl_r|wXb|mE@h+H!^BGP{B&`IzZxi6$xC3U9SOH>uRmIzXi z+l_w!Br@VxTD3(mheai69z`)beVn5+q31|Q0og)IIufw^n!prEiRw7=-EmQ4H7X=2 zz+hCeLG3Fi^%anS6R2N$m;z19OF9E=U^#8eS?fOPm9vlQ@Tcaq6H?qJiXtiMmqNK(m1y zJm=K`S&wZU|6>?CncfUKq(j6I;)JH512rBg{=sNq(8-W1i1U&aV>t-iLCj9sYzq1U zsxX{EvWcPP*KJ$?Fo*h3Aye{P3!ymyJ3C_%bb)POc@{Y~L--z@QCOa6jcwH(STTWY zryD5pj*BEffqex}c7mtk*P}3njf@hP^c1O#CPXy!4{#PZD`2G#d+;iD@EmXsn^kNU zm6pvTs2m|HMomUoeiW3Ys5)sof-(}M1b^Hoq)HmZcvgaJfW*31AT})1gx?Hr(Zb2H z0m=Bx7?nu+j7QfL9cY%t7mLIeNXC}u5qcGBr1^3gf|sEc7pzkC;*lYWKEll8M6QrS z7;+*U4>v}0`8iCEJxxyiUT=z0eba6s4bjWaDzi~P*Emjhi%z^hqlf-6joLKZ<*v_ySxGKE2NUM&$K zQY08X_SNWb|G+HbfJ}3%D6KJTvvm|ml;p%b@P#^hhrELNTtQe~!iPeWpe=a5D^*aH z6P0;N=(2GUWiZWoamvJG1z9RImXDa6zvS9!b|%IKE1H~1!(<>!VKPWVT&3`oSpWyD z1qePtiem7wL^*|sHI$L%=2=1aH5mYZGYT9P%Zp^f<39@gMuizKJra)*lR_*CGAJ}d zL&PBKK`bdhaJIX>asE69s5vq{I(_za+m~=Z$b^I)ndnwnOI?G9^N7f1Bifja%+dXF zEdWrPolXfO-fFhep$xsRq!Lai_Vh$2P0pgr3R-p!O|S}1dVR6fOpJ^meuAj?_Q7<* zs24no)w>LX&EGjd!?B*sq$e{IlX=(~6JZ99^*D)AJS)U@9m@;%Tu;t=HiT6xCSqr4 z#^WbctYq?FNWibU2JgVHvNNWuh@vtH!grOoe-|A&XC$gG9R7yHr|(!*(+m7 zpgyos+*A$%516Y#@GtSO=Z)!{dV=S@KqqI4v^2ahl&gU(D6+!|7UN(!WN3Di>=2`Q zdm1UXeEHlPHmJDUt%Z`5k(igrVNZgQLh*IjS*e%sr49-xdKRdvz9-5+a+Sj(m&`4>0|bn5Hup5&uNDnYR;;d`)r$4c?%w<|^o`UpTH1*$nY0IuPjOL@5!Q=I zQH_UAO0^?eyc*Dc<)60lv%NgGV(HA;=C-zTHzS=*G-yb&0df%Vl7l>R?$XE^PD5VW zICX}8(N9i9Ql|RiCE5?#Z*iEhM|O>#Fc%3_iTJBpLAj#!qRXWQ>P495So=Fa9Ll93 zMXOxT=bN&V>>#S$tKCjQRFlDm3T#9f#AIVFu2*m) z3fihDW8DcqW7wXEI}xuNqpN5^WE8myU0-nIa?%bBlq!A zFg%D!gB-@vu)>%Z1EB>7a^8_9Vr3Xj$}e69<6S&!*bWaK^i)2M6G%|Y==8-$Uo(dP zh|!Vf;rVeOWoHPOC4S!t!7n!QMXeYw%g7$ZY-zR9qC(=4{CGi3H;>GupwgFZb{;%v zeOAjXg!+INU&tdXV@bI;1F122qZ-HEhM}bfCr)`yXqlw_uv2H$#*03ba zNTnRBl~F809__Jmn7}789u)I~Q5@>S=${_NN@>_K#a{8Jt(96OL|kZPX}Jq+#bal< zf4!lFAg9c&(9L?&%TJ_{hoJpoh+C9aR$5_qjdJH)pzjZ$b4Mryt$2)w=SQ2Z8qKMS zE7e?>(;=7J6hgxG4+97!5&3rP=BXX4hSbJ@An!>|~Rf(NgWq8WcL@m88M0rbiT#mAl6) zZYi#*Qcic<2qCuDySWLded=;HI$4LB$=M|tG^|9H96uit3eha(&W6poIez9vIIC;;wHCtU_~G!BjP#SpfISE zC$RsooW{PLaRWF&$Tpjf3t1-5%AA3?0br$^>k`{gyo08LKT9Say7Nb}g6>ctsMHlQ zvE&M}TGMBvvfLz&GiCSED~j*=Ax=+n@Vr|&4yr~7z#ExwQGy5hDqXu`O1SBy87=iA z-ONOLx#msX-hrChS`&4-E7${xI8KL z(2@r$vqyx-NM2K}89T5rXOUs-W^pb7-oG6vGl4rqJdT^;v3SVqTG*XkZG#rVtS*+> z0nuZ`yzR75d}Dyc3z8|#RYS;HUP~FJaoutg*5@4AI0Qj~vVBb0K9>>hDvyptU5O5>g!ES^#dE#3BJ##FN7rO*GwY9$yraHqvV+%ELld#L29PL|)J8 zl9PU{WEHrIxePNAloqd*AnQhML2ZJSKx_?sI zu$85?jQ`Ue(5Un$cM#&Nm<95hrVnC91-B>Cupg3Fke>BONY=+fEsLEVhNC=TdOgSr z*hv)2lOim3LN;H>8>;t47Tqg)g+?}jO%JdeT3c$aVh`+!gBnT>1m>!ZjC3G)Oqixt zjdf8rYZ-|U;-JN3j=VU?D{mhOndWkn(Pwt90+mg&irGmTQ=TUlBx;^EH-_!!$?I-j1kbgC%Adoh7%(>XIl^5s1V% zI(=o=kjT*WWyuG)mDEwV*lyYzpb{PQ3bg*&DDa{L%y9@*Pdnj;ieNEaX|KAsLZ)vA z*tI!GKI{hVwn7it6{T%5e0zyc*^(FBUlLo}H^T%kENfTnqoZO>zOqNQL_(9aQ4Xw3T|!0K%Wx1iT3ZiO!3wZQ#hd>mExw#?HdZZGJQK)Y z#Q3-|WT04a+z_j zxUhanuA83pG<*mRuaGHEGR0NOB1vgl(BdFMXiux5vm_tfBVunx=46Q<+ZE?$y+`xR z;tT|l%vqK$#5tOuwH-TKCtJvpC52HLQhEo8X90+0xoNf#Ox*ji6-wsn$X531I$hu4 zf{{t-s4L;)Sp0&?D4HCbF$u6lb6_Ev$rLtMsyQJBjZO^&o@N%7NSp~bL!KUq8U@MNHCOG>P>=VyfM&bVDlxu>xH{Ez@2!USex)P9nAX110Fzm4bGu`$vF=dQYv~z^pq%4;XjSGQIM(p7MW!MOz zwgZG4;gDU3g5Xo!lStACABap;&#H9^SDIOvo_L^-#C#U?QIttcVzOD^TteR~+m01V2sC ztRNyGnK{wm3_F-B0$V0v*E@vs@kNs1iq6RViG}88iNI->5;=uDzNaI~D39e>n{9)I zg^}qQjxw~5+ZI9-MP_gm3aYmFcanmR;*SW3$aY}EBu5U8u|PEl~PN+ zfkje)G*E`@C5qTNNTscNOg6(JV>1N5`F~n~kGsb(w;4n~v%b)Jf*PMLu+>Y{ix3X9&&l`Y9pSomL zCm0E+nyVr+CiC|^G9hSUQ;SS>yHJtkF{qhIRNR#44*653tyMz>3Kl<$wR;f;D0iqv zvGR=ZVr?Uo5?PZfYG2y5^)nA8M3d=eJQEJWTbJ%pi*?9=BK!#uqUsb0Ejma;)}rwm z&u7jvFnD&_fd@rtq=z9um9ia=<;Qd4a+jiV$Avc|XwVMC1`T__W?D(F7`?z+6Jty8 zT_uWmf|fjehV(!ahFKZAER4iYD`>KsYE!^C#o={PNJ|eH&#?DmImd9g70$Y`U0B}2 zLO3owDFLF2VMV)orEB(TMBF>z3g|*ahK;0R%T{5JKn!-|9}T-lL4|feo-@_Ccju0} z`B)dtc$s1(n8`3WywDKq4`~A#kOIj1#7pF5=fHv546$vswM1!soW?*DLSmET8$yKH z#JGz|!-U5rJ26$obStNzX!94v?VOZBVrB=YMeM1F#i4*DXA{NvI5x$TK&DY~k`|nB z{6py-N{wj>)3h-=;Q`hQdC?0-{wNiin9Bs7$tz@hQvts41}c+h$-_N`|xa9Rmdn)Z4Qj7 zUS?rTq(n5EN#txEz^;`sUN%WS181lLi5My*u-plW+u@`*3f#3^;0|nI=Oal9<_}Dl z$$ld36glKNNIi{Bqq-Pk3bPo`Viq$*L&v6F0_;btoR1&`c)ANQF%Aq0@-1PQCrv(y zq=tk_M5-WDJAa%w3C4INgwBf0gnyLqM7!-028K*VFabQ{@7pIO*q5Lck zT9}kUJnMb7y;9da0a3W(jZHa|fHjU1AqR&g>onO!;mPOn_AyX2YKBpOAphFAI$a|O z7iS!5q&Mc~AQ!eYjwg^)*uwDQ95`E`q9(m*dyb1W6ksml&rUpKd(Ni>Px;%BB~45o zQ8QX3h)x(J>qn0=6e&^Q!NL=<Tevegw0p1?d2XF z*V=MI{sI)~0k=qEiX!%EPlLoAG(`&a%UeLHBX)}QpoGD~4pY|kaQL*`BnwT%v1C|I5mV#Jsw^p2P$e#V7gxt-)YfA?OayDnhU=vlRbQ{&8o&5^$NVGn0E>{#2U8fHN94&;7{y_#&G`@a}RDh9;c(#I?y!)k;Xv+%!{D(z#29|@6e8f4}Wv;bs8=26|u65gc9aGlX! znJepv2&2;^Ts%S-&Chv8Bohq}MaCY@o#Mg?Y%}6JRjq})=(Q-xi;m~s3M@8#>6>Qi zvh(I0E!MX7W|R}0qZbbiBqSNAN`)k=C89=imQ=7QHUr1dpXP!|^|CfG4l>UG&yoNn z;uO$1)6Nhl$NnaIDkvgMQB$spIH7*bAxC@xe~;&*^JV#2M&J(h(r6~dNA0%R`KU~- z{Hz)@E~$vg3ndBL8R68bNgRZVXz~L_!U1mm1fRRStHc8-fXfi zX1e2fkE$QlHewyF4&YS^0csnWoW^i#qXb{5qSo${ld%Ltc!s*efyOQF5P-qj?W5v- zYYP#x(g)&lHPqWWH3w*#Hj+_*gXi$%238T=@s`Wj(fe)ijH45w2G4XP;{1jjNnt1A z0!J1eBKM;J@x)$X(nLKaR;FXKtCI6v72tsxR-OgoB-+1I=9Cuu%O_&mvnD1!3~hFnB;!Ght82SG<7UQ;|?hTr(H@;Sh_~T z&P*ZThYV9se@DTyAzT2FlQaf*b~$2PYBh+qss=Hb5fepd&-YLTl)M^CV`NN=r5Op{ znVtp4U9J$B%o=8vKpoJ?%9Ef&UZBp!Ntop>j^(qIW|_ehPAnq0YFWklMBa2wewcb! z0YfTCf(u3pv+R&BlfPfCo9JCC|7eM^%f+%HKmO%W=ySqn60NeAkbwU2nk3T{WO6_}Ag zKZWDyPr+c*xQ61`Ptit`4!~o03ITQawwwei^R^s}fg(C4Dbpv>C~*%+P)lr8Fzk$v zUoT-JR$-=V%)+ziKZiZ{5t@z0Ce4Ct=yfroZXD|h#wZYD=j_Cu(3)K-_KzqCVf&QJ zO_l{>N*Eg+M;=aIa3a-<>F@A#;&F+N6b#L$exu2;@w%*!}Ibyebz0;bnPJ+=m!Ty2Vzg$OpZ?q zV-}+B1Fs0t7$O|8vH=k#oaQ)^DAubmJ`8uGWZMHf)D$A?MPgfG511of#*}s$7{YQTGTD;O&a?jjd1vT40=`gD5!Dh47 zS!QD8InxS>;_Ujhv1fQ8M&A*V#mrjCIP2QmTC`Wv@uitxm1l2)%Nr1V~oqyO`5#(~_seAYoVC z%@=|Xk}D!K6%YPW#3<5&0CR`IEh{@?DGWf0`jJqiXq0zUg<-dZyspHC2Q1&Q+m!7V z{GA2p*rEn7U@4}l5kpQoVJA(1Ik8@IJ)RN?`dv0_cy0%*A9_3-kMbMIV^>Y2QGVYY z@-!MA6%yn=bO}b$lCzFh62)LGFMcB%zGwqXL{TxGu}oJF)uix~K`8syJ`gyKTWxEz zMS(Ie>mi&G*>ZPS9Jm=0?-6qll&y_Hl){29=k&P5-BiEeZu-+|5F;-r#o$O6f`%!6 zu7=aqR@TP5f-QbVNcu4tOu_;+GdN4YFCw&+ zi&n$pSv(q%hh}DZiKv^Y*`X=1YWBlrwDPRSyVsnzQ6u7cc;MnhIs*2-lvZ$%oSl%$ za62R!45pp&sv;1tz<}t)=`VgJQMHh%Ka>QP#S}&bPNG%=+XOo+oN)+=1Q|I^*$dZY zyl6oM&V;p%Jj8{Q1tFzb5%walG2s>Xt7RnhI`n*gF0zT!dmg6}uoO*CGHuuugpOmJ zsh~C)d!$MaU^JHm_GFpSWg=mfI(MWg@v8pq7yO+A0p52 zG#E-uP}ENPJ1`0aA)SaJZKGevP;kZqG?UN{HgH+!yOKo@dYRQpRwL<2al3i4o>Sd- zU#iqN?XrDVRILR*?f*CQ;SC#x1u=2TG-)RwGOSN~JShdBR9A@jpr;6ywr@_dL^lKS zb8}5F#o}VC!em5`0Zu|BUiR1zn34$HIpfL7q>$-24QqN()yg|3t+d3Fv;&dQq?;8D zkRUQTY&{zv2$7*OLn;Lcd5NMmPoPPhj&}9fGH(piPQAdOi_zIejL0>x>IKpK>5pY# zPOoApk!}n&P1XvQ3~ez{`sS@0!rDt#V1`_9p2=IMghmups^~FWB#kv;y)KR8kOq_| zJhda`&@_EK=0dya*#yeXl~SbKqTj9{ve}r9dNw;M!hPF|(|L*ky}`+cP(#on`4xc` zyGcXD5>3?^%LcvSxZrmpYUmiA_KUOlaSI3{0e{b(AV7G?%#Z{pDxvX;n?c>rmYw1I; zmNGjJkaoC;d^UV$cJN!qBC7!ICTSIxgFOherwwq}PxkC6MpRg$l0ypkvHgSp9NU_m zZGC%pjF(;4vk*iS6UDQOkjOb*1Aha!lw}$`8hm(GQiQ`zVBRAquBeyUSA!4qXovmGVJocP?mxhB=2;;R_m$S7uO9Y4hj}J>m0cp*8*lty^_N19Ty%dEr>=1;!PAQ8nALI5M?j= zyhqh+`KEg!%~Tu_N+w1OK2z_moGmfz9<69qG6zEG{9Tzhz39l`&qIEuV5d?1+r1aX=)fbHLgm<=IcnbVIho*q2Zmfznr9 z(AON+5Kn(sNJHUVNyF|9Eli3;b$S>f!=;NormF!G=?6(~dLZ;<6-qjL_STsPrF0-t z5VN7H_8^ZvVB*M6IEhGdDFM%i5!|Es3(<<8Z^w| znemH@rIroOP;rY+iD-`UnS_3_5$Ml0lKzcWf9Yf80&gTl@s=G`F|K8^R%l_F0!lB| zXs|+~IT_*PfID?TT@u>GhMfRV8;ep@uQTzO2D=t{HhGr)ZWTsmG5L$ZZkH%!5j*!4 zQv}k}ci6tv1D3QkOFU5(3D`w9M_S{ABRwV-X#9$uOMw?C>|FI+cg=NMh^thW^2Zh@ z^IAJI`qr}VG5gK1_=gNT82>`Sq!GNuI{4ynTOK;=ImBeTun0u-nzy%cHM?67l5||F zR9Qti2@b6?TZ+9NXmp09X!YH$g2U~~oe8FsD zoe-9?efS|s`2WglT%x;cxue(bk-X41`z0zKIJAWo9ceAEHoN1zcoDf8=!7lM8ub3SN zaaflVReer{WD^BzDtW3632MP_L5vE<4N*I%Ut2hJ$W+}i2*K5gq=-=vJ*&--vTri+ zI(vh8oPKBf9((7(mv-V1)TocMYSfiQT=p9H&LjvdbMcPQqxtt%j>}+Q*Jm^sgwERwQ%I?eA)%J@XCuqiW^-1dRlk68kVZvN}JrluR zZ+U^~R2qBhE0{RJ3t~+7C?amOnIQMRSZh-|3(AR*f|f;gU*qQHc{s-d8IntC5)7 z$vX39qr%dikZ3lmiZ`nha?wu4ZNX@D2DOGT`*{qG!&F1!Fj(8E1Al&XQg}DY8dTIq zj@rChqfAlGH<103#y$nmUCa&#TsYs*tG*_8oZ*tw<1Ii&e708>yFt7fe)J~kFtKCk z8T{$g**TR{_>2c+o2*%`AziW&g$u007HKb{U79e9jETzdEEuvR0gTiYTuzo*j+3R1 zw~4u$ev)zU4+Mm!+Z{9*36qj!r}^hip$!3#7z&;%Uf4&n#;n*(U5mZ3+Xij6@W2e> z>5;5)?m|zEsG#c70d_}hia?A2(}l#ddsFoc20u+Aix3mTSyBrCNwM+C0C+(Uzob@JE9puLayPG%Zw4&Lzi^JP^EKzafOCB4K%Do+%fOVt{Z+w)( zN$4iO+9B_21R}bH6`W>o1*@|wTu#=W2GX;$!14a%?1T`mY?ZQ8mlEn8N1rF@(bIgX zu_6;-vRA~IEhiC@S6I`?TtMJ7YTvx%CWqS%)_ozXic*uh~A?BoB8#P9@*WiZwLr6<~Wl zJ)4p=TVAjdCtJTgu@i1nYYC1Ey>`XoC7rDfNETW}DQK8|PF;n zDOLutoTIFdd$KQt(am?vN|C4OLPM`S4br_7bVPB4fi%sWonE}UDsrZaU&1NDj9rSH zq9!;A7`*$M|GY`seUi3P4ye?21A~Iu`EO*un1-!2If5Ina)AF5VRsH0&DW01_nuN{ z>pDE>Wc1)oyh0CuIy89)vz;ckATj9B;t5zwO&nnsouY8F&I!sF@YYV+`fiL_YN@na z+@BSCY*XdCIG@lRm7P(`!1g7A8s}rt!-f@wQ<31t%RzDeSJiBmGW#vvK5SSJ=qFNf zE&<8id;>9V`};Jic}#MJNG2+LsbtxxCA6_dRFFETkxdpxtTjLHL9}QdHMB@(h$*#$ z8G=_T<2B80w-wj9=pcq=$L6aSsPuOnTGh_u@l1h3w&F~ERL;-#IprmhoSs;@ zGL<9{MRNcE_>-OdB35Z9zq!+MKd~kg(}-ZRjdw$-fWf)GKw^*9F+F)FQgeQW1|=rq zXCvCU6*@JKmxAl_ntGMiNLtRb5TaYk^;z%C6JE3ur?zX~d@@BZ{~Hmc<`t0(FlH95 zWdd%>YOvZJI4n=?24mtD#Fo3LW}@=9$Gh~K);Or55Iuo|^z6QIcuP8APi$oi=JX#?o_dF> z-ZH)P*Rz0x7R-t7eDo+ACPbcQ-Uf9B{)UXQv?nVoxuE#zn=OgZ#YVkeGTYrZ(rJOnvM92$+* z>ZzD!-A8gwqVQDudG!D5-3gU=^RCW*iJeqEB2{H?9Qo z{EgB$Jyce2=s1ga>EOlokoY(S7eJJ8LYw#Jz%=D5SDQGcz(yH7!)2kp`Wjq;M{~4)A8*{?wl0@R_+VZjBnRj`r!%Zx|9B)t)ApD zG(({WLO!$wW0`k|WHR!ww*d`W<%QF7JBSL3CyQo+=;iB<7{&#!(y@1lXV{TDsR~AQCki zudmhW$sEf%$^evyqjq9|taV<=JmG={l}1Jq8}1?7TX-Pv5hxrIWlT>%P}awA*Q7^9 zD{B!da{JBLG?b&|6kKu=mJcqPA0N?T)V7FM)O-8Kam!m&dZ!GoeFFoSkh){Wh~l7; zD3dX69`?DWV9vywh=h+Ie2>B0p(+VeHbz~LjJH#(doEXjY2WnDkPQ73;$Tag=a5X` zAcCB;u&NWM$|a%G$fS=V^?K zzt7VkE@r(-PCMKSq}DW|Kn8@81>guJhc!heYGwgJ&#o`W-|*&VUF)3pywBH+hfw1> zET3o^E!O$~pyJMXScVT6yGF{`Qa9uIu~n~++L$1wgjeR-8AVs52h-#Acw#egABGV< z|1Y}Hn8Bv1lH%vP9s4V>211e55+F@CeRgc6Y*1yjtz1qfJE3T zG-rY+D8aH2hI3VR88%zBM9ZwoWDW@(V&;JG8I_*8T8yYGYu6IO*!k-bk|>l1PxSL` zC;^9oh!=cWHAws5z&RILN-9>zNH<_2pj&5}j2 z(?#PLurY$k)G*WWYT&24p`xmK{0%~%#Ne<&&C)E@ zkMwQ|G?S#t9>A<0V(HWzgao`p+MMJg#jeI@-?zMYaYcy0lk#@mh zW*%2Noyh2yKJBzP;=(aB!SQV7Kwy#6%!X#m9wArbh9F+{OTnj64kdfghBWvI zJD`CPpQ|+~)~#!^<}Hg=wJL-cC~>3f_qfv{Sd@@%B2cJ0VLeecmQG28g}Fnp+~>V8 zo{EZ|J!c+VI=0Agn>+5gh8FH-nf&C0L_6&=J(#z8H*=ZJE$4;1Zg{mte~8rJt@7?T zIs%S~$yB$uHZEP**ltuS{bDman|F=Tn0eWHuS~>pV+`4XFR_sg@tOy%{^%3chs{m- z`kvYkmZT!meN?EKL~2!9BikE{HC%;jx1uJ*w0H!?;jbB|7iIXG(J z=^A|zD#_TLp^#BVwTjR z)+NJM3-t6QJW)|=`Zc?u^}LG5PMy0jc3P(8Y=piifkntUXI9M4r;?OT-IN-!7EpQw ztw^l7-~d9_wyw*GBy3e{1=)~zGY|94y561%5zd*6q&b(_bZH2@pZ7r;THjTr zFVJRzY>EJ64;PZiI#q=k zCXT4I7GufloDVV=xY0_SDP~WFG{rVf6VyKN?ZAAoWhPd%UGTS5IP39hIQ?iMyO~kO_Bi>cUzAO#E zz`O6vimbr(?Oe*C+EuX|(Gv#aMu=N^hcDHQU?K!%3Wu^>Q01?rg(Xd%E9bBC=6LAnDu*H zQ!s^Ua`>A9$kW0-)l?i(L|>{!%NHMe-Kk5bwl7}lr$l$O-bjaHf+Y6Lp0P;jt4F31 z3zJ;1MXI-`OwOz-FNb0gk&q%fn#Bv$ES*?8!tjeI1p^{A9qBQ$VK_2DX9@D5uXxeh z?8vo4j~-0O&ykUlD;F-G+q|%GCUO4ah0TK_*XS%*xApNL4uJ)+u-;Cm@nhE~Ku)Zo z4<@Fj5_x)VWCY{jM+WXyd=IHTmcHE1I!PFMV(UjrvDG4jb?FL6Om8maE zplB7WBVDT9M23T)#(x=Gk`q}3+sd}Ejr~+EC2K{F+H!>?#QKKFqP15BsIqI@__O~% zZ*RIB*Oi@#;!)6l9Avl3MkeO#F5duhAW_1Q$^=Nt4o3zEf)ZLFfD3>cZoB*0`}@|s zPaa5RN0%9PiOh4(9@aeVwRPqqM461xgNM=rSk~5%4T#X+2-#xw&MI3xdLtE3GCm}+ zvz;$Ycy_l{+=aZi-+1rpM*f?(4Qoz-#&zY=iz`0EVopqoN2h9F?`o<| zVD3kbiiaN|_A>qU8>wJ~DbqleVH!GYex$4^zO=o8TxbXhB}6a|!dqk4Z7iL|@=#df zx&(EzX1o>MxFH^u9dU z9#K}Eoa1Z3R6({;f_%F-(x+=0bw%4&zc^8S7PY(0f?Tmj~pbqiK%``=P8} zOPw(+#UiREQ&=v@?=X>`%2Ni#pBPNs5+!ryBqdP%kLCMr6$tYX}x z(23vfaY0=mDn@p~+~jNBgM$Dt&r3Lk00=<5y4ChbRNAmxtspp)7H7PcTt6etq($sE zg8`kP9u-6{mM5D)pOyGh(*Y;G9GjMxBDdv)tzlMEsC z;gYzLq*)>a7Z0KSLq@5`adtMHIl+Na{nHTG+l@VE>&1U)Q14h(+LXHu=re4{Y_B=a z^kZ^d`_clkW{lL+^3aHXqto)E&Xoy%TAtjX`&!S#qrOg>EqnW>a`Y|KmCTQ3Z%rz? zvIHR|V}xkiEC|c z01sQQVQ$r&t&Xa;bO;Zk}t9Slt)U=Ui(Qf-=yN6Oueg}>Q zP$#(5nc_N7>ZFap?PxGNj-FaH0{zpB52`r~S1Y*Qb%2!olHu_upsmX5_rf`X$H=V` z+OTHP`yUE7?547};jS0!G*)#gL{UYyIbBBhfFIa%rn_$<1R9Y-&F(BOLew*=O|`k; z0+vQtjf1^l0j@)ZdBbo9W3~Myn`kH$@#0W!2&zp>RU{1yl4xPX=h~X#JS?-ubueqT zkHpiWQggDU;sX2~6b%A|&@%!>pIEq#=8rS}M#_Z77U?}fq%z9t*|D8w=&Y?#V~@m@ zf{LkDl%&dr#ny7lD|UEKOE9C@)<{&WYQ;282jCK}AhV48psGyZ%%V8bH7k`KNOcUHJnbrjOY{WN7#Q;2hc^A=hMyEL7d9=lY*oVz zeNh0cHgUIgsrRJl6`wRF_0tFle%8Ja5%;o)c#_>q6=y>8jq5?5R^s=oJ}q>#0aEUl zBZRq`kSrSZx9SUnd?D4rj{2L((DG9Upbu%e1dls7ORMKZAPC^fhsoGY_Q@yqaK<*J z_CQ2aPFj|QE8CUF_BE0y6MKdQ*U0&OcPgb~vwC*0uc!uBbznx(#DgTk!gaU^EDKpn z%sR|GVXW@`7_lG1V$f-FYd}PWmpGFqQ60oaqj7FYtLz(^P}5YeE67Ud4B3a0{Jk3u zB%)K4=41Fkbz8qcY*BeT$X&Gmag_ z$b<+}ABcE#E^!=6OAXm_4RRLl!swFji7}E&JDA*zEi8W{K@XMon7;q`~yeV6lT5FD~ z(3De;d&sNuw$}2xJ2c@#@P2;sS3#TYM7rJ4{zG@(`K$%(HSr~v7!0~MJTjss7O4_( zL*Xf4R_(*kY<)tzR#P7@ATWbP!eldu_E|k5NDx+Wwkie@;EIR}a+P@%n#v2)Qzn~< zPC7@j8>m%hD~TgdChB4?W(%JIK{Ca&1gZ|OVp`H_CMNmNM@_A5on#U7Cxrkr6xMd%7u3elFjC^2m}QPv{sC9UV8)aL2dN0njK+449l_oNGoYKkE0 z0w{$eZ-th70VKE;Uy|@8ddvN4!w2Id-z)uRt%`SZTo_b=gm|<7V!OXdH;K*+6m-{3 z+!Z5ZxNp5-wk2O@0LG*;ZpYLTIgZ}uhi@YNTnH+ZfcSk%F9{$qmmo~?O!Lz529t_OG#-Xk?vdG- z^$h__R&NxoZHNj-C8K$X*`S~Re#dV+-xWyp?00))4JEgmMlKjbPbCFo#I7JntTfk4 zT#Ud;W}-1R4%Rm#CfUgFp|Fe0YgqFAZy$!f=K3nh;YUh9fT&hCKDX`k)H5mIUeos z7n*%rj8W!^H_XZRqMkx;CTn|(RSI^u_q`dYU_due;i=neXO-{elxWK9%pRxbZK_?c z;d^35E@DWy2f`pi7swwPM2;pC#M$;Jq|icv&T$GEy+NLdpn=8RTeEj_m;>XmzzXkj z(Do2_$NPWVnon#z&DqR zc#1A)Qm7FOPm!uDg#Hn}O6~65clTE4)y*^)U?8fM!OO(ag{B8qhgKrLLq?0`kyZOI zvk0Ls5G?$cuexP!t!8N1EJ9F{ zJxWcMgh?A3PJ)pv3BX4;xh}if*Se+TA@ABFsUXol-nHrw1mL0yqZy*eVW$@-hvN09 z2;G;vH$gL9?_^ly7bC1p`$t=P3|W>aksCZW-GoOq3bwlhp^n}*?tvq`nz-sHcFz2< zO^R@BX_QjImNkvhW>(fVb@*AYLcJ(k9(neXfek0+@m^#*1f5<`cXXCS>p5l`&vuqj zIYlB-}JxFb%f~?&}?5%2| z1Y&KPMVhFoyX(B3pa~TWPGfoXkgvx0kSa+MnF|0LRtg6R&LAZBuPPNr^Du{efEAK_4caNVY zFYfI7)fpO6b(r!E?Z#P7@*^A^d&nWScdZY}PKnBbh17sz(lYF8NJ?uSgiWzEm<0wg zE2Fn*IF~NKdakUa41Jm4Vfc|RB;`u&vf0jp2;YKG#^|^Z1>*-cvH%f@2&@xWP?jnr zM~$MtV;A5<`^v0jl~V1+iEIF}vQR-P5gNT0AXU=tVS{*i1+Wp$LCpV z7<3ciF_~JUS7jRq1i6`!6tKJ!F(Ds$e&vrmZfOjnvP~0whwCZe0bHhp;K-4fN3L_i z*aY32q(L$W{Wcq(RN!Rd-oFuMU;Sb&=d8+yxH6Wy{D7YFS5(REV$^L~1)!Mdyu=5Mn(W!^dxKWwCL|30l0i+^Xek zIQQ*itKk!s*hRG&W~3q5e&@E^vfJT~`Q`P}7B4ll2-6CYNa(ITDtUN+|9pP=^iv2O zbwv73UW6}9t;hldL9oyu7A^7&4@5DJVOBV$paIeV=YUnHc|nGnk{Ezsjk*0osalFw zPVw&8Azo`}US%TcA^#dC{tsLn(pL(_g8+$Zs5_BXsPJM`G7;_)vr|KAGb*z zH#XQFpu~`{P3*4StPem+JB$860?S;N+g4r&-{fusnR;K${5@7~ci5NX(R~h*s7c>( zdvIBH93%7Od=nN#0Jeo-c^qGQy!+YU}2xt%JnEmBS#+f`vVTQ;#dX<#D7} z|Mk_YpYLxkpKorj*WT}%0;O$sqEpZ7D6M`DBu<*Y{d(_skCX=?Wl7?7L&*md3 zA~I48cpDYtPAoB4ywXu5j;6arxwXkK<93<=r13_N$1|@#E9@TI2m$?jWfS!jtIKez zM<*3MosA74{gmBMl}W^w;z!0KAN`}qEV5RkWEM+plYvA~z32JeMyD=1jr{GF?D8gL3l}l)m{!L$p=Z z&Hi+Idl^*ps*}*V>IBm0ETbdsilz0ei$F1du4ukkmPi$RCa8?7SG5ieKsq`&MMHWS z*2+Dd#;Q5po|lvD6vx@=hZP8E7D>0`PDOjRhD9NMY4TtJD5B4=&Bl_{Dod|bgoXC{ zkDV3-Ku58I!?bqD+64LPk^;I-KFqp0K!Nqy^!f82ne>`~CTYaV7%VneQUPYcaw#{A zaApx)IAmby0yTyE@BzBcGZr3bS2Pf4NjB+80TB6fnQkx|s+=5YkkgUtxfnX~@tdX5 zMPTVfWlRu^gxhvF-oPHmw|d&5&qN0$X%w>)JD~!gc7i1y)Ewf!w~hm#lA26qd)tKGx|Kp?a%N-b4vf4^^f6gm7&qO zQ>mvtEoytUIx0gS4xB`&!d?(Vi`v1eE!{!QOkB&Y0e838Y}%5z8@mb=LOtG#6>AL= zp8J`cTlJ&qNHLdvlcFP|C**3Wo!QHPfQXXKgmK}o9resNV1E)sLL?zO8G8X2PWIa6!MidhF$ORzETT-iNmtv_(mnhz}zIultr^snz{N(=Mt?ZG!k~$ zBps8?Qm*-VA}UbH#BbTnA3kc3X7i0bjhtRT-HI`6hNX~Q8wK)fSDKLpn2IP(pou;- zDdT%>r8tJ`M?H3M`MN12B0lI&O{#bXo^U|rshFTjo*1^7&DuRf z>NhiAq76AMI3A~~a96JtYiLuVcL#d4x>jA~Op;-Op06pU!e5YJ-tZ`lBk~sxqH->Z zi|zH@I8asiaeL(55y-T*>xi{6ig#wWJ`2OK{fJ`I2OMemjfR`>MR6a{Nf*kM%(L{)Nv<}D3$v}xqD#!LahG$`Y5{a-r zM2}Gbl8JI?vU5aQNQdnucieU{>jn=>x}``MmR7+Hlr$<~ol`)#k-TKrLlo4uYqt6b zGnR=E0tE`4IRfo25Su z2-O#3EI7AlQT)y-AHDJ!_o05kf&?E#h|`w4N|MutD=aVgQ;qZX-753$oV`7kXVWQ! zw>V(~#%zGQ_*Mx~T(EuE+x(*tFJf6rKkz`N?R+VH8}`wKR=#XWWphW50x1cD6VTqI zrxTT6*}gR5Ct1<SN_fhP%7!Yi@RIIc&sx;egy4q*3#s`WZmt&y|-b z7kWI62JXF~WGsv{A~}hDChG7VrRuj#Z1`(2H;y=_7@~ zqZygqcs+SaSC3;25=8f;m~$ajciZsX@Zi)>lv68^{P8Ek_f+zE zZi4cxDN~@z@o!Q(z0j+$b#+P@G>p4EiQkwWvgexOvUrn#EDRIeWHCU8qtwQ@g=;X# zD4rHwwk?m6W;g$$MXFk)5K-ulU`D^=noD4Fh0^e~*s-7u z&0Hc`T(TK4aq+EQI00%)BUI;(=e>>3Rv9hVW3i#Vkwv3ihN4}RBkb78(dq00Wv5L6 zU)iNvEg9!?L#|+@Ju+(38V^b-;{JZ+!Q!7Dop>8401#rq*W88(0Qes1PV=;TMU!mX-9 zoo$1KAxsaqk&H-8u~)Z^1ja~S@=rWWIvwtKJ3D#DRv9bNJD$mw{Ig;LIRx=61VV+N zCl4pGJfB|map%I_0FRPJY`Emu4f1eL1@a!DkuO~YBio1K?sD2dnOfeFQr?rZ)A{&& z!#ugqSCuhwI>p`2Y$1(?H7P9D$X%S!Jw2NroqxYXZ_2pF7R!w^%o2BZ7q%?k$cJgE zexHVIP@sr|QtH=g5r?mrf)}t-qlDNYjMp@fG`oJhc|eEl%iGD_<;PpQ>CdaGh4lDE zF&1Hj^C-$-c?xe}6((&WUUB7h=bbZhPIwZ3(Z)Ol$XRwJ*?-YqSjQS_I;)Gr-+iOv z2hj2@UI4JF#2A*61|5V!@=L5GYS}^s)S;6RP^GTU5`46-B4pHw3nUQ7^cboDz8HL+^tvpK7@0< zln;VY*Y6^t&G!k|in-AcweKw=5X0sSZAfWOjCX}zNXT7%PPav9f6J>Apeg)ncjaHz ztpUwOVhNs`au+iPS`^AwC}+eIN2|{z;u@GagsZ&Zu_DKjJXHu4Ff^oPV6aQJF`_t} z8@q8%xa?m(^yDKKqrnPA(W2B=I}jOG(GZUKq` z90-C&gV=&4^Sj@@R$bx=$_{?e%P0>c$?pi^Rf-hMcrv`1C&Tsy;eh}#p5|w7VaafX z{8NHaVt;RK3J)pwg`}fN<7?U4EvFPUdx@e}=MSzXP}tm5AqKaxp1)zqe+;c01i#X# z3F+G9@E~_KwH=t4boao=tzbDNBXP=FWm^_lv+tT zJ;3Sd(>Ke>_)X;Y$}WpVy8W%E_SG{YyTcG&Z)%LZ22f6lV;ua+0u<2+S|m8^_FQsl zrFaSy7T+d0aA(`vqwi{w0apUqFkIgZ?x&2B);=~8+27$S@siauhz9+#;{jS^$@eM@ z;`>|)`UomWcbh`V;8L;Kx)!7XZm_<+xyEYzqr02uGPZX!k8>jd497NBs+D?reRY_G zr6;4tyIwNtxIQB>v!I0rV-Zy!NnU2Kl4Y!oOm@5|M4+tV#Lb6B!^$J&ex=scSO9?& z2c=-WpgV}`0fIP|m^j#xqlU0{wO78Ov8A;*cflb--bvKO92qJuinrxd*r3#ShdF@a ziw}Vdx4|G(^>93ILxwI9dCAg??+T~Ng?u_M?PFGQu&`jP8AYZcrmVHIr9*Bk5wI%- zLxazam3;6wJNwXg9*k!n9Ap~But3$S5hEpRZTU(?2mTJD30tEZ5-rn$UR3bAh^icG zms{a0k)(rz%q0G0Juew)BGFoB?uR0M1A4hf>%4}yryka7od?@io3$!6bs7)F{m({A zUK(#&spTbMH7oK5^{M}4P+>!Bex$pagnp24ef*voJW4gmtM%OAzLd)1rCd=VyRCBH z7*w^XJni(Ua1Dp`O#<6uy5Y9Ua=24r)yc2*;+UGP+vZQ2FM&DmNI(Imb)px3bpjDZ zv(itEF$QW*aA^#X`oR!1LzN22ixF!^>3sZJvpwA2$xJ5hmdo`UbW2(c#;rdW%^)WW zwP?UXQSsbXKnXw_ge%zC%LN9R4QRX7hye&D=76-3CI_1PBop9|KUJ+JomdTF@`54^ zyHLp~$BHK(juJ`$vmo7_^ChYWL~Atc;ZLda#4tnke||@yr829KgKk+9E39VGJ!g|` zF=bvVj`T8^v^!j=#!C7x%~TbwRg)lT%u67Fi2_hXiSI<;@p5vx(@JzJEW8p{8J!=! zn~1<8DRYJzP$4#dry{E?U~k`yp&f}D2X{r-x6$7&sJs)EKO{opQz=+NELtC$9Hs`_ zE=or#2%U12vzD@wy@`d;jqdH)mYtl^)08%uyU{&8VplY^^iferAvl$*)x+I=9!WI? zRt;BrkD?PgE=fbm(4!q%wp}sH2%}K>Lopb&H=P(kVL6k+o@D#F*aOHDrsjh~d_3NS?O4Ox2!Y6=t0yJ-c zyX~z2+S$r=rL!f@24)m9W@9TH*&+l7dtO)2L37$oZzMxbIv~#jq;J zdm!nwdc03aTJ$fdtulCeL7V0Up)iD`N@XyTisJ>Z#OszJKP>3>dL5gNZhF25t4~TXN$m=in2XZt{5XetC{^RT`&9jCRH*3xqcJygWb|(-tO? zLpl6B@)A=ljQJgZ!4GF?`+ciMDE7VkOtesRIW+I7py%2|1`!@|hyF2Ru=N09N2UKu z!vt+hGo=lWIz|8SOb)pTw>+PGFkz-wpZcc4G!$eghm`J>DAa_z%?s>u;o% z;G0#;nuI(T8VaHY11ka;(U1u|lsES5cBIW>RDz4Gai3de{5bu3 z^Ignx$D=H2G|5h`K5?-7o zeU3(_P+dhSyt(NdFp@ka2gz=^q%qTI*Jj#JS%70uy^I6^w$AJhsPJ4HKjSCKdeIUcJ_}OD4&o z0if`sEvpzLPZE@}TbigqCgD3_P)2_2`0?5+Kt2MPd{nD)5sjg_Ir)|r2G75tRs>ZU z4H>9ttiH!4y<%I4sa9(2a-4uAOIG)ZtVlqP#J2MDAJu#s9FRLDagRD3*GuTZ%oJfd z-!9V|%#`Bdf6uiEs8EF6RNd-h%E-J9GLhLWMhCP5z}8Qa%xfZgG=`%~FgPFrM(D`B|Hv1sLF zmYPZVIz#h&6+OQ?O_#Q-3o3ce|?Y}2-_ zP2(FeT9W?D@Q6jJ;L_e7O3>wZp9Njo-q>N&U|d&ctS2N?tgfRxXe{mm4IOspErot` zah>w?7)f!KSJmFckwu1%1?6rA2HktW#Y%bH%&C#e)?iCdHe;FA z1#|$NCuK7xAPr-t>46Qg9*XT+pgDMJ5h^WUN&2&TnraHVDu9|LVTmf>P4$L;Drn7# z^qo(D6ghxfj_;JNb~dyLRQ1H94%fCFRwP#Pie{&cSI7axL}LJAJi>gQk%^Cw4)%@^}Sg?AML?hr^v zU0F$qz!c5i2#^9*cMk`hB&~ISsJ<+L6#Fl-;Efa`;?k82l+{C<ck?OFHUisZw2j^eNg7X%4O#bu`DLX7Ubc&1{Q6R();tb=mOu{w#SV? zNv{b^5-O_HsTxevaa{AyRusUD{i=) zJ+^bFVC76x-uf%^nd zj8NX#f8(W08qx~UfrNAug0%+?(dkKbx8qm9pJKxGy1TV<_qKY>SQRnLCbsLln{A5g z3<0D0MUrlwcP%^E+uyaYhnhtWa4GXaOC||qm9=STf=Zeop_p@y6H5$%te4KmI^}Lr z`fCeleC+eNc2%oH;B5~lr#*2vO5r85mA(?LC9eV-Uo6gf1H|!PRT(ek37vxS|47r~ znAMAaoL`Xh?gn&;HEv?Mz7=OY8*@;iv}Onel)P%Hfl|^I+sUa&6a1)naQu`5%6r}- zR){ZN@Plz~88RjkQ;U5y(9j({<)SvkU$hs!gw1Yr1$|%@K7;Z&OErSHJ@%fUdihk6 z24?W72-R`YbHi40GI_A2I>l!eYv{0Zm#olU^)rju9F*gXo4jZ)v6Omod)IXGzSdOA zfO+jE6nE@1fl!!lI56$Dr`k=d`sva13?9#phJnasr5%Ayzkk+W>z-!@8y&itd+YYg?OkNR{qn1b!PB zsX^Zj5G9TfoEI>dC+noAso1tg5M<${&0XjvrJpyHj(Ze>Vw}+jdl@28Xe*-CUvX#$ zhs#wx&DE?1nK;~#(#Kt9mp;}i(*+=OY#iVycP6y5jnHM%dbzPa3?ZT1MmhOH8!V!= z()FfEl95UhTQdH`*nyoycWuBKC5n$5FgG*Qjw%ZJR-CYU=9Iq;n-~Wx1KC8CgO3;L zfyoQ@tpfypIqO>E)XV(qFRPRr?F;V5Bb~rdXUHYJP=q!5 zC_;h37JU_amVUL=7-lRd6Ex%vc|(C(I zhE1`^Eh%04Di%?DriF#F?gG{HPj+fC``D?4LRIU*O2KV8`W@(OrBrNP4ETDqta#&n z6u^Sh(P-AhlzlJ_bagI}G&mGxP= z^!+hTfuyO1aG9eemw`j5CM|^TVslYzsbXHC@85@F6FuXKKrUnO88Ve-_DQ2@SnRiW zU(S}8>?K+ywKzFt&naPdfni9}Mhr@fcAznzB6PSRLHibNP_hL{0X?ek)ozh7;~8TI zR4Th;<2LU)(A|APIC)biaey_I?(b&`5NR~-^Uz5DJwao9@BG_7m zgiS&NfC_WD_0*cTAypWO+H@E-LhvX_0Uo?8pp+x^9(HXAp{MyXLugJ1(>y>($p~Dd zODIIg2ghA14cGIl<{|WnHqC2$*chSfNTL9JhlVW)b?ozo3jFbBX`aYLA`;uD*@!`S zUuEM)bD9bLwf+-ta^aQo6*CUpAuRKtY{_<(lv9b2f^vmO+{9BdvrF&XYhysmVboyw zq)mkyx2PaOUb!(IWn^aowdS`6C7oW+U6Mk(VnJ7C#R_neO05E!ycr&H?4^4H%m~0j z04mKaL#D{r+87gE64G`>rYyjyIb+tjhkIs&Q8G}Vnx$osr^*p#J)FLs;z0YG7lcyA zx_esyQbV%F4E@g$G>gP?Z#{E!5(p_}*;cV4298m&o8&2V8(m}(%|qw&P9e%5?!nn8 zwe!UX)^ZN*umspKmL>Y(qw>v=ncLYv;2gEl5<@ zs&POh%IN^~{0lfSg;L-Y>Zhy^;cFGwV@>X$mH?-u51_Z^B|teO0)oZ7YOv3|5z87e z?A>Bqlko${qzEh-Cr@Fh$x5?*sByH_&iW^5a1Ffc$DtC0zX5+WM1IdPPm&Blp{We6 zT4%^2Sqvm42G%aq52*S0xq_Yj9c9kl!U0ZJZNkSsF5x8J;KSK*>9*i9fx^=*(tBHA z(>!!1u`Tfsz|l)%4iLo(eW=LOL`_0iKCMx^P}dMQNjup@9oGwE(Mo-|J+lWWmRcWn zayVNOpkJNbSy;t?UX8So;UA$;xg~Tqi^((BO-qF3HN_0!;%#Xr@5M7A^I7kG?}X*$ zp`Q65WBRAXvchRe%BSvW(&h~*P3;~Tr55Oh>&Tu~-^Wc^~X}GPjnxps;P2)!B1#w8NSbS@=LO_Q1b)8s&~bn>c&`?PR%4Oe@U z6PT}?p)J|~_qK^$Z%Jeb93f7ffx?wQI6WJIROUfnSU!KITHIXU4fCN$%k7yJBWrdf zsAjZ7!+2wflv#}CDO3xtn~O{^g}zeWUiF|wF`r$Wv#;U!JQj#utjzfKOcHfDYk22n z+2|-?N{G|PAj&60Svm$_)NEMTh`~j!B;*5a2Iqdd0`#;>cY-SBt3=ik1#iUJ@+fqm zEL{j>&|2u*|Ctv0c6Q|w!x*a)EyYcdC>Y%O4p&T;WTUF^0d=^FU%(H6fZ!PKZNFNq zuPF^XJ4KB6SDcB)C}tN+Z`^Si0X)z?Tt<;hqJk2gV*SwKMqvg904C*6psZ*y>`K6X zAaM6LOm2GnRc3_w+#eT$LW#e8FLr@lKKJt7bGP?*Kg88@K|p&nfLJfwM_*x8)nxb( zrB@=3T;b{>WS8ORmsR5UpN@^2<+u+QWp-G^qEEtX)8n)ENaLN)&yG{45Q4sWFWZxC z3s~Y2ZMhfvi3v&^X%bE9lm?g8?!2+p?rV@QR!dpc2@#S`L~P(t>0WgDllTWThOSX@ zC!-YKqK&~zNcraO{I`c|f*~Q~#ekJ|v%st=hSPPr?>|(Cn?QGDC+Q8gtrmEs_GSc) z54%BQ8WRrvVlJ0N;06GdOO{w_=g_vz&j1#HqGsoIm@v7alF10+%#t#gK5tq5w!%xQ zz)U@1+`3e~y?XKeX%TI)6Ye*UfixwvtH9dXwMvy;1(tvs#Q$>Y1|*Pu5x6X98-tOZ z6~t~tv=DZ39X0xhzJK*AuSU|_sb9T{OC!m+yfG4&RKsT0;dqrb{w2pv@C*KdtFimP zz+*AAU$6i7|GvJ>?_RyaH26n!chNe-vH09dGl@~$8Vx#cGoq&acPw4pbjvN}n5$NW zY2p5IxzZ_=C>5cI#Rv5j^4;06Kz@^EjFyHjkwk7f2dlqSOeYxbc9hFaGs4#Iy~~PK zw;2eTvKUUHw2Yr+5-%0(HEI3WqOXl}#b{Mg_n$a6X}QfVfdtT!6LNBZNTM(xSEzyU}rkIzp?_W)RS9IVT`mGM^lu z9q4iI=f|(t>p^GQfug_FQ7ymdlPk7HngL|L&sZURtFSF1=&nYJ9zhIfWzKc0o>Pe} zBCW0tQW(lS@a^(Z!Uwv`Y~vuuVNu>!Z#aVA?C43R+4RnsnkI-*r8-nc%`T!eB)U{; zLn;8&<)IcZ2*e&VW!U7DKgDv8PN|6G9m7 z1?WpuycQzBUh%3Gq^xLv*adAe+~l;!@{%XmJewnuy=A6xwoi+C0u7y$_1%vtcDV)C zO*QD4O>>9joV=!?oO)2fqE?v$)mYjBi*)8lKmOG4CCw)Hy*ZOi+ytM;i&@Y~9y%{Q z+;d^5z&Dyrfu}}?pro|tl_YMbe8fH#X&9k9thXaraaBfEAT)8S)+v^q82%;N!*y!h z7XVbZ14=thjg~uVTdU*>wbPTif}HJX3rbh`%+9OB?-%%w5chr@kUqS z^T`Pk{pWvGz~=u}vAE6IW>&>zXc&!$BUkU}rT9tEi^nEV7M!Xr5YLfXcsD}P8~#5K z2h&gBqQ=ed^g6GCuRZrH-$Q2T#cWnkZ_0POE^Mj)=Y(eNjE2)57e*5@2QRVEULAs2c3MA(YeAmL@Aj;?HS8e!04g8 zwPWTB-h4fHq=8K@V?iFMChADPu}Ir?@q$X<)VDR+MQ2-AQYtwZcYr!bfOk^6xQ_Ov zx*H&snsHmt)icD(31})Z0=7W;AL>2?QVpkGEDqj8^$4Y4qY$M)sRl!k^VLBzy@E>9 zpb!WIN0(bV+A>V+96ps5Xmelb3v~GHtZX;zAQ%0B1ir!%)g8;@)iZ;N=C&MB&$lf1 z>a8ZB3d8hhYrEvSwEW^K@cm|4pj)5tBa=t#PZZE7IqdBp;^?7<>ABSZYl5^9Cq0Q zts~3rJS%9m_EwbjqjPm=9(qwEeD4AT&66~#rEOu zgKG=GzzRV%S&nG*t9p4?2h63YhioEGHyDXGN4^az&Md)vhaePvZ<8Z?pp(RqM?Ex5C1%LT=@;$b9u(2)SRVS3t z;lEQ!kz!`^)H}QpnL%w5l0h&+z^5$Y|0;p8sR03*SE*VAX z2^5VD0XuO-DYQ(&ze9ZD7{vzx%WRu4Xrz^j2ppOEOR=R&4#a!Zl6)Sdn&A)&<3!#i3}A@C6}E3nAcWRLYp5 z#dmhB5@fGAduaH`-m;s#bU;vA4aWIQxZM<(F#$&3SgOCc@vEf3>eL=nJUh>PB%+G` zZ6Y7uj(DXdKNQx~fC)UQ_-HUKrApPnuEclT72jv5IrOJ}o4=THp2Z3(xR zGv!x5UOuj7%DvJ&x0#qFy&z_ZdPwe&8=mCJ1M*KXY5Q(x(%684?+JQEi&$EPPZ^~kFCWO5QvtyjIH3f+AEN%zY zH0qWM(8E%(LhMOJ_t9dG8#GRh1MnPg$gvdMka>az9C9wjpyEEUaT1;4jVm9Kc3@MM zv+q*EPcn5bFSSAKHZv&N2vgL~N8-|0Lc}85m2Ut*GW2K)CaWQCs zz)P0{oFchEl0e#39iv@<#&9yNWy6UgX9>h{lTB=1sLBoW7x$#}_zMvGZ67*`!g zq$Q5PX`hcQ@6_CdyQ{MA*cG}y;7pa_LiBC4KkYLN#gt&AVOg|sksd^e?lCKP8s(v~ zUud*$ge9Q3Q&I1##9nB2qeZL-oewV=kmFR2(@8E9fqW)tEPp zRJ9Ro8!axhrD;m(YN3e!z4rc3t2GhM4vN>Ro zQKtwXV9-M@A~pWlB&`U1Dse-hJ{F&y@_V%QR>>NFOY{mBC3il`sx~yx{5fIvztY&NhXzh zj7f!?Lw6Wqrs@s5f^8`uhZ{q@aNRzeaBXCOu_t0HAE$a?)Lvo67m^ZsBHv8J7t(5c z6wE8~j4^?IZEmkX1RcZkfnC0iQy^@~HNu6c3+S_VxR9^j6@3tV7rErtgs`oNc!qGS z(m8onk<__rtr16?FSHE+{)FIQz;&c}xXKs%O8fgwgaGTB&E>`gz!>?Yt*pS%aL}Gv zsK%#`qO?$l`*w5do|}@XK|a$fk<|eO5FBgAD41S6ld7#pOT6( zouCY5OK&8rB(;RPoa6A8-n7Y#%9s<*m;m=&9mPgrWPsQu5~$XwJ?Z7f$c1bDcqZkv)j0G@#tm*3~|DrI=PE4O^=^JPTUzrBESyUHXzrT`_U3ve9vs(gev?CnlMf>#`$Xj4Wm( zL8YATfGp=Y{5?> zmet4K4QIG8D!Sk)m!Oqo#f+*19K0i4jWpyI?fzkN_0{v{&o9>Vay7*Fce_ZG?5@e( zl?6?WY!<_q2O7Q43>S!NPl9~PHvF{BCdt2qYR27AjgVgpX)$rjop|UL=%BQ2D)2>% zZy*?CzMKs-f_lojDBe*uNyy1^xFQ+R2&+8@W0ZscNW_HL*xOU7s9%Nm4-Py%m?1=F z9hbOOXbu@VN^|kK;69Ex)3y=w%`7ibG^67)h<6~O8W53wr<%q%DvY*^{F6YWor;iY zt0(RvHB&M*4(Wcn*rm2-7r0S!ClVLaI=iWl0TB{obHny^!%1RpY;55Wie_8_PBAKHTKN0SMZU@4MltSEKzin3940F-_LRE1NJDq%DOicL*?CqI#i zFO(>CNr+^ycx)FPD$k7Z0B>=jtu3b@UL6`RIDgB( zI!wlM+;@vjUESV4U9WvMK>9cW7X>y(KDzsSfA;Zz5cU{>(i1BI#4kTy@>@>K2WQ`| zT?uNgF7Gbz;N$J{^5*V&@2f5WpgBFec=Hzh*XNk|>1O%)_VS0d%V&W9Zu$8V;2*y~ zo7;lZiQG$$L+lP(y8m>&_H=do z71TtKFCH$ht`B~`yt$Q~f4slfzc9BbV;?;^x|Ck$*Z<$w>nEqz%iCuGAo3(?{$rw)6tF6Jm@!06=wfHx#sTz-#qlMUBQoE#@gfq%N$MWx( z<7_l%fD|9#Z1Stu>$bA@k2lZPuX>02W?g^&W*zfl^@veTvpxzc^5OoM>&NB&=g({C zw~4(_2MxGFr2StFhOcEq5FBT7{L?bl<`nk6>=0RWm#FqhZ77^6?W- zBF8*PF)DDFMqm5o^5%K@czyZlw>3c*`05Nr*>dh<01|xqNhtQ~6SqDFq6@u(dU+k` z>ven7QZpW{e^iL6O$OZw`U>R_{U!NC+0>5yeRlSKGDlzy=y`ke=Iy@|KZ+-%2tri6 zKKw<)LxQ^(tV7x7&HdH$t>6uC8~~6@!HecI1@HB?dpJ%++8iYqXXnrcEbU5Lj=mo7 z8dTUJ$^#`z;1qAIzmoqB{!RV^dbz#%_z&Q_&rkpG<;xW~irn_)@>g)e_4Owb!eT5& zAL4Shgmd@J#ijy(KIHUnvPhb6z4@1{?;v<%F=mpQtsW^)&rF_Tj<|hRFPc?$zWfwF z3()oew7u6c7}J%kSO8h#Z0V~ZNS@S>x3=*XCUQMVg4hxchtv0JxM>_N{Bd)4^L%r8 zOFee=)AIT8^5%}CU=WNUtG!t0YV^20;f-}e+Y4tvXW?zgje*c1$(M@v;nU;K0lL$Z z_d$}hz$u=cC2>X}c?F%lV6`ZnPN;v@cgduWONzgbT%e38gs?b1c$e8YNCOHmoI!at z@a+ld>uL5iy^(LkA-FusKbQ+Jlm$$uXGf<;Qmvqs^^l_?t=4}10@lsRr&ukj$V95G ziHd*}z!e}!MvvE(YJ@&PnV=dp;Vwc9wx&qY+$|unLf+x%NZ0_6=O50C7e7>SL17{v z^B$^o**N^q^1@WNP28AQIZ$E20p1YeXUbT?D0xLePMcI9P$9 zwJE~I;5S4vL}H8eD3N_zJ-qG3x+o>Z81I6xM}TYo1BidEu>XZ>jLVzcfh4b$KA}LD zUkt%2k$w*>WTCO>0#P^8O=^8}?fL#E7}Q)xEAooZfSLn^3-o|)5Vt6wFnfTnk}YuH zFkv|q2Hgc?>Do&hja8{=}jmkYWel%VR`xZ zu)KM=xx*O#CUds4Gxnt+gV3|hC-VIPAmy?Jb6U#lO>X zdqP`@i=!EApW|byF#cUs7ze-wKK%d5;w_>Ah|J^J@$uUwy7E%$Po96ge!RPW#+wJ9 zK0Pkzqd*m5j%G=V|uXvc{D{_=Q+f%#HC-OH!5`G@6^>rP=F{m}Efn0`lAcXIFn`g4wD zqbr$kp64&|3XY|4(&D^F$~90&oC%Dl)M4>6 ztju}#2$qsrX8~xi0S{ROH1~2Vs1sT4ZD^Dozv9neEooQz70hRQIF)e5I*z3A2YS?F zo?;lFWI`uH0p|>*PYb6+!CMjoTJX)!isi4e0bD&!+Q#V+#TctoMrR|G5&0K#pMy@c zwLC~88}T#!6=iA%r>xf~6rK-Fumq|lv}_-S&JngbKtH@o_qN zFI1r;pFoNMu;8NRMcvbODi9$CE(J!SNF1Xn6S+8yBz)t82!-hDW#Qwnq!SE$*S!k6R6=OMm>-u1;igrm>*zhJQBcygxBl*&9HNI|M1%@{rMaDU0-}H-vN`q z`yI#87rt57F#= zkfm(~v)`Z2Kj6MKYDyQUC`y7f*`Ohr9Dr`6KnnT+AaHuLcnhZvcPro*`s@hg9gP<2 z{HK@{`CA~Th}u9sF0wedI6oVoow`yh+HTVfGzbL6yy*;2$3r4)Itkf&yDZD~!2evn zKR7x^aAa%k?)m=5+gF#b*MEGzzqS+U$F2 ztMk%U-%Hz_m$v`)9}PDQ>J~XOo1Z`|D{`2|BQHIXsi;NppMUzN^*wDaxUup1vo;9i z@8}R{zkfzH8k|QmV6qD2IXao`tRo*zaDM$nVBdXug{+ebVENZ8J4VGKi(so@Ujx%68x1Fac;7x zFq~T!u&!p~Y1+d@*x^didIvJW8p0Iji*P%P;G;A0yi#iNNzf_^?Noy>J6PH(!A|Cq zpPug@oPEfYfBL8As|RfK`C1+Ke^YMaHBPh1SnE1{3847SDFEq%ZG)4Fljg1{O8f zkVVC<`GWMGj`E`|i?_TJcQi4g(8{<^JVCgmC{~!hNbfBnWlErfG|NoDC!|)LZfl6i z9YK}+6dRA%U+#atHa(>O`O`n$KHNV(L*5$V7!Ydq;}QXgq*Bn01nO&Gf?|az37cY= zzJDsyq-k7WV(8sjLUp=A17;n8NeVXfIgo^&G-!vlCI-9>utV~IpHYs7z+tv{+0ote z==K_UV4V#0gNq1@Q<4yluBczo(SOHxC=;Ikh5u{%;g9sS&ZpKQI`Bm#QH+>$zait_ zphhB44+>gpO92gN`P@h|UVrLCG;JLT0Vt*sePXT`Z-%MS2rzR# zP11!CLPb~1DR6kMS$$nZeCU&)Y+IlTZ^8AzU*RwKt2)WiK}ZmprN|G)-MaA?SHK{U zb5p<6A5KWyU{zae30hG;%E7^!U2{J` z^Z^AaC~0xzDXu6VKHk8rAVqvbnE;E0e}A1Kg1-u4i$nejDe&iSo*u8v6&IRIcbQMc zyQb6aJ~!Te(c}Kd@}7Py)?nx37aZz_RGt54msdYE`5sG*e{=PEy?v)AL;lVBmH9vt zD(^@}a)ZXX3mrwIyTtF%V}b8VP{m>^9{J7aOuB9nZOLdFEHjR9MrXz`SDc{@i5~

Y!057?zroF zl8}LjlmV{Qp^>L=j?%DhEv+BGPa^ zL5>OqP${oy5SIrf>TjBcwMho$2%R#hQf*?JU_Kd5P^-3le{?!NdoOdGk7mo0#lp7Z z+70^%#BHNAxHqSR4Ex5fJ4pJ8&_tP<6xwMLjFHGlgbA;;X(@#SYlp=$j>%vc3&2J* zO_afA45ohj{hZlurx$$5&(f4;fp;>KaacrXZ3^*lIlDvyS`y*UfLw`PgvNoca$m?Q(TJUZw^oeA-s@=gTRlo|Vnb0*%`=zfX z-;q$Ev6po19H>O~x8ehl*sSR}S?nmpBV>I1hz`8u22__VXemozKjhd!#i0I zqj?Prnn?C=bDIc|@QT|PBw)no8EdL$2Y1kBzD!5n zr33RE{UQ+6EE~UW9|oJOxSS@;jGctnj%MI5k!}L#ua7^p$^}1Azfg#nF+w%sM1})B z#q+Sx!&%1<9r+$DJX4(&px0bcsU6}1AyK8@#Sy|%sQBFZ^hs9s1;I-of@mfk{pp|Z zLqJbP9zZiS={FiG=?YEeI2TdWlO%CDp@hvdu(IBm*uGU!tZ6@BtNc{bXDUn6r$~+o zQwtYaHA!O1W!EI5`@7FKKP;Gzx;?-C@*uFL;4i@n6XR)d`Smrt5CjjomV?<$Oh6H& z|8A*@KwzLbV(GfdMJF*a* z*4Mb|6U9QxWbi*V5z1MVJ|l`h*mzrJP}A=m#m|%XH9{T12oL@bHm3O*M&;s@UsQ8B z_pJXn+1ruwk`pG3MjB+yPp3W%fN!>=URjm{O#@p6+o2$!&WC0^51ZA=kz z3w2si*Cv96@S>}vDNO!N3t!aOlZ05Wts&%x+o^lK6ci*gEZwm*6Ar9!Qa*z>(v3rL zMVhpsD23bKED<%yvPp!23kV}%fP8No#578YP#BsjAu*kEFfj!3GeS_Q9$*X6!typp z?|_X6^?hLe>}+=ERU86P7bzMuL6obM$%Y?g0@+14leCjJZu-bcaf6TR2e&#y4-););?2l7*TZ)4yTV&|YKS=(hEH~un zN}ve)*UIN^pr{3m)DKIbNRT}uN{D9EPswTNRF0d093*mCo; zh%q&ZMOH~!%!d50m&nk*-CT*`q^pD2|J!q9&X}IWtB~!Qip0%jY8u0PS#^;lDp$W7 zcD~zuon8+k$6UX12J|s7&wm4ZtPtF*hXkAi0U9Hts9qB4FJVkXivo|ZQvFL7+cBeB z|NU~Mde{L-LcCj4TiK-3^ytH6j9FQg3TaI1_U`%@n&58iYIt3}B#W-=e&tj9PAJ05 z8YR%9Y{($=U~|85r&`HglTS)EnJ@~*M!APZ@DRwQ@r`W3%{{|UVUE+iIlW-nu?{bx z2$W@84vq}wmizJ&UuUMsf41z$-?!f3e<@W4mKHTvkqW*tf(s#9#D-fJ5m4~#Ky4fM zH8IpdI!S+nPtrERIbNw=+K2!MZH&rUCB#W1T*sIChFlEtP@DvOr6D9u2U|`0icgcj zJ0PJ$=@^y)J#eQAqIKF0_&Zqn+xSMpfCLp%zsTa2p;X+9!UC!ayKgB6ufG_?U^Uv` z0ba1=9OIb@#UVRoUepk1T>dpJLGhsR%iZh3&F#-(4El&3{_7YYXqCXt&_l|t>?)Yy z14&Qj)9dGhhX*ACF@;WM`7XFwY^t+aQ`HUgd4l#&MjnzVByC=6;fc=4#6N2=l7@n; z%mpo~96jY_p_)-@5k;CfngvyeyB7cIxDtH#7*)bzpt$`+x`5}k&zFA!%SAm>y zb+b_F>Jm&f6Ay0iy@*hX6d?d^?j9ru%)i&HB<;RH)$Z~`N4kBzJ;tGG9?Qk4ET@sE zag9{F8-AgzYOpto3SceHMvKD|piD?KH(s5H`parG6F`aGaddBV=+4Dj3w(q=c1LM zv>_TbEr|Elv=PiQ`6!BVwT&tTSI9+#XOe!=oQ@wzxFO>f5N0r6agN1hrV)2i8}fnu z1}@I~p~nVJ{5dhQpxzI}ROy-p4nYgl60tR&uwYKbG>AvCYvE`v$I`1V$`SA9cWnGh z!oXoF)U=J~^r1i+PWVW*T4FUO^|JZmCc+o8of7jG>Jz{dK=2yp839&}EUTHc`A6ub z+!P^a!ghyNkbLx#aV5WR$^UN4|L(~D?*3Iqm)cx^Xk-13DJGS0W{UAOTr}Hfu}Ljl zc})zoRl`oI;mT`U{i8`eTzL(5iT6#q!?L;Z+D`v#yPel|`C6&36OKU}5EkepNf|4Z znn*}QuX;sHLCVProkSc4&ouv09$N6gqC;}aa0Me@r9PxD2$gw_mxp?m!z}%AY zYB>r9mRaBo8&j6u!|7jdXOH*K_g83FfLF$_Dcc;hd^nn;5r>^*j+rp%*V~iJUk`7d z=j=Pc8BnOL;YD!J5(ladFWzWQjSo4>7+HZqrkOV;Ha@JRlsC}5Tno6^&e!SJh`80G zfjE=8I0AcK+&y0ZaDz??k2=;2_5Y*UV*C#OZEk8}g86oF|Ml@o7pqkq&8a6DGj`#4 zmIR#dK;Xa%Ad@-hs&0GG-R;E#1VH>FZaYmMgcFuT2As`+SHlAJ><6u^zw*{I5hr zYKJoyivVNl7_|c=>c#6`21UCbNdGeQ#{of{4o?iJJ~j%C9;ezmv$C-_n*)ASc` zq4)weGtGkO)Ym(jml1WhfI@+#t`$on71fm$$|Eq_1_E5OP~L}z@N@!a^WE4wH0;;g zqffu`fA5}=djCPXMHo8Zh5#?2-|2D5do5%Nn#X)1Ep9-1lvoB>Fn#U}R3}a6xzy;; zAUFYzlCdGg5RX|!HE8&pb^yX~@<$E<%L#lHAc((^H{h=U$1*>@z!A{=!l9j~bf+VQ zHp{7Vp->@80uXP~{x)XR&Aq?*^!#JIa(w7($7{mqfT$K1Vif#+US%Qe)V3dUBTsU% zXh2U!!0QNM=FfWc*%4YE#QSL5S$>A!N?9iK3aDtoSgpjh#1Es&rm---Qe)FzGL<#r&S>m zmNAS~S&d@^4P=5s57$1Pph^f0K;*+J{S!V=fp|)uX#GK3=~<;Vim+EtlV{FX>|AZ(>LOFF#y(bBFEWyo4ljrR~md zPow8s_Gf1I7RnWE_c6Y{z5LC;!YQ5yoV~xi9baEREN<~XwxzGPY;}-F7VQH)x#(>i zM%mu<^EKLm+Dj=pWC<~}0vZcZ<(`96!Gpo2%MAYe+Y`}*m%iS9K&csIx{QNHp(-6Q zzW;_^4(zz4wA2MmM#et!P@BZ>(jMK^zY^B2KM)>lk(Kq*INK3@#rE$29}*CGID$;viv2{AWM03 z`OG$BAAt_YVBa!ZQP%kx{Zud&w(Y`=b#LfF2TT0I^slUq;CTmX{(N(Vx$f_{l&`n% z?r)zje}JTwcceCNfmR&J42O^RzuX~2lxR0}uyJ->8;@S-DCCQSw}(MQFYuFj7?3)_ zV_1+NA&9r}F=(40)+O+A2+iho4VT?DxM(@)^$C zCJ++Av9=Zo=r^fc5HdJFaiOjAmfuA@-1&3{bx;!;Q9*&+{5*Zc!5Kjr&073`O*`^} z;)G*;F}h(#AdQ1!Cj9n<9%Qf-G_yWMW4!nCl{-cJAzH4m&NDqv#?I{F`$Kfc1pW|O zosQ|l#z*rB^&@|ueg zH_odLGcpldHTVqGjKX)h;IiRC-7qfIw9mQWgR)zEi<%Auv{7Oj$21(N{te6%+MNpZ zW{K>fd&)QtlM4J3^YEJ`6VmpvJWbSSQ&2(8V5gua>MsPc+@j%h&qqf8EyIafh`SQ$ z@U;@!2aT;3m_VhHl2$}J7<#o2lT^Cbk=hII4_W3eFesl1cDccM5*`hy`QqC9;dJuDv$J!a#|OBJ85kSO!8-?{94JKkO6wYZ2tpgpou_c2f&;{- zWOM9r$FX~d7noSe4gnKEEj?gi5QqvDY3`} zn8rW&} zT}Vu5oV@AZU?`r3g0Q%vQgIX^{dTcH1I+Idpn=~2MDd(lPyhg@FU}1nnoWXMFS%Z9cU)gbbb>&rL zp;}4ir}3yg3|BTf+$bV$%So}B&tXyKD>8DpU1v=Fl~Suwa7fJu66Op52o45SVH%V( zcn46gT{EmMJ(H1@eY-TN^^)jFMK1M{F{2Od)CzIwAQXZm>XaxDGqdf2{y3yf91Z%_cJh}1k(k4u7&ds*jIH|A21Y(%r3I32N}|}r z{Fp5T9G0-4!T=h=x(HaI<+gt*@jCr71c_Q!FB7LPRwacjHRN^j&CXU~O(a`=M%C9X z{)tDiOYE(H<%?UU{B>#{pnIV2nHDg+dWP~0(#n&R3@J3^a3Ztw?cnMU9EuSJ_D1Lis_Q#84&; zSgFNCF|xpd^XhDsZ9E4xNWQL*U9Gm9Fi%@+m?9EpP@ow(8zaSlfX~E(6WxW-0`_bJ zKPJOheQ_)aFfBrC5D-N!dpe?CV)i$g$2jUbQ}$? zdBUT}9aZuzkGmVns$@v=9Cw!TbSvbk4+%{4V8NgE37w>1ZLLbkC2*aD6EwaOACn%j z=Ui|D_lC3+&S=+Xk}v{bjCUC|LsDp|hl47kDIuywq=bCmLLyIJF7(s{N*Y{-OG*(c z@sQ~>6c3C+oYFccA?qIuZOZ?L$ICA^Pwb9-?_QH!lpZ7_Ed2IpilH1i8hMyh{hX#| z0@_PJL_yoP2IxWYi$ye~+!fp0#l?I=bBAn>0AK7)!Y>H?hLN1J7fx2iqMNtb^(i9{ zEPaSA(z*9((lIQK7>D9Ot;;&g#cjpn3g#*khK(5z2R*sVLI62zLqy`Hf!`BCg`wOC z6Z6dXv4M(4Cp_m-z5iOy%k@nttN)J${$O8;jP*JidHd43laRB&Fy9ebXURv{co}Vr5*p_hOaK zGeIuoh-OSieT?Z#g_kehq3_ZIOYq5f!~@NUv~r~(QLrr|q z>uwVQ*LDIEB2vx;ALAIde}g4x_A-CME($OozFr-o9V1e?(n%;jEO!~LjD<{S{3dN} zxF!sQ$|~9aEk@)bzTVZTZ<(zZ8fi|#!FWnvg$z$u% z>>tI+nw7y_XXJ7D1M3aR1iM&Bj`*O4YnJs-+whU)YZOHt&HXcKX!~_`5x;I@Fr5kU z1o;bvs=c>}ez)6bdF($PmyhGu+35QA_DC;i;UcH--|YjW`f%-qEq)tK0p)jA1RODx0Qps+)!#(bC8d4>$Lq51Zr&cPiPd6tMQI!;YFkhM7X__!prPwlMbBE6r^k z9ZvKGNik^vXTT7`_O{+b!g_JrY>}&DPzDB2diEK7_IQtH1a0;x`q|hDP0)1MqAUZ&8q+GGgPAhDRCBewd<6&~{`%2{N6+8RMgw-zbms?gvKyXU{kFGg=v9x@dS82N8v#U*MYKG_qI9$#QB8nk7DG*{F? zAy>K|{Mt~&ze@dx{|FeH_WgRr`a79Pkix~$Hs&Aw8Lig}q_+_(K%|B8P9FmkPPGBu zjh!NlyCfYH+EhL=a~}KcV>_U>%15L^iO+~4sQg$jq^vXEINAewXg)L3^c(y7LFsrI2)bizf9hJR- z>cAMoHkO8HGPrL#o=wNW=Kd^bdJ4(zgI4kFTLhHIeI1#}FHjeSf+Swbgnvj~w)|z4 zxIrL`v`HNM256M%=>TV~4n;~veoqirA*7O~*p#t_w5NO`l7T{U)1?J{YxzPbp8r#Y zP~2yRy_ZRa1`O;mQcOZF=*+Tl$ifU#+L_E2JJ!kVpSZMuBs+Om-~_@l#Gk^-tqCtstka zV7CB|i#{+mc6&UUzg^??rNFLp4*$8t1C;G8N^28@HRVZLy^glR<^4%{AEsh?vN)fG z#lWDt4|O>Aq#J^Y_C-pI_=HA=d_pwr1YSzERY(v>HGoovX-j?l0bwB`Bo zm_@4Q>~NnKZ6Ai#QjGTBZG0Uoo#2&AE-;4d){L%{MS=;?5h z<_*IHa0XF`ZjX;=X!3VFlhatFIewJvEJG-*y+`k|0&$#+=0lo^Um%g6AMa5H#2(7> z37NdBQGCJE+xuVa3kplVF9k$-aDzWBzMw-f?>?<$&vPKh*FRt1`iFY@u13Wif=l8E zH$0=k6GoGN0b~ER9{&QO%D<4iEdQF~G6cRjyTpBbzj2S`e)*I)65}d$M;NmS@;eEC zbiPQs$94?`LPi35{h4DZ+RLzb(h3nwn=U$v(iHGbvT~LuR1JDxl*pbDViC9Ah}h zh5_~E2O$yg0k@ZnN;$&8&MM9s5Rk!4G7JlyLY93ze7wH=iB{aTy>x0k76HFfg9IdL_jk!ig#$v~Y{1r(hk`hqWnS_?v*e28=g_}l9wHf{ zUx4gzGBn8!{+oQFBC1DtQ-WYgwL6c^aa@eUjr~A_^K0W!*(cLPkZ%EM24Xl64Ihi| z7Dgt=Af(6gT3ab#iz~xXW4Dd4x&=cV-!pXH@)R66$9O{ zgk?@eu@ahX^;3n@AmEfpAaoCz=kaAyXDURnGbq!b(|90sdN^;KZ25w`W<ps$wU5X5zetc-cfh@!)xEjsBp+1O zqDY(!5{bDuRe?!J&ch~s+cW{ftxhtnH^*Pke}$>x>a`7ueueti)S`l3ZNA+?jLoz- zBwApFrfMXgV;qG$$N&2i@zmu>M{~O26DQ0013VH@|Au=m;QYf@S%9Mi+yHO|)D63Y zsM{_GavxrPHF*NuLZzMr<$-Zbr4l>y=3JkPd8)keqE^s_k&fA?)k?SNDaRUw;_N8w z36~Ke#xFnTGkVVm;a-VZLY^^&SEVS`M^2Awx!M4P1qZ3#21pLiUF;&LArA z3lJUy0oyz!BPO%11$y7 zz`PwBX<9X1-BqO-#~q;{UV*FkG$p|DX?ll)DYi^@McP0fO0lMzqH#ik1RQDMk1^yZ zpHcX$+2oX)3*a-+8U6^UE=&WD<#?8qYfsD+rq4Jp4DYlBEkx2vq3@(caaFm~%s~Jl zV;gQZ=%H@tm9j9GC~VnQhIig4Hy`In6)oNP4CA;08!9-8)#)4!cN&60Z4louZ^sY- zOp5j7m;|)kXooGIs0_P}~UK#s}KwB~8Xo>e5mnRF0^Gighy zNXHqPqCQ=>6bEQ0*Aez5C|Rr*pCGIkTH@(+2O$!XJ;ttdW)cDE0~G=3T}xnkCLjtc zsVD6p#umj>#Tr&kf>3>%J|5E~1i4U-rV9gzr21J^M4>>4AAVJ*Kt~QS4sn@)j0p0N zG;tsmvM0WY_2NxSRLXm4SAW~f*0u;9K=AZ+eB(wu zL2y{@${;`R4Gg6Ong@TWKdP>C>Q5wuHo*Bn1vFRO>9-XKep?z1^#~=8RSD=AO9}=} zfm(DyhNn!gnF>H(2PCv*Qi4g$0hi3cj@czJ2S9HDBzGH)8hVm288i}x z$OV9S*}Q~*tx}F1k3bp$@E{FziAjOS)A1j!9!6h2Eq=SZ`f+Xa@b!dAiHG0TKHw@U zSU<}V4#8cVetUZM{*|(uk`tuk0uYX|2@0X+F$pKvK zjbA?vaQmpHI-JOTmb3uv}WL>E_VM-^ zJ!YTxp6ubp!|gqaUmQ%dKnY?j|LXaEhRccB$K?6{u=h5=byjDZ;3rua1CH85yu|T% z=^JB=Oe;o`Wg&mZlh*Vpuktp;AoN#ZVMOQ49?X&C>fk@B5weo$u;O0s>vBNd(8w`@Qdb z-t+(aoqIk>#PFm|ZBweF6Q8U1zb`93HkcTqGIgjymYTU`fC)rl4(NT>xLm0)AN-6P z@beVMpk*T=8E@>u&0yRlYTU}b2(0^1yMnAT`KaHuWiT~?kk=$^#@R9^scxOuJUNco zHOh_HsL4Z_;l6<(+`P!`hM9l85ewB28AtVYhiY3S~8bE zvGI$9#jVFy>Cq&HCu+Cg+YiOkVrsw4ix6lv(&$n*;dW|VeARbRdO6#CcH5{-p5*+#cm95Om}J+D?z9+^_!eU7v@(;X%~GwcO1%d z(_E_S&|Z*_%ouzh0b@=x3x5Nftdx--YS=ewmN&!Z&z3VVHAludb&2&%`^OQS2XUIF zsT{TsbX$Pi0kV7Z%iA;z{6;U@h+kYIz5`CrX~cRBH-+$vGBPbedtx~!1>x}3YM2q> zb-Y{J@EPwe?VM`R;E+sCYS2@n?W2aaOirLMB`r8kuU-0A;5%ZM^VHLXDOG0ekU2n2{D{ytTLD+qKxNz=a4E71>;7 zdLS2J)ck^qT)9p@*4#fm%&+))xVcTdXD0^J6Ek>c302(xHt0>s@p;x;vdp)Ky@^b2 z#xt??aN`xmU%$&o9W5;3fyr@{F#atV9oX|oaRb{jLqn|T=vw1LKk|zczhlB{Iawcg zDU7h;!j?%JZuX|6pjcs0Dzq_>X!3k=3qKAnUNmG4frnBV8K;Ny^^u`o6EdM?axxdLoNb5AKhVe(Etni-Hdeu?d znvsOf3U_jntw?%%wi^tae$Gy@eUIWcVax@ERp=ETv4zic`i8Dlb7#j*xC{^q+w#FA*c=aXb{}}4fx$$20bb1XD)0r&4%|Rx<)PO+>pB?Dl zf>9KWCw1NA#}2dANSkR z#!SI?n{d-3u6|D8TPqdWbrHYGrPCv*Es#g?C7)iKjaW<7<6dIemhS`dqwW4?w>ESK3McNC?w*f+t~CD*TC&-6*Q^-WAnvRkWAnbA!TPfzFm0 z;ub-j%&b%HcOzFcUV$&$UD0>NI&U~VmK_>Gv1r~VlDJ{yCiyT$LEd7ZT#vehi!j%t z*U#i8Z%O0VUd(E}Wh|LaM-bzw3}*d)=*41Ok&f<5r}m{g_och`rJIl?D9c2uGYP*~ zV@!>t$LPBfWiczwj%clGM+%`avAL^pb8E!_%b5kyi?r~IQ834!=pju_t^CeMD?YJ< zf{TbEVvi-v^(UyX5N?_fQ#1}`~aNjag@8_WwZldFc zE;MnBI<|;=n%f(3T8#Y!n_D_ZDk!=%7fk8hEU9!ZJrbFt`TG;9&C&y~2jU8%5m%T> z2jsTU$V}w2%Obec(f@57LW@>N3qY$>G;!YClt97v@H$`iG4quDcz+g!zk497V?WAY zYfdYs3YfAsZ`I%N)oes)yYUVfIW|0m-^HLFXZMU(qCNB>bmVb%9F1c2dg?@0M=n#r z_Z$g^11pHEt|Sc$sIs9^gY!G~cq4t;^w12Z9a+q7V1>`aLw&hvEM-Ckvl)57UIX1X zugFW{S1E0{_qBzEflo1Yb~MTTm*~lQBU}Q4jVY+CxKT&@#wMo6=dIs<<<_3Tb_P?3 z2V01CnyiMn@2Xrb5PJ*;^1G;PtC%E^v$YKLBA-0 zE^KuruqT6k4|dUsWCRmWjHDa_J4gGQ_T+}TM*A@`BqzB~tOJ*yde#~(L8h{{9s^!$ z%RJ?usjWu`fSYd-ZqinNCQLijp_zfPX&G*c_Ni8^eeIlms(CkohlWSd z#d3V;gQw{#Qn!R97MuDE;OOwMw#?D&G`@kHaXKUk(Cwfd z89FOgigoL*yDptVw%K}za}9B+cse9D`-5gg$HYzqje}5rOt2rf6&)OAzY=#ziVU|w zPHm_O=M_=REx(%3gH!(zmeFpW-pczhBWbjwi1@HjSYc2=TgWplfrp4nMsC>x&4 zjQ8bG6H!-v&%8F-_Zx9Nvr^*2JuQ0G4?96kGbRZUWTvTcejiC0KjnozD*T{;U8xQiP<2HmGumnb_1F$dOR~)vW@ypHyy!M7pV3LOnc<2(LHu!!1TJ>3{jrwfOk!f z4o0r@(o#Fz=@e>Ks8!%#=0c4Es|F++BmVBbJz10rt6n5jD|JHPi0uRdZAYgHb4((v z+)gCY=nL5_`cQMFXB{1%8mq+Wb#&0}UZDjZ`%cP(ZZxvGp#eOQ12JTrngbv)jRWk6S&-G^3jeDV+Th288s zau_)J$8g7#4jk!Vpkiyf6(=^1sC#p%K$(eruAqlQt&NgwO!SkW#K0Fk|k*Y$h~kuDDW4 z)t@cEY)h-ysbG97(Nl2bBF(AgG~yzyY8^k9l{JlPT?O?pY-=5Y5x1Mv9AU$-^Z!57 zwMfP4)jxplpRZf@g9@fnG_gKUr%k^eaqA5-5*kM2H>?Tl;cz9?hu=T$$&O~>!+-Xt z_)y4=V97R^&h`xt<@Q)jhBGocI5@<)gfp-(kX@tM(f-jf{1SjO7}Z+s%NdWhYWvW9-yW`yUQj9A?woTH{+VGE1i48WF^jC!530IqJ$1^cQmzj} zNoH~w>+WpjINIE;I>EE87j;y75Zv>f#fDZDN*;Pw`u)fZK54+V8%tr2y=^O1DkHX$ zY6l=IM0ll_??QWWcsM(hLzvmh$T+^OCB2F?9;}`5wa*_-kK*eIWU&7UZz<@+A45<7 zE#C2&0ghk4K~}Ntju&RzHbZeeY{tBm{(lR4yjHsN|qtC-MG=g{E=tD8* z+B|<3nrPxps;RtKI}}q_~wTd8y|D-fB4H?{w4_%T|XsL4(CWd}w_{R9iFt*X8n?+&DY5A7Ubhhygu zzH1>92oqIAQR9>}(OE0eLVxMT2erEo+jrwbn7ZJt1>WM|knWf>voEZ*j%aPVc^x7u z>jaJH7aaCSv$B)JATYRpKO%!*M4KnJZn%MiZJcWZzSBhg=83iU{Cj^+(;V*`LvnR) z><-XQl4OLHJaKmBL_W4|p+Q@-Ten8+RzWb0l6^h-G0?*M4|od~44IfzV80DJ`i4Li z=#vk;a64e$rKqX}S~4|2Zo8X%Ij$qv8$`KK+|vI;-Vp3h=G>h5f@RAJ!44Ziax+gX z&B&hDf)hKLRk9u6(iqc8HDXbV<&bWMCy`B;X(VaKfFez?C##paXS>zOsOPK-BQ=XZemZfy1%8Yh@;z#<3} zc>GF_QRM1G`cr4$BT?->)VL2DJeydqaVj_Co4l=YcUfoTkqS|W_!?*~GlRVCLwmu3 zsxM58^S#&)=B@#{ESXtgdl5TT3+&8u_K`I{24Tu=x>$o^bgqfant#aRL>366*@Ys8 zNMY2`T|xhJMPzl&`r7qXs}Wb(u8;|OytO%ngCyP9f5xtOwvsX9n-8q52Dlu=>ly4U zUl*zR9=y3t44XSu-PE@;7HOI6rI6QCd`mG;R;Q!> zD2Y|k{F69c#L2(LuG{4m6r>|st6|8tx!wKE$|l8lR(F>C&0oLmN7nD0m|jnPoB~?! z@AJA_!L0auBimQ6j=(@~Zr2D;=j z9jCt!%K7>{a~0VKw_0P$PZcKOyATZ;ecFf)X`>WOSRw;OLL zXV==0*k9gq_aC*Rt;7FlE2?}hgFbV5h%H?9lhGo$Hw?ASQLOlzIy&)jN~TXcDVCmcG_4=^-aj^82WEWq+4_LlJN-*9PH@p zACR6g*sZLqE$CsB?eQ%=h`3G_I_qj1gSRL46<=t2R#)4nGc&wNbw)rW&!4Kz*A6&6 z3%`IiuM#ke*O3aZPxuNYoyKT}`8~E7aZo7~OP$a(;QmcJKAD35G&knI!LyPz-*rWL^(o^^r`={FY^Jw%>;FnYRV@ z-}nyL%!?Uge@mKmOG`qAaHmnEYgnK-4}xPv`kvKfOK9N}yl;&dxhdO%37{?9A>6@+ z1>C?M7`E>g>{~^rhna8Q?YA40S9c^S%iFd82 zTA|UVN4_n|yjLsP;>#)(&bgN23KFj!XofqWTzh=^4SHF*%(FwE>cYl6CUMxs#QFcI zEY)zr){lVOgr&r*Yc|xPV1hTEPHjn>eMGw@;mNKV=cu|sHciy&NpwcyFJjYae+9zy zMrIA#W_iLnLKLrj@S8<+T}ds{!XZ`H%!Pxh*$G8}c!MClKhr1+YFr&WtbfRP|IM-X z0s0mhv?mRbGg6G%=o5>r;jy_Y_i6Bjh0P}x=B(}5uGU_BWk;id!_+t+?r%+I@Zm(9 zx}0lg#YQJ`*^HOQU1F^_vFPxNt8M7s{c|eV)t3FBG(OVDjqda2hsLoRR3VR*k*Z2G9-bGexKcR$^m>6uce_&e zv1VmKH(pQS5CsduEF@&_fSc5~7fas8@E<9u;PsE3Fc_|yvssuVNZB%*=NrV$W+n%5 zouz*6UdGN?(PUA09M}qmH2?K$`_N1RYt)II$w|J6g|5E>D7qZ7s{=?`*;$qR?wLJd z=yb;dGmTEBN@lp9Gf^_zH3JK#3(f7Ft=%{@k;a~jd3y%JoxcX#Q#go(SJ0Iay!M5T z?k2F76isCyw(C9}Y;Xhto98%=u;9WKM-Z@mj^hZy+7(sI&QusCT2QM-H~C3Jt@#zk1k+SInVfSW_FbsJOwL!arW&SKd%vESM}iGIz?1 zij0lW*49?cPLoOObYSzdqp^z}>o86TV3V%MGTTUWu56>LFE|TgtgogRioUedGdP&V z$pJli?-<#Dxv*N%NuQ37YRG>pDu?Q166C)P#l(kQ91J_JFBxs;bPC%CDyzKv#<{uK zD|a_gvtP;lKsRafn1?umE%*v<7$D5h?qkJVXTi)?B2#bs=w{r#V2(awsnFGeO=xz+ z#b>e|EuF1qQ`RX$-7j2MsY@V#e?oIa_B0TnUF|QhS7*htNjLYJ-=DPT>lsc{2Zx4m z7(MiIsEYgz8>-}|GZ<>++&mWZJU}TkL@95S1E>4`RD$goXYnn=U)m!D&0C^d;~h7& zCui^I`=^CMITG%&9g$z(%{GV}qMU6q-PSE%PeSRVZ{ftPV~dQBoSgF1X{7KCrx73% zWXXr27OxD_^8UbX2I-hO=a4#UHs&4$QNQz?1ThnC1j-#5#IWFnke-c_-5<6K|CoT^ zE_A{p%P1~y(>rky45r^$7U{sk^x>_o|30@+ft7lGA0a8#WreCc0z8CQn4g?7preN!7k*PQG}DK-$9SWP$%dR)bt?6I>ohsL+QZ2nKK6<&3QXvEG)rnT zu5a-VC@gf+z*%?jB#$|4khWbTn=xke9ifSV?dQ_|2UY!}%Tk#}acF$5={G(4hxagX z8SlgCJ#K+IY%(L@B2=7n!Ov@oy|4_QAn@Np>Jb7xpy00p7954SO5{el-DV38S@Nu8 zgeM|1Ji@@LA?Lfvm9v(RgYPs&>8?eD8d@P|Em@1~UX|J6clNB9U!QxYcFskw97X4t zg*>w*gY&$R4Payk`X+|IT+bw4=joW_{Jxyn@wecx3yIdxHETF$xThD$Xb~Bg$?>D; zqi7KN=Gn&FtTVeC@wOdjF|oeEVfB_qyxu~$EpKztM7c4{zD8Ea*kF?LTm!s3`AZ(> z3Qluz+`;?c&)E*m;Y69kIPY-GYzIG)lOG@OCt3c{*^0GAXP|h`%HMIMahik`N9QeE zNt#t8){S~jWj&J1V=Ce2>VoGQJj2Usoc#sJ%Lvpp+zLa*|G!NH)liAt z8lEm7Xur4S(bzOpLeDkuX?@7VgOPRncgKew0)Y>sfMXsJ8i1c$t8SZvV$A(qm|t zV`~XUM>ZxS(Wc|R1C#DwC*fF7%Mtk$wnq>PS+M92T)^g&`MJw*U#=~y?`G`=Mo+UW zBNtqN?H-UtWU$mT=kGagXbm>YFFmGOCsDzigTuta{j)z)!rqI2$d@iayRi03mN6LmdlBa|}~_Gsz3Tjm))$iiUd`IKGlbzjyN~B=1uegn;u!6%=GRa!_=%atCO5fcE(-Qd+VUZ(D%J+v7 zbAVyKSD^ms1^+in9Eed8p&e-%-el*Zol{PGlp%>qV6v8rp5$Z)uL_YI_kWyaPNJKd zBHMww7&aY;SsPPS$u}uuL-KQRSxpsgKWJpJ0pb6u5gTIsp(20WC%;_8auXM3;J4to zPd+Kz_5AU#|HIR1`Pnaj49Op1^ZP1==5gk#dGiL`mbD>q74L~eK>qy$8)~vP%#MjD z?=j#mr@UCfp6Jkw`&&xcopXOdDGd3jmHrZ5Ncp+FJyb5N_z*h-{vH`;$5Q^U^UWpi z3=fim!|3xRVPY45BdTA{!pdHPkRLh=HAfI4D+mO^`cV_9|AlV-NSFV^$jpz-{TjoW z$?ux9dlk%i?k2CRm@_}nkNsJ2fk-VT3#I(7mT9aY5HWs;ytSpO9ueb@M>9Y2FG#^}rglo&oK16&@31E`96)gG#eBs&%#244 z3U>DG!LeL#_he=;jZEiHrlbg^9wsEjtntIODjFcIJKFN` zz~q)BeuKuJux^RtSKR)ly%T|TSIy>OUWSB=J^n~mY@$7Joz0kPy~I~C(Tlgph1IRN zTM@mt{{jUE)QROww z_^~w(;-n=qSwGFbB&=u}pN7>qIEcM>ra+&qy#%vPN|ikVe_0kpT+^w$Eltvm$^@Wd zV>Rv}P$BCf_Q|v^;=bxh$h;zba{yYe(o_oI)b`%ALUS_SIdALX-gXA9#}5cGaU;xj8xBs|Fy5=Jo7XC4Bv+wdlZh6L<2W1d z7HZw-4f0fPCq6QlZp-2t$?0t8mPk#Vhqu#dv{Am>_VA9PD~aJGvf*md-IHUTef^Qz z4IX`|1XpKw;qIs{Epi22z1K?-v@j>G@tUIOize}Dxz?G8{LZkox49cLld75es>-UF zYZ~!S?MD7njeqJ}E!D{|LX8zN{e;9>p#Gph;gR&MHX-5C4BXu?Zspmhm+Q{TI(qJPEHqu}t4K|9w zCdROd32kBwn>O&DTK+?;O*GgM(RvK)j!B!ebuwL&ul81wkBKGRgnL^ z2yW%V?^4^^sL3bELY~!^vsxoYDYR zcFbD*N|ag4P$Jpki62zg{JD7I6rBTJ1ougg4f@&MIXuyXPl^tVU~os_V&OG}QAElM z%W8(qU=yP|Q3LAgJRE-*#ZWoq?UwwI{tTBKa{5+X6{)HAqmFpDV>%MqP-n_=%P_MZ z_v48dq%AQtfVKVv-hOI-$)r}HU|7IdZ(+M_0LOpjDj9Edn7uJe5x#I^E(%qzOMmW(hZ9!-RF&-&^+USOlkPq;_licnyYM+?Y~}fr0TU z2^`nUpan76xSpLkmqaNJ4S;bqMjl*SGCIcb9!tT<##)V^P3y)wZ*VjtKl1V>@UuPK zuwt`|-nEbRFzA(#;(8BenJQ z61AXECBD~qvTNXBs)${}32y+UovX>RU1B?jXLs1pq$BVfx!)wT5!Y!x;H@^QkIc#| zc2(jsiQxCAA->eU9=|=+=%|be)7F?o6|2*f4{7HEDoWBQIuxFX1m zas0ReWeA7PQoA9YPqla8XYTBWq%uupvb($t`)WUbaY;YEv5D-Jtp^k^x-{+LgrR+0 z#UO4&xHUppKg?f2qPpOhe|$uMct&eP@5U~bSuBT6G=@^~t2}vs?#EfH0DCdNlk)qu z2qtk_Kf9gxxyBwJ918y3meniuWe4!kVcyu?2#ePI__-SB_0%+JxqV`3kn@a>F3TZ(%W|TiUA8^-9I$%!z#+_n2q*bcX9q zNo^a)x63pG&=cuOq*_ON%nn9*gCO%CvUUuLSuS~GkntNJ1{tKPYYT7MLAo%Uqj%B~ z7HLA~$A*FwWX#giUiEY?)!&PK; z-hlKd6WBZniiC|Kk&s@qq9TR!LhEGrJ;C!xX3~nB#Ab~~+srC;R1Vs_M84h|*p)+D zPd0U;hGXhhxYbp>3!0qb55KOOo){fK%|mwtE4auV7Yy=94G*|@BJq;AP7()MQMtD8 zhJudf&Cxm>Ma0P(Or-I%vd#{C9oRqOiYYO#2;{AN_z}x3qKu3A+p&q<8tued8%qXU zh~@E~J}C0GK3vGi5K=gCE3sl+QO=vl)}S8p$MD zMY~d9dNcNhVKlUHALcbDE3mi9=Nj?>{)X4pl7;N&2Kny_5o8ZE3&H zi%`)`XZG+ySHHum@o*U_`>2uKEXj^=Ujo_evS3~g`uzp+p>O99w%RpY)GqE1m%}HL zc!Vjhs2DiI>CfOc?g4Jo!#Lm8NMzc3c(y0qnruf8JUPW4+k+2oy?TQqyG0MX<+;g$ z$uTeMGXhh7@Fu`%xz!U%%JGF`uCJd(!3coFg)=r)^Nu7iW|{)8kL7&U?PmS-xV;54 zMk2|a#NSf5f5cyjSGuo!={LOPWa6*0#PgN`8_Spcxb#R#QN0Stw?l7@qeuVb?_a?G z3h0c$-2=S_U}JgNk&?#pKO`HthEA_k<N4Zj6^ zhEu4&9sG7jzgQlWA*_d?*Wmas)Z=p{^xlIW^J@D)VUh%i|z@oblqsH!k3Ejmb< z@p}rg7Lf&cvkiGu1s>z`G2}ado6DCOzsSAP`^vquzkKecrt%d5cbUom-t)DNFnzQ! zhUY_|o62pPc9(me80BT2!In6> z&$Q`2Gko&spMNjInYg+9V{`C3e+NG6JHzd~7xOly9`_rgIR_#p-vh@@1~%>bE=kZX65CF9JPRzR+`F{%?1}al?1{uKmLB3-kXi zu@}z&!ak$oLMY*ncIn(_#(~f0E-`bVpuZx2)3-1-KnIQ0jOdcj#>R1xsUMb?;d6Y) zgdjBUaKr9}F3X7BISEvJaUhMK+dnS*Kobhty zr0LvzWm@-R9#jmy8T-PvcfxHmARTKHHxgZ#SP@z8`O?8*Us_e|C995zbt)Ly)Px+5R4f ztX9)(=Yno{noqO+F+W<=pKW)>-#OUyW!9#fHr5AIH|F9O)@MFPIY)x$HE6r$8El!W zGi=H`|3}Z$zBOD5eYL~ZpLO+fsAEfWR)Td`dGt{6!%H>qqPB?DT6s2XS-#M4WJ3enk=fiSL+tA1=$6 z!Poi`=EFp&tW17=YW0IS85#X(*u`bFkQb}h6{~0OOPKthul(J3yK&IER6Gt}Ru(CU z`_?*Kt<4ecqLwII?V&;lQ?_uyG;2XWOc*Zh~dUh>E|1X1I z3BK|7DW1W${+3@BPP?(U34GTc{m^5()eqMv%BCQTLe0$+Rhn0(ep;88<#3#&f0U1#x3ol%0l*dgl3M|Jj7`7M_=g z-?{Uv1#7AIpx5H)(Lee4O^J!SjbmXN4?r(jphy4Y=fG$DOnoTKBR-#n-eKr5&F1+Y z=h+R9wmyLVG00h7?QHW2ZSxG1vX>#dQe;7YL;k1WN5FU659=OftKgwmWV7cIl;6PeSo#e8}gYn;yf*iM*oxhB^4{bMT{c@O!~$Saa2B%I?E} zG*-kv`8a&#ud#yqN&X4&BVsqG2Zd!R-odh;EIK6bf+M5lv}{_E>l5Yt6QR13B}9`l~hu{T`qB=fgd% zC+IeP$G@z%+~b>AzWJ7S{nS}fr+IJkEsEy`_;Kq4_3i z#xwKWwL|{%;4>eM-Z?xkE6{V>4Q;OY4$=cXbPW;)pFeh<3-#L}{}l2IeSJPJx!UtC zah@Z1W_zQ3$}8~9IGN{7c)r+qj^dg2ST5Axj%OAX(#L(^&D;kze)mDfG@0kacsAup zzYpVC^Cn!Lls#WW#{8n}9mo!YE~vX+#Fx+1>wC3g?~skoThKWUeWxz*JwIOi2k||0 zjh2z|^Dv&{@Dt_ubQ5ZFGl?5zpfdUKF?4Fxu5H66-jx^-k|GO_N5=1d$bG-IezPo? z=2MXE5Wm{LurDWn`S;MbV~|B!4=lOs{DX^sa^9h`pDwyv+H%#RKQAedNIj$OTi2QQ zqv7yP{7zr*c~8LZT=_coedJAvgf;8kys!xjr!i8@EuA7ei+BIkgr`J zjCY#Merz}$#zuWJ>_{ADpCK@L{V8+`$FWL34xKG%2a>024qR~8l7r{}WbvW%ep+_- zqW{Uy)g>k6thXjjxi-)HEuQB}Q|}FWzN1JPc@aO%GIacJfi6~OO*rpBhxOh$pRuB} zxqPXq3o{+)t2OV6)P>TYqbk^Td+J7GD`m+&JfI7Gjo?e??j z+At@J8`_rreC{2Rd`2^tbkXn4 zXwQhpUo5(_^v5Ml<&_66y6eJ&7yM+&q4R&b`0n$Llr@&0C|PtxNqJ?{OE3KG^Z(~_ z7{``2{^HsH1Fvdi{3;wj7^Qc~J1re@n_xRzm|Cey@D!GZ#rX9*~ ze%Q=$<&{#Q4eGrLy<^b3#MnUG3-$Q?DfAwN-du56RS6~h6~={rDSHZj+2^iAd#{5l zkr@|#cs!097gm(OQ@CZ`e=UjTMT&pOnN$VB141T^^l?0%hFj!i%JL-sgUHcm>L2A# ziacAEC!uomP5QTH5o#k`saKUJcELHZ1Wf5{hrU5)4B0Q#C!V}6h zAq8e*G)pN9GiIyW1K=DNKQ_x4=mBAM6Ar;iLP%)%-9ex>O=lr}7tX0kJi@#bZ63)a zEQ8aUMCw~AAheus1f<&F;Df;P%;CD%2K8?7nd}8@Q*t}tekC6OoK*5Lz_Us&fuRe< zZgMH0T*+mCil1k*w+W zsDSWWD_{9Hg&2WBmv||{qsk>PTyP1rP~u_ItA9+xVPVAy zKUb38|Fx2VAKL-a&x}YDglC0J63)Uc@^W|NNob%+0#ku5h48kJNrFjC!nPK2Dwj|< zO1K_QQ%kB{1%!iEKWIQ?luP8(b@4{)ulX_nTgxfDL0#b+JP*j8$t$@_aDj?KeXnc@r zf`bpjHY*@?vkC|~Db@PK;nXv!8Wj*siNvKuT15~i^r{ZQ6i!?Ur%!bV z8Py?}a*9hi-Ksi-{i;K_6HX&R>OK_^Oj*XIEdP}V;)FA*Lome}cZxO6Vtrn92rs~C z0Hj`20pTSpAoa2e2(MTHsaI7%c+Cn({YnLdUt0mG*Hu9HjTMl3Lj{E2S^=qZDj>XR z1*G0m0pV>cAoY$42&}l8z@Q4p-v{#p)ggRnbx3`z0)pup;!ZV>yVV>5|L-*F?enNX zoKF*3#W*t|UJFy?6R#J#Do;-ued*N1l zKN~$(vg99ERQZiC0%j$I) z4&)KQJxU%0+^?ib=O3H1_s8b!x2XS)oAY`!iz;av2|^9ra_?t5rExW^S@P-iYgT5w z)t8mzGmvmBDv`HD(n_Leze#DsQ9_T9v3-C$luI}&WRmdL$|bDFmWH#cJ7`&UiP8m) zxM)QQDIsHo9|~!^4gTozabZOXPr$JsjOIz5QUT#fDj5_;JLpwVW4#`meqeg=80De?i;&l`Fo6&G^fo?3&-RkNF z6PG|Y9_aQM-SasqSyHvqMlMiK1nRv;ozV!U*wm$@)cctrBUC4NE1<0|f zqJKH)laH!m#N`Me_R+fAyu}}_`{y5dHd=#F%ZO^6uuI4U;Q(Bzx2x=4pc+dUV~bc( z+`y>Mk{~j&PHn#(kM{^GL7<6pFXw%{RIkb#v)>NK(N!^l(fGd-Yf-|pLM93P zp>IK9g7bHx5GA}RWRk!i)`oSBeW$)T?QAYxyEMkIXe>}Ss3eA4-0u9+xk9x$@5HdE zrBIxLEzLmJiNEa^zDWG%ilJjS6o=27FALiJx*~ZVEB0j(lS8a0e=pT0N~pkl734XA zKd}zSGSH0$x+$ZphA{^W{}rzmVZ+9Uvpq0pbz`(PN-U!U?z|(y1pbaXY&X!21-dC$ z_bV%|IpZ6o&8gI(D)Zk%wf$YwwpeQA^M7h`%i!m!JuLS#%UBJfglFNj8jw1x0>X1} zi@oe$>_6k>Nk6Y5!V7Tfht!KIAiQJ+q+V75;T0<&^{NU8uUP@9U#WobYbzl2x(W!t zu>w+WsDSWWD4^%+-&tOCL( zRzT`g6%anN0#e>TlM*46!fEabrGhfRVk;oELWx5AhkvXgtb;cszL>XE3JT3r3wh^t$s3IgvI0^yDj?Ka0jW9_5bCXf)U_%gY_bAU*QymMReyhGN)jE z>rVW>dPQb-O7ouZw2(={dvM`QAm<}dh!QHkDYITeJ)G*2YF7b)SGI(W%%6m??w8E4 zKN|5f*;&ej^Q1^2eANn0tzFQxQ_iJc)_YTSunyvs8efkCjw*RS;6WuH0i00MByuqxw+kz2SZn?V4480;+|z(( z;mQ!T{rPu6Rful12CzxVcEFuVGSvH&WT+1-Y3v$w%Fd~e;gb11)eT3octV^sg~)_S z(?!@<#OB+^%U5CJ-7pP0ea@V%#tAp@7B}Ql*|wb4ZA)w4+3IwHFn%1CLk3c7JYd;o zxyYLelEFKx-q&I$RD4TXAAz@4g|m;GE>VaQ?i8|{a0Ctq$jb60-c`N{nZ7!O6(gwB z)?G?tB8?MNnlgS3IvH5Jhyq31dIOftj3vnF3*KK-bGgms3%CUmW(EFijyYkT2NT~5 z{5z5h#ncCDF8IfzT1<63swvTx-F+3}^ zu?&pZfO&!0YB)rIFf3$>!0W-n6_L6TQHT*#H|gpY%Oe|9*qD>z&nYMpd#4eGjTF)S zsuku;05k5eWK)!ITF9FTi@(kGIz46E0aZT`d5l0gqZwr5L2&O8g~TbqOF|}J1^iaY zw*YFvw(Ub;eJ0W#LK%!At^^H#_g)+R1CXB;)oudq{)vaLL*T!RcV$J1cgZ*NWd25V z2n=wMcYA4`#M{azFcQKi!N6u2GBKcF_uk!mZ3w2Y_QNM5&)Q2oB6M}0cwFe3Jn;-1 z#%_W}{$|39!tEx!0*6Bri}EBi?g_#haClircoPmPrFjzX3O_-3U->0@63j2>)gv#& zyI5FJ!g4sRRHXK+fN;PHOv>nUL=<9#DPJL$C$(1v1miQP011y_n0(2c-e zVJ8XOg-i?sXc2Lq0jOcyUoa9Y;h4Qdn&x>FqS~#=*MhEtL)Mh#+d*`~VSpvXg-i?r z7?9HkGup?56(u|(WQuSKF5K{_dsGx+ga?I85{zz;cyb?tWAER3d7dPd^u=P;N9&f} z@j=3H>>}ij%?N2pbRQp_w+Uv1xW{ zDz^S1`;H^By)e@hk*GuogF>bVV{qYssC%g>#0aXJbajKA;cXn0Eb@LfmNzPkyxYcX zBuunJNwjm0aL9qK9fJ$9BM8~(O31;36Eah_4-O#{9uhK1I0-jb$TrIgLN4|W>#Nme ztjO3RKh;Wz}k zPHBZoHi$!@Yo{gXk`0%3f6wB89A6HHd?2(5nI!OD&4PRY=VDQa5mYzj>N@!Vg>Q*& zoS?ehuI{|~P!O=6f6jcUpwc=4TU}de=Q3s$3XBzN0SlKr^Q&J{93|`*GD+Yqq~SPF zcZVp%2&$WMb%O-a_x++9C7cj4N#G5pVY}45PZVMVqYxM)=UGvR5`HaYl5h?#Y>c{R zL?K2{-IUP{ovJbQ`%AVah8x6jeq$KAcNFP!ZTZY)YvJa|9QaVu8zo$dA3UOB5P0=! zIB%%Si*QgQ2}a@bCSv|1(%PF6dX(^%4V~26Dj>XL1*G0p0pUF>Aoacq2p?DhsSi~^ z_}B_aeWC)wr&d7fGZhd#Ty^8;38+#y6h5KM3P>$h0bz+1kh)L>gr!zMs$2zxWmZ7y zVigdUTLGy{R6tl^1*BH0fUwF6NL{J|Lc|J4tyTeHjTMkus{#TqEz*>ex>5y%N-H3> zUIm0IDS)ku z#^GxJM**_vpFT@Wxs-a1w(BePnjE^>p<67y$Xl}BeYnbfsB$0L+=m<7hj#bj4)$GA=j5h3uB>~MPt zbYs*t3PENE3h}^Lk83Q*fj~DA=ytoh!7=3^>utQh;@nzziV?)YZd(*=?-z@&)#aSa zJ`}O#?!E@%uOPX9G=>~-20y3b1X*KSbU~2@RXflvRwfJCFXkuQwgUS$F5yPb)^`4% zN>T_bg-jB7gM8Rnpc|vEQ3z5KD8yZbpmhe(`3j=`2V#ubybcbPfzTynl8}T8mr$S^ zqpnd1O6U(H&zSH(heMtb?i4af;C=kzJPUMV)HMo!aC!CzQZ@cSlF4fPGdQ#W!jnQK z3H-7|xCI2dG3pwHuc-yl{4e1UGs4?KCJFooL^x)FZj8D{;cJT7??KhD`@$FS2*EpR zF8DNf#pA3woDF1$8^qr(4+7R72V9dEJ~|o4N*B~A*0QRIR8T?Dj?iw1*AGvK&k=`Ee1nP- z*mc%%lWRh?QE}Yrq{G}*F2~P=`B%FZ@>yq>DMIlN!_8kV1BAkGmOE*T{ zU80*L7~LSZ&RDzgz^<(vfiw|Fd)!ci{MiTl2jF;sp!)JWNh*Gm9o&XBAFbP^r~fW1 zfzT`X3pI~WxfZJfPJQ`Gb#1vaJA*7TasB!tX)9l;m#Z|v8T``0ex+^6M$zf&^jJle ziKxL)gnut0@s-w+FH-w#jrx+iFyVIn^=I%GN!!A@>}~!v94Z9i1tF6Je&Q;eHgf)N zQHT;g6f#NR=dHrJMe|{fGN$kIR!bZRYlKV^_=&5q-9R@+U8C^3_5`*PFto497~^^s z9I~3wB4m=#4i`@57sy#irLzUM`r5IYMJc z7MFf4O6Z2e&phtPljv8z$qA}<2`fhE6*5WKrd-0HatXt5i@YBNFO+WtgFd;)Bqrf7 z$!Ad1b>{nr@c384iV_}%3%BUA;5@GigqLP1@bh=8;n461{OVoU0yzz;Kxmt#zz^bW zRRsdSffu$w&a^5J_#wQo0y%%H3WQIDOc8i9cvzR53*Zo6!X_b;ga){NdP~s7giwWGF2d~okt<& zDg@&->DykZ5U{x1~}eq{xu z{-X*Azp(;R|49Xeb5=m=KdXT7wiS^2FDf9sX9cAGs|pAoS^=s5rUJsJRzT_>RX`|R zYl?%^d2lEv!V)VWb&(1PcZXNJ`IN-oUF2o+aq8cg=0jB55l46I1czXN}B$P27f86 zDB%UTa0Fffhu?O=t;B(Sei-IbI7FN99XR*rsQe`2|EglbDIt>teh@KipSt&pLX2P( zf&}tgf+ye*7J;7+3|pYWeWDN}oKccMU39J`HeaZEugIf>2Zc-!j>DaY^!l4Fz z44@UL7!&7w>0<18;66~B#81I5yHZpM{BmMAyQpx!D8vX*$oLpQ?bwxkp`Cuw?4}3RwA(-xU|kEh7{7*blX(EVyW!j% z!Q+2X7J=Vwbmp9IgY_v~VSZ7qUR0xmYvC}qm*z=ar+h+-@OPEwNlXae#<2;HQDG(H zR%$baR;j@}BW{C3ya?Oj%8;-~o|Ia(^F4lB@=iFUkZ@ASB;heQG{@3BiN6tklyJ)M zNj#|!_<#k7e+vgYgl7zI~9oG0-MI4BWbG<*{82|r1AU-_kZ5`QoJDB)wnC-I3s zl;uhMe{e8LD8>E~qFt6JQKk=z^CZrPgA!qx;geXd4@>eSz6A#*Ld5V%v*Xj6V^ zob8 z@+4;T;lezLTj8KYIAHiBo)mtPz)yyT8!Rire#yod;WZ(92yensHiY7@SqitI<5l32`eC_Dd=%i5Om>8!Ci3ZI|-`W?dk>- z_ajiaS9GJa`zRc8%=CJ@AbA;%jfu$Qp)nEGK$C1D(*QQ%kk2O4AlL+EGqsIjHW?a( znD8nbx`9PDW95Oho93ZJsDJ~%%;u#$u#Pb>C=m{;(s_B4eNZ~j2Fp_XEgbsTa{v}2 zNK=F$S!i`)t*0KqQUlkN7)X}U{mOj+z)-+71q9Nh@EJ%(zN@JwPQf8(4*~A>*~Fvk z$xfDCg+2|Ac|`1mgZ3%FlRlexZxz|L&#Hrg>*1i;3)rrt2?E@e@Ut2YuAvh`9Z?GNdiBa8`fP6&N5Mm zUJO{Sq=_s)rQ4+}!rel46Zj$BuqEntib9NF6oPno@e!P+6xXa=xX zNfQxTyI)y^GjJL@sTWj0D6f%XBU}upI;2*pfWYtihNE5&&UK;?CGdm2b1B4Jg&=K# zg}AE_w0_!b)gTD`_HQ_dKq2NT1VP*nFUQ4#%`<+v_oT3*1b&=1Y&1}axe9^NKp{?r zXT(^N@T`z=0_{38sYmhnxUgab^^+o~V$2j86(1ADC_xpw1I4IOq`#L{k+5`w6e5A& z6b>ipMPFAsO3wS zl>X=mc@Ri#$uOXAOJGsLr9vhNYm`ffPcqL3l*S6yXFM*4B6ANof4-aAyKR@zJa%G-*;t=|ga+ zD+C%_=P{M?AD6@aMA}|1S4Z#j7KQ8`#2_{OoQ$r;1)8g83m_P&FSfHD7b%Qhq zy754_+tm%~Q!hf=Aps`{V{qqVp?;C~*~&a0CR9e)>&i^L7}LKNohaccA#Dx>(pVr( z8o$S(d{X^B0XU`PlYrAo8by97y&R6kM7#tJQ*=U|kO{)|$|bZYm%y*8^ZEgNFTqY` z`Gs=tYAHd&Vz_W_f95MJhBsNvQv+@Zp6l1}CIddvU%7B_dyssa;X5`6e)N0=94ZOn zQn+vs7lLz%D8vb>n;@)$TY?yCKzyQar@!O})ak$?tcF9qD#?@J$JFsqY9nGaFicRs z2JSrXM_zq!z=U4tV2*GloR}j~r4OZf5_S5pC{JROK9t#jj9&y~eEI!1qv}&A!q`DLYxXMVk|+p5e~ancjQUXvh$)M@Fn54 zi7GOdgsR#pPM|6=Xctv%;E_;OJ8g=BMTZ(oh{G{8@jR(+IKBjn<3k0cx4}6(cl40- zRie0djI^rQm~02@W;hfdVJ}=bvjT;fs}N)s6^6w^oG=21FOJ@kCqZ*gd}v^Yu%d(+ zAycNEQra)97-0-fb~Q=lgm2saK0NLhR+MnJlE%Nl-;wAbfmHq*1~djRGG(7HO1_sb}JP2{UlvQm5`eh;EFax;?ILPyx!}dyN=N z5h~&2JESC%%HIZ18#XrdwH%JUGEp^?fu>DWpjj=N8df)9JsfH-LH&0J{%xLJ3TeG6 zQo3Eq9e{o%O$jm^jw*|APRL%uTX5kFqV5qaSpnk3u^$K#Q;m*+{UL7NyVuZKgMt^;%_Nr=MX)y@pD8}59$Gi>$My!k-? zz$_D`syuNu9D26EVw{E>gqt8tr~+XI4qakNo`gmzZp<>UZE(zf;s_jyo1mJuGI(HV zs0rhlS+PPqBnhkFIQ?qewC0LDA1YL4)M@aTu%d(q;AE&K@sRRe)x%&hTEwFwPTUW8 zSjpL%-xE!$Ab$xD!pTd266(#ShL692L&YJy0jCX&)cYzRd}swGz_Z{yCkipbuZ2v~ z+XqS#=q>b0>@hH&5PAF*;8i6Fufa*_ka%7Bv_=!o*!2P)HLL`s=R}$yya{&^W+O6( zTT-2S$6#E1t>hhHm5?dI8aT~H zQk5!jyE<;jrj-6OOcNL7kaKd2EU91=1`xLdgd&2n4e+hOTuH97{kQ%Ppz z5%qHq;HZ+v0QV|+AK-o^P4sSu*Jt2ZGoJ@)MoQUgmf3c<7}>lO4hbP#EMzZXy>bca zed`GX@~8$xI4NW=L5*%T!LvdPt9QbfkUfMcs36ViC$_SC(N+AbHV7}tr`d{qJ(-SjMpN!8O z)R}q|j!82}=GaqkAercAlw>TPRnnv%3dT~6tprKdK`k%aHM9D%?jl-jly4|${JsSb z7hf;o5t4A)d6C+#0z$?LNbOYt;g}VWx>p5+`>cS}{VE_lUQKLC8e2nT z#Z`lloUQQ)SSLlpHv7eRyiiy%!YY*#t`)L}KvNfDdRToqyRVWwV@g{nvcRNmK_8>Y zrXZD>2MNu9DB-B#lh7=PGMAq;d=i=ow)(sSdz-+<_`ry$)b=5K{7|G(Lc{k(k%t393TkP$| zH2phy(tU7l+GLOPRN_a%bUc%c#ZY3}>OPLSVm*XF{Cgc54NMD3of{DTm z+8Ms0jVJmk_x9Xz!9T3I;2$oZ7Cw24VTYO+lc32bTK<-6+4W)qnS$2`;7YxkJn?ln z_V57u2T527M-5^`+03r%eKs+!Y?BStHf7>WLs>)qN;L^5gzOBLlYmN8l$G z_~{M&!~#FPp8t0YX4H7}IgoF_At`HNxI&CaYXF;+^u0(79v8(F;k1xR!ZUD85Ro>} zBUb`wgKT1xvOWLrAT;lRL(^q69#xWX5)RibEhVb06m6-0+ceKX`T-mw{RzMm>xq>B z^^<6@e(V~)9jrl7j1o=?*+Y0txrE2zw26{>LIs4gLfTBHv2J0-2*-u&CaB#MfhJJ7 z3F^HYQI$V>?-yyDuv5qwf&R2H1s3A8a7uLuG^RSnUMDysaGXODe+Y-Xxf}4PlC(y@ z)F3{o>}LTQx2Um|0qdYj3G|3!qW)>+5}r~n;c4X(o>4C0jB*KT-s zD51Vt3ec5)DAE`~rMBIC0#=!Lh%N!FQL+NCUP*%bi5rWamD)N)jZXDI_*6(+;DIz2 zNNw~Re7~h$ZJy$|cuo;=LPpO5UR3h8fH##Syenji@SbuB8oZ6%Fj&V#njrj3IQ$rT z@#Db%P1y|KWhDu}f}@5>@OC`Y7nVnXr#L-P6Wkztn@LTOFf}rZBym(!y9xIR87G`n zF3mot7lZS15r zselmojl}Y#cEG_0!B`I_RSmv6KHF|<MqMTuSS#lF~SP{WY?l}Mw<01qp98lZln zuYr6+xQTXnKMscp0O6#NQNq*8C7gxB9i{0!iFcI$E`V`|M?zA_D4`qfB20|3-fCGM z<;mz(xyiEa2wn5kR&%Cvzu4YJI0jeh)#r)tDVy*K+#+vpNuGp;zs(p8Ec_s_@IA4h znm;g_44y^B&WYF$hs}G!CqniRK7*qMu|=YgAZR4FnjkRPFpe6+R)Q9%?V}09F8gLelK8eo@J3+WaVizM^Dx_^@g`VCJPjSLqaI(Em zqEfus-suIfmZ~K}IUGN_hQl70UBCwo(1yZ<{2UIQ#(el>>^TDcpNpR;{hU^ketx4Q{k*Lt0+&DL(l;g3qJ(#Zv@u$a#|o8J0(K~Q1aMTz z7XYs-X)M;^F)6IXHo!h5>F2MNJPmkS$zKEBP||pBz+*;Oal&129AE?gar!^wvyHD6 zcwPf1V9SnW0>X152m=V&J0i`R26(g*H({awEeYMX;OR5A}1Bcd72N+ax43JTh zp!RKxy%wyUB8>-%w)uZBZ`^3=QSlok+(5P8%YrM@5h#oU#Iwl(R7M zn%LV)cwNXS;X~!FfR_rf5Um8%DA@q$RB}6DP)WkLkckPvjB*)=M}*u;(CFCA*pFZy z7pa{lodJvS;@c9U#-t~(V~hMgYKiA0VF?^M@m#(f#4_avzHIqYvPz|dOX1+_jy#DS z$|v+2J_*g}WRL)B?EvDS39w^zJv?ZZ*q9!&T6S*D!ua3eP<04(H<3&d8sNem>C;g7 zwd&SDsJgbpqkbnGED>&p!-Q&#_+z1eBzVl{6YmrHwlPx=Xd(fJH67uoiUoadBaXL` z+1}#xMyrf?a2E4saE9T~RE(b_9`_3?dJu3($-4oEl{^BtM@i%703NA~6dD%8I;||i zC!Lh02%icWC42^_IZ3K?v*-|(!G*J!_MQ}bF#-)yA(kga-^dz*@fnO<^!bGNj1x2_ zJ%P7)5VoxkPebt)@e_Rw@TQW4xatR+O_d~!YNwAG>8(3W;?Itj7I2N;Xan=id z+D+f|ii-UIxArwqQWa;~)y!Z(nFL%kXwV%L4eBubD|m2shM7S|2kiO5j7DvGy6?=i z^q>7R%w$n9OE&6Upc`t+(F#xwm`zE=TF%${JYzE?ndBe#<2nZD&&22#)@1 zkd?&6ui7rTs9?_BP9#FXaGsB>nYiH3jKpriszmspZ8yrO5hhcEO?I zLr}()sdH_yenzaJaETQ6L!BEy$8qqhUK}LBb)huh_CtMnA$e z&g3V&iukBxA4l|-Bu^nS*RgxidPI{X>8ff0TrOFRuCdA0jJhP3(Kg9_7}0kn>57wK zHb(LwqIzqOz!z9Hipw8KCZ&8ZlFviA7jT6mNhCtSNZyMqH5y{7U?d6W*>24tR*up_2fl+iZHWwcXr8SRo>MvqA@qraEj zXAyl@av6PJa$i97lH@XaMRFM(mt00CB$v@|B$v^=mhV)ZB^DQ^dWR;MsyG3@oUzF0F@VOVVe7tSP%?!bj_ z&qubiB5I+|Do#(V5n(d$Je6DN=E^%OiLeqhDgh_8o5FP7C3%7i^08FAe4Rbc+#ZNH zUg`8f$zX0M|E^hFbu>uU6bx#t!Pdz7L(HR2DV6I}&3XexeviJZ5eMR9y{{j;&NVm^bz2 zbQAsslWL%LIn|dS=_D(R8Z=Oo4a!z(6=YXb739{eDp-N&`zORv8T|qmU6JwUu$y9z zY`l|+Z5G_VF_JT_-wa}rK<3TMD4nZiabXUp1`ISz}f)%;Hf3dLD!0<}j|JvUw1cN3ys~)$$8fA3S zCWA2u+3t`HMm1!6LN-`yclkBbF+)1A%RbPDY-_zo5WOl%*Fjepc4FD*ExVeLl<8r_ za_Dndyoa?&7XtgZ8kNQW+cOk~eRnV&wewVNyljaNE?7097Mlz@7_!}ttt|d~Ylhvp z6bc9CuW%=-VuPAr_OGG-fP5#aml8fSt(_lkm0G@HrU$+d)^Me&ttCj;*cB@)4Xhe5 z!4XyUzs{`Jn_(awwyTc=0bQZ&|7xyUHG|1iabi)qs^MC>wfhkGcg;zEiz}qOK4NO+ zhJwL{T(MC6k8*2PsytLxs&fC3WR3G@U^~vkK3wQKqw8_WjAtq@1fxPgFjW$Q(fWXJ z9bw44LR-lKG6A168E_#7cTKMrvL>uSb=UvP9Xdsf;mrFfheQHxGHNH#$J@g1t(xsz*Q;Wno?e(R+kTw z4Qx+=P@N5^{xj0r-H0|x(lxOK@Jo`#=pe4j`gbDdc`HDtuz`YKvsuw65q(pVdl5Y+ z$$g0SOVX7&0@#3!4P9b18JD!f)L#j~Xjecm^$Q^w{W2h!8jocQRWX`?OR8dONC-xw zaYr~MUV{?Ltw0|m3XL~)ho_jvLr8uLyAL+U3$RFDiiR}lntg2iyjtPMz7&wz1`cH z+BCpH?q;+Tmk_Qak3nFe6}X;JKQ4N~((~(XvLR+t*&w@W1)F<^H3h+4dAb5vaKihZXPko*QcEQ>6 zCRP9RkKoO#z%wD_+8tF0Dggw6=q{H#rTqS=%Vb*t0Vb%xz{UG*-y#h@B zl)@|zMg1V-u~=d9?FzGezry5iQkeXIUFVO8%hYDSu4iKZgA4z}o-ngJnwm zVS>W5AU_d!mR~>Xo2&Q*;O7BPQ|-4ap7JXcUIh70;3g%XS3KoQ3NM9x8Tiw_Jo!_K z?*RWcFvp4ZB|l+2{<8t+0`L=oR{=Y|U-2>UHvr?T67WwcJ`4V7;C7#9J0}%y^--97 zSFntWKK%-lA6A&<(+ZP6p)mQk6ehnl*cPPz9SW2Gw!$obRAKUym}!H|mznjZQ81o# zpufa4G3VE3fDsxQx%G}?BB8GYeHMOe#Sqd}Rq%ifF8*Ee3pB9D5rxj+=lEUOS zR^fEu?+xa`8v1Qk@}CF)05I2BH$V3&p6%^dnC)!~wo%b{r^4j-DQwFtO#ZmS{g29asJTQjPxisq$Y1zZ&=y%DDbN5p3Gh{^T=#b>IQiw@YDG zbxdLMClw~&_ec281}VR$3J)tx`MpzpalgHz3X|V`cE}SiR{j4D`a_&XzS}=`D&E$w zF!#p2z#L*HzhCjMf+yB|{b{cK26FPg#|5{3tx)At;5&ioB5u7HR{VPKDPXRT&c1oYQ@@hJn;~BY=92E@H!7a;cPqRd z^7jC9N;vr)il_Weg`a@@BfyPH{#nISexJh6LjF6zTtZ#{4l4ct_!ohjRDEwLp7p(} zFz+4S1Ll(J^qqVmfDPgge3_cVuS5PU;91CU@{1ME`uT1(2@&%)7kbe%i1sP8MUBy%0-dr%o{8|e6 z$jnN4zJ^VL*rP?^RgiD3l3%ZQ*1tjF807B)z6tqGpTml$JYU2nA!0I+{}HgZkGUx1 zDbM$^Nr;#YkRK1M$8(zEDbLrmIr#@6e;%+NpIM6M{A^K}<=Yh|A61xqpTgwVC`>-7 zF!_xNlmCXow*8BdX@jgcOV#@D2=phO0N$O4W~uvwC&3eUSzexxOb*T$4d&g5)Xe|g zdcxPANr;$lpnM1LA!NAmG9M3l>N`zg>YG=XJl}LCLGZbL%>T>&drwtinrsb za1Qb>0$=LOljnQfBt*<-!M_LGhz!<8o^NuK5HSyfKM%Mc8II?R)*Mg$H!IBYI}|4W zgu>*XQkeWvg~=bQ!V51$rVXNBUSXEs!_C+R;rWIphso#p5ZMOd`O+YV$#0!oiT5dg zc^dsEZh;8>1-@hI$5;9rvD6^`);=VNC0IY6KLz|Dlqa5meCL0Y75^%DVve)phbM;R z{|))X8zUiK&%=-n4d%DtU2yY@FFcYU`YaC4{|)R*5S%>UexVm+%H1@J>W)UIQePwd>TFC`0^!55`29WCf}kk?YBu`@_bj31Svm#ekDF}dT6gE z=tE4iID4K{d>eS;R-fmyzjxVvaAmckBC5SbqAwR!Lo>TneuZhjldAoDYqXzM@@&7PFw5^$nEWn<$?pp87aPpx8ujz- zLlPopEBNmLuRw|8?ke>p)7#U8U?+$W2<@xd;hrb2+&MJAnAjt8Q z=Np0?ei8C_0rN=0`fdLde-!+q!0tRrp0CG|5V6mG#{=v2c(LLs-=c8jG@NIFKZShP z9$%&+A!4S0e+Kv##ZO-p^0ar8!mOXK7m*k^j|!Mot*)7C0|b|_4JcPdPMo>iFqeuc>&QJDNOg~^|+!b?9A>VF;dBi8HB{zeqC z0r%TA^nXj$$M#Ms%=Tu@kkWi!6g(cK$Zu3Rga2M!-)5 zK3nmPioX;53}Eh8uKX;;e-`{Dz*7~!RPmd^Uj=-o;`t5@30M!ncLQtv`1%XS?*M-@ zuzudaS5-KE7x>$OCnLk@w@L9&fWHse-LKj4SNu1@KLR{cmFGJjBt*Jrz&mY5dddCsgoycb@b3Xf70+M2 za{T?^R|3yc_8eXw^0X&^g-Algd;#)h;Mb7h^y$AkK)<2;N^UrrBNPGNqJ%_0u|Ju%BmgnC%IZS?QZ-~kBFDWEQefDn$ zY=-F5qV})XpuffNAGbfZ;9FTzzRvuVJTT~hKY{&Tg4Abj4e`$*PrLzfryKLfyq1{0a!(&2vC!o|x5(Pva)+Jj%1mLNR8*{kt{)s=X& z()SFsN4yszPT#Jzq5N6kEr$OFd^+UMArJgZ#fQ&t8mzznf29xg-J|e@HTui1@=A!9 z4)9ZexgAM7Kk$hVTV7%E zO*d5XYZNBG`;(RYA%)5ByQzv-nEVPJ_HB^<4=YUm&>CUsLDcUpg~<=cD|vovQ-X}g z)+)S0&8IHdk66#&YZTuDo>=o;ivI+7Vm%+DivJ{dVpsmri8zY@!`;yvnZLxMui(Ba zd}@}kTosb2&jokhB7r~ZgMOc^;)xy4`uMF^hc`l=cnva~y;`!uGUtBq#5l$QMGWn` zx2k?(DN$#3K>nF3p4jnh|5=51L7rHT?}kf7n&a_R@GiLVXjk^w1HJ=z8id?<^eLY9 zyh&lUpRU5g#_1>Dubg(7Yq7!npho-os`5LO{NWn%TdL&wK6MEV<|j4eAFGm|)D+79 zqK5o8s^puL{5v(|4=H)t=cvM~FupE0dmmOj<&P=+H&yaeTw%m4PkX!X-_nD=0(pn& zPhYPpPkH!4BO-)x=G)+j*8oL;$tM*L7zDQWf~t?ikt+Q2D*R3rKI8PDI-58j7~fZI zl-zMm#@9R?ko2z)QiXW}w@2KM0UxY^czu*5z6<(edmKLIXRN*bCZ{92^ za3=U|z>5~i^LFAd1OGM7YuxgQ9|!&cHeeKhXZv(n75$B4Zx4!FgDZ29|ey8QP}>4!27U1ph+_Y z>-lBCT)(*<5nlnk66XVMd91G$c=aX58jScF;6<0o`GhzQd^7xasxMz6ujKCn{@x_` zjn8iZegHh%XZh{G{W$=H$-rL%UU??gd|>i>fq#wu!)Gmi9{6?`cZ-i-0)FrOu)qHb z+=}*`eSZP`Bb*;NPOR^@zz?84_LumhkbMaHpY7xGfuCuDE@+Va$AKT65st^@z@JBX zM3!e;Z;!_r2~I@$Zs7g?`b&G>0(=(QdZ^PZ(@G(mX7uP2-r?eM3b@J{TuK~C_CBbe+~S%=Z5q7Ow7%DU~lKY=K{}A^LZYy z3ynxDtHL(|pEob`*935@(l-q}8~(!Ys8hf7z%R}S$M-?tH=t7s>L&j%@DYDKW&i#k z@I>5y&h+`O0DG|SQ6E18{36C1)4`ViK5$00|3ly@E3v2h?Y{!t4S#R(<&Oa$QvQ1q zn15Nu`AL6&8~6zDu#fBT_Yzlq6f%DK$-tLDALn1wfXncwCZC@Hd=LByCbs%50Dc|k zw>7>#e+ukEBNEpE--q#UMw<4x5%??c7dL)!;0_Fy^N-ts??PRU|1@w0&allde-H4F zFH^-Cp{~zE6=)cCt4+AHmj*Ry>^BC|W10lZ$xc$sx`<=YI+OP*1qty$yVy+CL&wu)knFxcVjm zFH!b83%CsI*0)Q5w>DaHqP;pZ5BO=Um;HWwZNL-J{}n#|1n_4*F88anM+!KcZ}{~G z;4i{I+<5;Pa7xXuyMS+Bh5gJQpL>8APU4z^`W^s23xhKZ%=#Y&enzb)j{|=m{xa&9 ze;WALSkHI+^3MXVR`M?a-wfY%`kw^;>8x;ke+TSBBNF^>csK057-_bDAu!K75wu7B zE(87=cxS(*zz=|H@#Sv;zT^U98htzj{IWVfDHg^z$@{2XVNeK zIB*92yW7WmfZs%U=MT4_pkB|v3m)HR5B%$&fTIfk3vg>+IDS6^ejVcrH?sZx74Q$B zk8A%OU>6#Z_%O~+&mnOfY1-!k;Bz3K_VJ~_DO_%RmLUB#^vCV5*8o3)_MCr)-=!Cu z-3q=B`YywPgzIMkco-Dd-zk_6p9X&Y?9e~&10IWq>*MEuKZpGdLvG7&2Ywl4VKS@l zGr%`AhWoV{@e-w6q1c=-c!lH4q)8M?#Zq~25E-#p$BNkUp4OEev1J`scdm-Xkd@5E zhSIr#csiD3xmdhBY7)6jKJAsfWb@+7=Psx%6dO!sQ?YoV5Fd+q*-~N53>M-UFP1E4 zGGit;a4Ym+@x>^vm>5cxDvD*|sVtNm&gIrp>%mkZvo2onmZ#EQ78ROwZfFo`lPH$r zg%Tt_;I1uvc)GfA)LrJ=19Ph^s&kQgaYDwdICGG2-gusSoEL9q}Py;3X_ABC*vB~4qr zkn9`7-EMCwUNTv)l=McRU$L0N|GmAP%i=}v`gl5(G{v!EqLgOtSh1~?j(UYue%LF- z(?So$^5t}YDV{DGo9t=3x~<0>@=3DHCof<$rUJ1p~B9a|`G8#{;^-5QJ zrOs>#Ts&RHM9U#FluzYQ^y+Bmb)k}Fm|JRJ-P+R^=8q=wknK<8+u$bUlGiruCDs

Qbxe`p-;}vt|Lc%LDuh%QJm2w@0Laty-Ws>cwVj`E#(&nrtlZ2MES=q`1_l{)~ z!y(t1^wX$nWhw51W#v>lX&djA#!(RM55Wzi1uqXD@&)}&v`}yjwpBF0I-kzPgEoe9 zg;GV1Uw&nLw6elV)Ry+*u7iFFn8C9x_7&pUB3wtt1YVeDcT>46dIr#$9n6_kuz^+<&w$6MRLhhq9POX6eEHETN9*L>9LJe+4-uzhS8AXummTWMp`qSVA(b6+ z*~`XC-m28VK-%lewGG1zzBu#yax1*iO7S40FGmSZ@gdX(pvEP$Qj-?!;Bs;;lWfE`&NQ-ltj;) z7hbVo;roqu#e#V*bF|CL4wZ%}I6uhi%-ZqxibduxFxe41Ecr6c$2m&-F{Yct=`jx~ zA?H?WGFhG7oBEU|lATyIm)Ql#7W_mZRq_<>U6(2)hE)bCgK5J89kie{T@;nN;$u0u z6^Gi&S@RCCgiV#`dr&)*N~cpr53@BHOXSL2NlYSNMmj_1NGD_Ka)l)0q)ik{l7Uz< zGF~Q@$d4JuoD3Fc@+L97HkKGhW$ALUG#@RLScydjg(Z>SAo^nHiB7{q9Z3V zdD|h@Lru(J4wbBn<>RGczk;Duk=C{yfxa+7A~rCXG+l$)W#vJ$yoi0IW3+9g#GLCO zv7D2`l(VTsF3BmI7%9zfUbtZKT+=s@CYlFy#S&9aap>@WES^lpu-C=1=twz_B_@`R zkKum|4%hQiCO_|Tlggu^R1xznUM%IYHcHV#P)Q*lE8E%10`Vcw#F4`d6Dvsp>uLh! zV%VV2T7evW3$JF=P_^OirJYtI5nl3bLM}$&MfLolyF3dLHC${7VB8mj+0mlduf5gCJB4U zfXG0x7%OspvWGROo=^ubpXaHEM>*Im9rH$0rFIe`n9EM<1>GJ_oMqG`2D_VRct zjlSFCM>Lh?5elazOgM~jY&f1xrZEqY(~e`<)mTHgR2O7};1(=S^JRrF7oV9J471o!Q z`*#@PK{zCpy<+M8hvWARlJ83F?O-~N(>T?rtPDMo8>bbVZSc*`qJPqaR}RL?S)5tc znpGoeK3Jzg4jjAIz{NbQ04R#(zliD8+t=E5ZExp|9hmT|v*n_f?CkBS(j7Hv%R{H- z1+QXuIrDaPv~x}mV)I+;VQweALID|wJlTExJ2$&!@$}@BwdV+f_FL&? zu=tD_yJtYNbkXzHiv7@GbQ=1?KcH0A9R%)m`gqp0E&1c)H^iQxfOC98o^PxHWCin; zb-J%?ZNquAfc4PC{LPK4rZc-eYFZ={m`4m8V=ySmf;}TR)5vKcboLcD^zgU^dt+7L zB5TK&-sZ2MFo~>~P^*`FsGDH8L&QpqV%W=^mcgPeV_s!T+?-V{Q8CZ1h}N%)o!Fwx za484x!>Y~Gxry;XOng9UW5e_U-eCJX90wo5Io^TUWlD-E2_|vthnZi)>AG@5VsY%P zYK^JzUplnw9^BoT#oxI!CHJn<7dNmK%cGaHtx@S^<)ZANDNfE0@}3^+Juq9P^RQ3t zslrN}Q0Wi0^T4f;Hl*A7x2g#Pg~`4S}B2F0nUYG>;2>m#FVQO+{jPn@O(Z9P7aT3?Pna6 z&y=yb5bF9PnF!vpVgVw#rMlS=$@SyXHgF5RNdqO_m}H70EXSD-bZW^sv=1~h5VBrT{Rn`y;N zNK7&&nv6@Fs3aMUSp>sONW#EmnMr1nnQoUEF!4=Cl3-vma}lwxEPcOUHEQxM&-eHD zJYS>t-nw;9ovQlPsj5?_PW5Aji$sQD$hAL~n8~%bnTUMn3yu($sAduY4>O4&QDfiT z*HRF2+%a~kXq1~=O&{bU&~I8qA4w)ejVJvc`U@ctLLh`d2!Rj+Ap}AQgb)ZJ5JDh? zKnQ^l0wDxK2!s#_ArL|!gg^*^5CS0tLI{Kq2q6$cAcQ~&fe->A1VRXe5C|aA1VRXe5C|aA1VRXe5C|a)?mN(GMXrVP zsc+FNA|^pp7l#|S%jO(Tx_d<5%9vzQFqAc$rCA(4;~>#}pp-ZJ-(Q4D{r+Q67}PwndBhkzrbvkA_Pn ztH7la>Gc33HIFdTA4f)dNV}WsU>MLdMKe-asrS7j!8+-SpAmH>x}r#6us30=jXODU z#ojVLSMCWfiYP9X2-{$Lx7{l9nL}UFS}Fd|+DSXm6KLMY9fVMMqv6-a6M?Im_Jgz_ zWvG5cC6a!Y2_*HcUy_|{j-HI5-``0_Ua>i6&sTW;s zgygko?7Xc4jPrS$3 zZ)n+LQ;9l}_rw)hFUG~N(&&}Rt@@(UOHXZJCI1yu-7_+8sl;7nX~bP^>Be1SVfL>p zCnjc>>A~Q4+*OD>R?Z!_K<2NNJ<_fRe;(X*;9dppf4kD?%$M|BBTAT$@}O+NCoS<< zJnb{r(#P6e!Sqq$IPlPe$FES+H?B-+jYJ72qlB+rA=CJ;!tmuee0lkbW1U{QnW#O2 zI77@3+b*~uai{+Pysz_&5AsxrQIICy6N{9;nZZiiz%v@*ml1yC3d!QXia-udObZvV(rs5}Nsnn&UeVz>?FTtOUVKWU7OCWC6nzbzAIXgx&NH>hhj5!b#csRER2Up-_C)8`8tv)H z_H;3;V6(xr*i%WqTa1s>!jHhis zdeR*=%UMT?W1#0+Jq~^oZPlV41Q(BsLA?Y#Ei}#>ZKZY0Yte}+Q3&>ywgn}-Y(pM)&|S03b{S8C=S9!_lAX5ycu2p)MrnJy z=Hk7_qs!T8`_`k!{Tq)XXP522Ji;Y+j63H!TNh~EOAlWTV>KD4x&(cwx$RY32Ti+{A0%sDwY^6vQ-1H6yy&3qo#4I6!wA_kVo~31G-cVr!FR1H^JAAEd7A(S!srPp(+fQ2 z6k;X%z}HGN!Mw&;i9{H@cPr6aqSxcQezZr4MwEb85(gPq{7V&~H5n~6V+JGDDZJ#$ zKhf_hP4F7*=bJws&`f@wm zA3_S$X^6iRVP7U2Ee5AC|9?{>wwLFV9PDv@+pWvd*55^2AHkFMImI82^3aj_MU=;K zy*yFg=>M1U=-Ymtx9V!%@%c#(I<^M$_&(a|LLN-sW0ywXb}cL^ky~P%oEQVCRC!{Y z3roVS&5%mgOENnyKJG5KrEsyuneIeOv$jj5BFC}d5?S+0O_qZ>b3ntL>CnWV`C1cu zx>Di@Yn@X>vRKDE?Ir4veHXLQ2d`L+@*lScqvf9=BG+zL3UB9RmT=NY*)Pa~UczY3Oejjd9=}Z>7^b^x>Y*p zUU<<`cDt{v_``wi`X#bJ%6zvJYn^(uM}bG@TvQ}jkE1=V)Po$8|HknnFY^+ zqJm=HnQARWe_`;J<74n;$%!jH^RM!lf^_q)@(>WtLpbkeJQ6&)MY%y9GlM*47U_dL z%A2nA02ynT5A8?PzU(3%7mJI~E0aANcZuB3_+oKS^JW#NE)H{MIT0NM)n!biRvfF3;huc=WVPW{#Jb==k=`{A2kTCoAF{r)6RCc!`bX z2e((ow~xy=LQ|OJaG`Du=&y2+?*uU!oEyP;9!3TwhLKF84<|F3+ODaRmg6&d&n(1q zsf;vX^tFC1$7X7}bkkLyDNWhPn?>FcH1AmxkT*YguwpEKnm3O)qCx4*!fU9&zAzG5%+CNr(f#i%CC=GpF%`uU1C2 zjzd2kLqTq%82p5-5eQJN=kXbgbakitA*;cia!PvR8LyEfRUaXF^j1pYgGIe zg@$;e)--mfXXg)OcSU$pkOY_myG`&cZe?86l|B*%=Orx?xO3U_O4MF9Mv+u!7PpHIoV1^@% zb!@KqSFpCFmxj^8hLP@Ss!w-UnAYWAver^v+f+%%|Cg`NEvG?Gwbb#cjKq#KRvDnd zbyu6NrZOQFT`|y9G!2zKs)wfl*WV*$24v|ta{6vKt#vB6l*cgA*TYjv;+%2l`$?EZ zCfg%|y3Ke`oHMm}qBYgN7o%33bAL0Nsq-Y-lS(E!!>vihPhr&38hKwLzL3l-UcIq8 z|3w>rl8(wv$l;w~0eQZv{H{-xVp_+a%$Ed|>Rv7DGX+DJ$?t{-2bo!YVU-o13>2z} z>D3BB?5Suh9~-q@!8%_Xx37%}W0=wTo@(Z|p|#z^2dmr?7Q_Qq{aBsHXsn=7gJv^m z?i+5b>Qdj@E;y-vb`8(GV82xSnO7-41LX=(?pL#?(;SQdJ&s;K!+ty{sqD^M!bkkj(90Tg=2b8}pN1;2 z?qj*iplqQf!>K)LS{u|9TysJP(*EA-S^3agUdB4Q4oD|KmL)<{7jrUN5 z)9`H<*2eoZBWGTPUQP43mcICEE{}m`D`-}LrV%p;h*bLiqzEE&RPmoz z4#+&Ax*BblycSM6rI5j)O$s!d3yNaqv8Lgq&y*v!!Bg+_D;%92U}tGzQu(5A@Hsfz zc0mh)Qv6l$9KYfDtuayhUihs8< zxk;O)Ykxvu1mb%E@jDb<7VD+C|56E`OrP5nR;@b2+!!w@{AF)mn*#ZzBP5w@Uak0R zmCT9D>)4r!|32lNLGsw8U|Od_Q?3o(D- z*h~c$uTvPy+5+ZbFFX5@yG`L`R!2kg6?P^sbGlb;7lXOX3i8iUFcP*+`$1Vi z%vR}&dy9QyU9yOAjA2mXu%?8%fB7B)rgsiKi2I7*{q`Yh*_sL5Orx8N7(7*@V==5T z93y<$NVv&`csfUvj4-L;;(Db0^N8Y~FucyLO=HAoE|`f?G>J~c&kEdw`-=feSAo!p z5z0#d*Rvx+Dh<>2J~9%X7TK@U-dUvJOJiVV(E`M_2blST-fQ$!&HLKf$w$-D(3-b{vko~d#q;P%y#P&dgW`V^9245f zWVO#MQW<>}?-#s%JxTT?hvI)IkX!dv6DI|@2}QXs#jg!0e&<;+ z-DH>6#E8tUsG>Na;U3ii-)}})ADzW=U6#6zX7mezKThp+1nWE~d3tJ_4B9i%PuvDI zv~psd$r3^M;149Bm9r-x)pb1$w6rfJi7{fs`}HRb_Qblgn(3z}V(p!%S>9gEGFg${ z7}Qt{bhesd8>TgTDd6d6_&n4t#utlkc>(A3Z_fr2nwT3^gJjd7R~JM!9W~cg&G?dYy<~zfPEtp6o?i>8i0>Jl7);qcdJv<@k6>#d{puOc66-VE|lAaa=Y4CTtAgvY8fX!ew_9^pSuRw zb!tfeId@b#x#)F&eSwj*5q~fId+_hq`wzU?Mil?kqc8cwg18(Q>S^dgz0-e_JFL`ACSWd zBXJDdx7Bz+R_Qs3;}|KLVfs8VOkY07QOr4$&mkWi{6+R7Mw{OPLy5oTSql$twY|ibT1&?e$PxND1~^5 z!h@tf~L#;U{A!W3u9ZXG9QLACFu)L3LdES^GloStN)7 zKZbo+IrY|n-_=fSDwdX;iXJ~6@HZ**i*#r^`_PR=kEI6u^`j(pPEo+Wf0Pk#!1EYn z=uw#wXCk~~WPEB4o(D!|;C>n7YBrwlKngf%)(9DVsf_e%RSwwsm{c>q{5JT-JaWW# z>6F&=jI6Z~+`}wWaSyjt;T~aGg}ct;6bntv(P!jHO91_p?7KB7*2C;ykreHT2}e31 zR)-V~Zz{^0Dryk2Kz10S@dS5oinwCyMOy{x{Q=b#cx#28 z2&|n$yrCiB|KNku(cpJAUJE^`1&lq z+>R^$>X#Q=_0Um>v_|HnkB5lK6=Zppyi(Mz0*Xnn*ZFv}6M|(Ixds* zTEuUfi8LP?jSK1KygQa39ch_7#{6`;TZepA zD5Jr(Z%w_NFVNV1u9Ysjwx-`$@Fo^IqK?tBprv%zzRNA@I+dtQuV0kxCDO-Nw5@vK zf{&Q=MkcM&Rk^{qAcgBZ`>QGB>JDOwW=7i!klyjt^$Twvj(<{^)7Y8{hp zmCm~drr+jC>HPb2BE9cccYcQFR`=(@xA%QsJYRQrNKd&>PPa<$xJjB~>UO1VSPQO? zDBo>3xFHQ(HKyIZq3H`f;hlriV?2gamqGg*H>J#XHm=#_8=YR{2~1z&8A1H>ZX)dT zDgM<;b=^)I^OaF}(kDzhv|fw2Wy-p`J+{GfRZhgLsjlOs53W!y)pd>Q;|dzrtgB;k z@AA=@1jjx23?SHu3cknTmz}uK;zDD zFx6DnG}dTM^YFEzuG>YwG;Zide8mQ}$pR!zu1ePpzSq`M9i=*HL9zwCIB96l3B~m4 z`feag6lT7;;NY66DDhALWy;%Q+kcLh3fQ`;>L#{wl5U8+Z}1&l|9aBITR7}D9c-$u zJApc!SQGD~Ef9lmRZdR2ctzi;hW;}>SWlXs_szg)C15N&AJ{GDL*rq+dc1uZct}Om2LmYd_8c9rzD&8)%}q zT?@m^gsw!oxc(am*6jTjqM|uqPms=3Y^Jtd$fFtwkN&}m=-*+A|2swNVx?%J_=tql9QMwiXY#ZF>z@fi#deN0EZjDZGNTS!k%m%pAp~rO8;E-bPe-liEz6AbTI<+?Wp%4G+A}lET2BtHTyuOoCqPqK2#sZ7 zaO}a8)>Fp%gM}=tQutX|J&9xr)tYWqn45mr{QkuK%|Zc_`Ej$VpH*G#q+b>)-{ybY zY&4YF$`;7LpV~gg!=lGD39ThqQ;wuk8!vh(Dae1*$%#63u*aJfb!$PFFeTa=Ii|C2Kjv* z`0xDwd6nO(fJQyW?{Adf!fX8ge4TqZxOW7(tH50c?$5#wFjiJwkayG1c$Z#QT&$0v zkLHP_S#G0kkNv*VLyM=^Ws3yk<>{@=bTj%Jkw^U%%1cF~{sY1LK4sK@yOJX^;@%=g z`ec|F^P2YH>$8dl8i-sv>VK^L1-$=K*^PUB@a|T2VNG$TgEb}lSd5*smDs1q@yrLsKk#kTe=0yr zEREiT@D~Ak|4SgvIWJh^qoeb#miU#?Iaf>k@@QVL#QR5cK>JQ$7Vb@fu@XnamLasJ zA?8RxCH&rJLZ~sonL2tnwAttGujQxR8H;F}38FUGX4Qc`!8W5Uru9q){f+>Oa&HOX zyDP1SU}UXFf8)_su$tE>{_Mc>G7S8ThAl>ImWsDc>WDf53ZBAX5rnXA;LR&p20=TH=Ub} z9Ong!ATi5FXCgc+K<_!2pXwP)F>KJIyX9@ckPab;KjJi_(~EaQUeNxdQ$d{=$izJ% za2j#6M#Um4Z3yt9qjd`6bpbVMuZ+^#Gg8w?R)> zMja^*+{*ur!!_&-_(rjujpr@6v>4aFzQRfi195g(##{*wR$35dDM9= zB<0E&ed}mMkX{A)q^0^C12Eb(OlM`%PS*u=tw8Hb%lR){wBC6wrm>ozhrYgF6Lw~dPGwJU(mf0w^Wi=3 z<+b_1pXT!|F4}g7hevwq>prZ@hvhcEjs&09UOKDhSFv;0d3%Ad?aBITc9^k@&9cNq z?c@pKhm5VajQ!@$lR<4GBGc16=+(DzPJPR+CIc+ju`-jGk{;eQH(mA`f^WOwCuK>; zeqb)=pjyC~O`Te1z^@hKvLlSzO)xsi9zz|;81!^CY-@PV*6&?j7x2d`dWj)@UQ^Iw zi}jf4^E*GjmC1!41oHjMmK|F-GiqT{d5azmf7I4XjCjjW5M2vD9MG7a+WgneTyPx| zs|(*08(y->wNP&Pq?+_wG`u)RwAN*aj41${{=rsLwa?XSXsp_2V_>V4+g__70iL35v7{|ZDOE@!Pk%KA|M-G?KG#nmXE4c;$E9hjP)q~i;BC|C_3v@{T7C% zX$#uQQ0tjGXhw|iFY5P&8t0)dAGr{p`%wk;0{BW{#0#yv<>@)Ql*=%cWKGMzfuCivO<>HS{VEYf(4{GD#ZcSQ=PeY@ow zh28Qz3vJ^QK`Zobd11$HS+p$c)n)O(=2jrF7rM@F+3NmSPUz6~E4g1bGnw0E)_tyX zw>;l*_f|5!(^H$AYdhnGreN7E+g$m*nyK?NQ@4JOm;yrA8OwSx27+H1_-(+*elzmD z39+p4J&$%+C$oJM;_?gj*iM}KqoHZ|az9Cb%VWJf=-O&ica>fq+}vb)x}BTCPJz{O z3OggCAhUp*US9rPxv`?LA{x1@0>71%pXqro$=->a?nF*E1{@Vnl1LxPe!^obV5e79 zFy3OK@^L16J|i)(bS+~T?EikywK@CDb zj`|$YB~x5+4UpX2{mpUiZg;pZLeTnj0=50}XgSsgdzSCIrM8{GZbD^m>C*BAH8l&$ zN5YXdood&S;L~@-mFc@9^+nm@pc)o2|J(cL0j=i7g59!({6{0A-4?Zzu#SNUBDYaKBxxtq#{$37u zm-ZH!3k#!8%@76XSzDBRXqt&0#{6a1!&Q51ohLu-Q>p&llLs$D#a}Rbu)MMA?sAg( zxrgh!&GgBDR%Mx$UC>zGQ%-`pGQqptl3%SBnOvP1?|Gt{q}?EoBaSAj{pp6xP0BQt zhnZD5arvf;J=n!TUrH+=rem9k>CmGFaeA7+sx0ZfJB19BM}>9ecg8R?@9jxoTH7`~ zikXz}gv^J8EQW-vrxK#2ExQ~oTQrKgnJc~8ekKgw z28H%IMo{oO^&MSN_U znXY~6shqh*-We^ADfAc@0%l47A!SP2=Lf9fW* zGBahCSXsr)I$%?sVy3g5WAehL!3&bmeWnEgOA}+B;$bX4PmApb@4tKK*b6>6bO)}Z zbzy5om8puIyUX^SBm5*Y&s45Ko^-~;o&(mp|M94OrU%Vp(7Rv*&6_d5?-`Rv+OIX} z9lVq2WYJ?P(YuFE<}}4%KXojJ7=^r_=cMHr9mPtkHQ4*i7d-h%aq! z?*iz4_2ucU#wVNm?nqhQxwZTkzKSQAxv{->7?)sNRQ&J57NHhdloj=OVnGrMEs%yN znsZOR3ofkp6FI?c!`N0;rTAwmYRKA6=(XQ@Y)KbTVrcWQws+G}lw(E1t&HV3W~Cu; zr~TLgzj~teRg_9hc;%CO3Uw#9%iKYl>f>Qz{?yxD4XM>aL#_BvPj~gFeH?r@?kgWl zYa2d--E~XYqJr%5e~tC}C-`9LG-IW$rZQ5R#EUAYx{C9DHx;>P^*#lbct$+ldby{& zD#i7<%(+cfCu~tCX|8LaQ&?ILRneLFjbR3L`Im=>b%jmULh7Wg;8@BzvCESROZ_hF z3}a8iD!uGR+vVcYR#u22^!A6jJ%@nC4%ERa?R0-vl>t4CNZZ{%JoH@#_HVex4I4aK z4SOa!^Ik9Gi_W~&ds;Rhd=Ge5d6uZvXIQCSZLE4hj&x&w^EKO6d#fL|6|VHYASdp8 zeT%I(EIoSY$pMnN#G73gY1BwJYnYqw$Y+GT;&l5&nbTJ%JTEi8>N{<{QO0+h0@?FB zVXJzcNN+0-CT$nz$`c6t+!r$6L2#zy9G>jn?S*7thF32}i;Qn&VVXA#qeW|fWb{A0 z8FkCl8E1-4AeANeM1pS$_V*P3uZG-(lRU=iQxC;q|1sQq;ZEuulW)-!I_)9%lnX1L7 z#2A^Gmz_D}xf6OWNo{iM!){ngCb@XXom=fTTEw$898FgW>*WX8<`mDJ=1HD+2HlV0 z%!ZqREh-Uxkw}-_kC|1STS}m3-%K*C(l6a%eFdBe`{-%n`lRqap2Zhkiuqh;T;20x zb|%};uvd_$-;;uo9J@l3!}eBwZe7p<3T3?wo2N)e9Xv zB6HY_Js&wn5Hjun#Mz;D9sv42al6SJsMaxxr_%rhc#iR5!Nu-+V%oT&-1XC7D!q?x7s3p zg%$ttKyLMy4-xY{-qo7NPM>)2D|Pjm+^YQ;*FGB1az3kQ%U{}!G zltkMjCB11YRwb0*UC@pW!ny3p=85UCoeL#aGo$)bAo_Fst zIhA;$$eYk#A39n^(w!bFN>L>K;$mSdV3nZYV{IF7~9t7fNd`_C%-8 z_m;sM1U;3{sJiRnYV^~?w)x(b55H%d>+RaIZ_8WNwq7PRtaTeFEb-D{d;d>-3oQ` znLtJ)Z1DJQN$^c>O+aZsm!E)VChcYGD&l?0E;)4?iVW9PA0&4}r~CpOZ>e)lVFML5^@^g()4>s+}IlhgI6+o+Br?CN$A8_(~6V zBwf^D_BkUTz)0|=X62dx)cm%BnQ9ifqY;SzOjc!&?>gGgl6j&*GW7r94B{Q=UU$<%aeGUQJ6(U^i5IX;QW8Nghv__IcZ zR_2B^*-c)J(CFha1|M?MGWXSdjC#?~0gdL279J>()Za@E&M`hZJJ4}zDzwjiLq}Rw zVxCAx@gs6wYj;&*-FKP9li144DlBBYIv-9lh}2ugj@hl(!<)738sT1_T3Cm^ROT^N zRWX9N!l3v!4H0v>SE$A=uL4PXP$PaST7rBZkvWlMF7uwRiWYuo#`xb+Dn&+lYKoGh zhDqR#+PK{5Mc{EZZAw|zfn%M=;erm1bYT7c6}4tqxzXBwl6%Qv^Oq;U@WkU zk>(vTbMQMa`mBf2N3<@GtXNgYK%*OQQO}csVNWYFzYY78v~F|dJ*eB*yez=i$X69% zOhs9{6=QXG)yapj3nL}4hFZon;L-#~=`ooZ^8p+u6LXo@1bacD*YqHhX`{0|!-)~s zXZhQ3;%|D+>$qpOhVyF3n8O3wKT#^k6Q^?wb!HFoq;9d+Tb zcFtDD_C@t=DJ{%S{s>OoO(M_5V-Npkg-agyWn@vAY|^u0SQD%~E@qFGt_)l@*+j~k zm@Ex^8knQRNL!A@8V>6Nc-LUZ3+pwiSv-H7c@8JAFj^34mm7Y6@bKmw+)?I84s!Sk zvyWAJ*3IqL9MSCJk45h)v@?5Spffx(Vrh-uzw1f_ET!+Xs|6yAlaitrd8|#mne}Rp zDE`?2QPQgOn(p%*zzK;sDJI(Kt=3eW&ehn?MC~VO<*7x+i5pjLJWzYCHfsMlS?8X( zdFAED+AkQ-)|`{`I`&}HFGo4lN(T0J%0(-}QA*T)#yIJL)ejta;M@b;{QEoKJ94 ziC>DHG+mb%)MOnSoxX$RRR1|ti%_R}K0rUy_C>J!Yz^&y z?c=mB!8S8T%n|b;T~*NizVezLS*{L0(_OVE(ORfJsp+!{OQ>g5O#tf%tZ1jC`_5N2 z+a`ESkE~EfoT2HLz%n-Ke+sGFklG|!InzdF{}OR|9d>%~Wj?+f_kLeqUX>^QaX`!b zagc0oK|QC7<{7C4RJP&+l~p$swD z`Fw|}=tz6x6-G3-njRrS(EoVjqdq1*$y1cH)YIrZRL->?a+n@jsn(rg_7|gm=c{P_ zB2fn0^_u^L{>o8`{%jx6&m?`}SJ98?3lF0Imp(4y8v3h%e(wl*zq@L0;)=rXlSQJY zZ$au9>c1SlhG}W9ead8CH`VPu6;<$cwS}Lg9E#C?v>Z>4=$jZwsLA(zxua^1*fF3b z9Y0|W>juU87vPs4Y@^Dm^G=HSK3i7%c}KT{o|>brK=FMuv9jkH^5N;Vu-!z7tTz(l zt*lhSQXBu}>ZBW|nF^9?BhJAxdQ5Qg{W=CSmBQ>_ofte7sA_bsbuhV&4)(aKt+s_Z zszUBOZ7*-;Bb+1zZQCScj%QU6PgTE}Szgy0M0CxF^Zm@ABxP=7_M~I{z6Bb9@c#Ph z?uSyb4i&iW8ng3tX8_puIhj3%aMJ@7571Gz`+;(kX>*aOax-H({J?9QzTfoP#_uUtN{29q#X( zZ`qj6SMXrYlg##?`)qjzi@n{VZ1KiCv~g_GnaRsBhLKtlx09 z1bsc=Umak?lB6CFlRmDC*}n=a?U<95Rfo!H8@`!%zK2=?G7*ODh$ERT9%fI#pD;pY z@NYe&@3ypHFW?56&R4x)OGN)g4^dO^&j|Qa&44sh8Tu_&c)z@;&Nbi7!XJ z-<4CT*=6Iqy3rHH`UvJ!ph<|O<+f?58{!lsD*M>b_Psi2Pq{Lco6PYrMAn4muv9ZRK_1ILrVcYS1n z$R1@ef4w-u?&n4IE@EEb<&H(|O0}!OTMym~!JC+8d!w#$PyF(Loa`FbWSwSz6S>y{ z>-P7Nne_d)85Aou=bk_2WLmA?HYQz=Dw6tlh>>kDc zFYH)reMV6oEa_J4d1_lP_GnPrN${+@I_~FF5B}$HGL%e)CHjUBY_VsVV?`o4%0Q)RRbbiX%3C@*$_=LPLpCwP@Gvuj!S_|hZ%VT^82fNGM&G1&qCFx&yRann{*5hjP z+=)G0lmWi;bHfXsv*}Li>g;ta{6u-v{^o=Nck>?GjqQxZjTN`X8&Q|Y{ya{P{guc? zTI1SBOpnCRSM_meZ#J6?_hX1MjMRuEIK4E?lH8!KFIM%=)o;wJWlfu_U-YYku0MJImyFoA@f{7trJ~(e5amGu7%fV=Mo@SKGJQwMf0*$C~f;y6tD{7}$f)x++@t1w;E> zb6ZyiLn~detpdlTt91MJ-Ywb;m1lW!M>AvAcB(Se=q>9uyG;?;jaqx9q?^xp z30^@v@p~H4-0t>{W>|f5n0ZGw_iom}!ZLgN*B9cXUo%<~P6lz%VC*8sXTITs915w|!CL!-c5v`0bUC?3VR(jhmI*@KPZeh}>>^G-c@Nkpt@2WYS@k zRNE^brPwgrZ?;cr*ds=^u4-7;)V<@F%_{lp=hzKTzbmtreRz}VVNs?lr`kO>jcAoF z)SrKJhFzV3odMMJoBCa{QP3jvRXz23Gz$BkF1>tt!&BH>3d_;v?AuuC?3TJK#u^my{#mv@xm zNu-Re*kyzto8#5n;}-9KdRjwlQC!I}+g_1#Z-*@&K8*Dw{b6s6D}3=*Unk^< z?jP@gTv0itd)RbeSqs^E1;6V-`wiWSZ&YnYgCvH*Xn~dO1U=m#3AjMgQywe#vWPW_*TFraq>X$)VpXpDr(n zb02=X5tvqNzlJHXRMw9n`=9eG7c(YJI?g$y#kr_X9$U|uj&07|%$s)0CZTWBZmc2} z7t>vgKKJ=8jawLjhBMt2kC`5$c3}EeQnJn+R~PBXtX)>;+`O)KSDks=vD#C0kIUoT zeYLygbj#y%qPwpKzwcssRpxgdLujgH9qv;snXrL<3xAU7XeWs+%b0oYhGMLZli%uB zMTOUu_C6bxFV+@LJ?l%@DbE%RCzuSJ9n78NV>8Bkcgi=o-|gq4W4!ZR;jW$X9Lr8Q zFIYb7#qf$ZF#qg=b{r?J+jeZ5)<-$qK=e&q!I#ZvdT0v0ae|$;$$0xN+-(xe(l-{Z zGh5(szd=yro+pIkK1awx%Q=k6=fjG=maPX_2htEA5JC5kpMw4K4so1EFm0139><&I z<8P?^PX4nJ=XJW92%xW16tKg;`^oQZd)V|!sX!dVA*)|C}zAT&*XvhFEcn)ht&*)8?5?s&Z% z*|Degz1E4ahV6OuNyDK&5A#{Gw%{+#9nE_-#i{!qEod*XoosIG+r=3$WAtuf`#fw0 zbkTXP%q_<@!`ES3a6E29X>TefB*8{koz;(q= z$lSUb`)RCfyjwYA^=3Dj++_Ka(9|YJl{lUzMJWtJ0GK=}Dl{)A0eMC(c_|+#nMn0uq!bP${A^y#)P+ zO3_ae6#m~N=v0rbh^FFP97bs>$&8SBU9Qa*D&y&}UO^Wev6*fvndhR&5YHs9Q_)zUy`dBy*Wk$H9blAfLG%4sk(O)JTlV!1dMeEk9p(rb|yG4*Xu zTO4CF1!ec7?>Tkkncea%VYfU}Ppy|&Ukc%Lbt&XDEHTV*TN?73&ZN>s+FtWz1Mb32u9D(6)0>A1;CdYX#2G);8Vdhn>n51U`= znb=U?^iO#EWNpiMDyOyjspqg}H@FiUGPe<*0rr``&FhLdCtWF5+Y#H8`BY+)IXBmx zVAS~T#(ABWATO;poKTfTfA89XI@^?yx!-@CrrM`B-Lx2%Gz%@`Jp0(lpYc#0%9T6U8u%=s_;7QW}z4Nbc{o1Hyv$QvHgdJz1uU3wGG7$%j@^nr#E!fS2axBUbW>j zSheX~Is-F;1@;i zu{N%uBY({Q!4U5F<#)AfH>GVlys>*@+UB*J6~B47BVVWwqw?U=_%zVTAj|NPWlV9d z9p7HF+tc}zwl{76Yw|$nOEu(xYM{{BNO&?QhMiLthr3nyRfl@$<`c!|asDFz1J^>D zmh!NaNG+D)8H--r@y{Kll1>L7ZTN-jhFK|cWkkZ-rsWM~O>bewf5N8r8eQg+80Yg1 zD;$QcH~XlbcA+P=m>6|wnv94}71Bf`Xjdk*E^pAb8eNlv6#YHg45KRqoozG*Ta0;einY`Qjgv)xC}16U1v;ih1uC*k{gA5 z4Wdov6E&#GlMTxq&p}U(t=m^4UDdG@Q19`$>VUz6cut^UTwCx??U6C|*u}|pv8BxH z%gxDjgn+MIC-KI1lwLvUuQia?AOra~t;AZtxnP zsl_wbi}QgBYpUF25|_#2Q>Jc8t=QXeXnR7iRv*jb$zHdq`eRv5OpeB<%eOYV8+UYX z@7`g0Jh!2-zP6#eUTZSds!f%(8PE*mwlMoQPaELfrUCy|;Lmxn`)^hJ@$^?muKNX2 zxuEBds9%I_5nY*lv*W4eZSbTMo~GW%H^H;8(s$EhbHfqQW}RQ8Zpg9Sc#FzweEQx7 zYr}0;6;6fBDT!%V+AzaSd^6lN?i(6@C$k;1N@f?sRw3!aG}9tF!-iGC_J0=~mp3>H zZ-Dp6kIiWRY2#cuUiDNZRc$mCVb5!rq|O!12rU~nmzeETqEKT!YOmkt-nT8Mfk{2T zy{5sEYkd4&jIHIH4pSXU_@?$ev{>V&!?hI~dE@zwi6vQp%>`xvU_79EF^CJxG zq~$iQ$YC1xufn-+oQc9u3gX9N(p@dV-@(Gk`&Fr<{+ox#6{U;A{(mZ#rdV)zQ?ay; z_`3pfPgK!e_?|sXb3A5?J2~S2TOhn>#_D1JA3;lV`*o1^BhYe1PS8#qW@cW%TKQ98 zLi3Vak{7APw7OSYCxQBT#g;@*UdU|*dq;DEir&@ybt(~avB`YwI{E|DZ$v8_bfhwC4~Q*O0a5}L+NlDyMO&%V&} zV2du6&M-JuI8>?o91|U^-QaL7)cc6f8Ds zg@O00wvDEfTOw|umKfdC5x+U0!Kkrs#S1p|soa7U&hUa4Y|P1ohv0uSQ=j#3oEMeb z{skPT{V=cqX`Bkq=P1FGD+xGBnLTN`bKVNRPpxHkjliRyIkEu1E;8(Y>IyOc=smoG z*}o6IHT+C^QvL_n^w{8DKweAC)!$`Pd*Drm-(8|>{{&d{bc={DNu;*ARjnrHF>he2%(!BFDyqJtF5!g;yIqw&2|`UQEO9x~aWCPkT5( zIckIcH44sm(%%`NUO{rb$%)^RnWz}17VtOFbIivJM*J_0nkEe!?1h@HF`vv=K~K!z zdo+{A!!{)rYH;sEjc9tVgznA8IoOi8AnvqGv}~@RcOU&N91)m}^Ei{KFP#e{&?`umskcl%zXr-j;nUO#GUhG(9l5?w(qlN4ndFW%}=%u79w z+sZn*X}5I6nHP0_Ex+U@Q|MSW;-5PjHmt5UJY+0=9lxKF?Bsn6{7ElTZ>ibA@lK2O zAJq=4Y7Gw^#%Om6JLaI7rJok0$r~FJNBrZ#XN-pNuEHJ*r5J#%;ZJ>9?Vf^92YzM7 z?#$!@*yu<61ShPA{S~rm(7o2&%FKMF`C`u)N@G}4S&Fu6@EcJ~&UnvC=U!*gMTx`| zoOQ+&7^-3lR^r-M6<*L)wJc$kjeQ++<$N9%_7(K^Ah7GP8oxnGaVfgUa|upJMLvGT zuAu0mmK%HOu0HiwpYd0p30I#|@cGk;tneb7Ky@;?DsLF{MkZH{Hr2L4Ps6U%pqGZW z1;+Llwb$DNxmsNdYkuciSb+=m$&lVD?6USU*aj^09`4s`bRs9-j9=u_jMvXj!>?iK zXXeZLJ8zYBcjn`|Ro3FE#goUAzw?CcU*3n4aQaR6K|dE=+RMdFEZ}gIB?{+G*NC^v z{KNc>Tvj2TawpC@@$?MbWcX9?Gff59D{2bIzBprl&W6)!_A6cqS`qe~GX}ia%@?Jf z3WR_34nXIw*GTUad2UT68Tp>8hdbzA=U0*auXBGCU z(r^_fbiINaarhlj1L~wkoyIxzIX2Y8hB}~q?rgRVdU+|DXKvuKxIRr>WLGkNBa)vh zqUUlML!HJH9;G#+)O=1{K~~de%}E8wGqKS&94swLAby1MWv^L#@BOZt`|r7H?Yg_x z--x$Hm|MRcz;dp^hz>4dwo?!_L`ci&1-9D zXzfPl+M4^;Zu~z!-MzlN)(IMXvfAK_AS4m@_GCibX=LwjW)u9v2%*1|Mcg-G(y#}A zdz1*b5ce}Mwsx#Wyo-?7hw$xD;(l>Y@VB&x`+d@J;csNkLuX0wcecn7aUY3g$g~*@ znSCE)t$URDpCzn)u(opJdK7~GeS_ao#HGb$^+j6?a24VDpK0!KRo(x8)M!(A&Bn0` z{m%rowN;=mb*;V6w&+%3nMO?mBFp<@00mFsFL<3hYsdaMl| z+_<(@xVz^5dxd);B{dHU4i&|mM%q>#rJJ4UthIG zsC2Fc(@pq(|EBxafcZ6ovY!?8T0SVzf6L{LnyO&cu2$wz+b*qAZ*#+z<_HY2PM`V5KRdh5e)-k8|N7eZ&H3(c zzx%%D!VkTD|N8OY|B?DH4qO_%?3b?$4Udcl6oyl2uI^VPB|kceA(dGq`9ks1+fK0MM1MxxyolvLFsj4oW51D27+kn3ZN*(n?>64`omF_5gsZ^_HOJ6Isf*;}U6JUo+zsB*W z(g9^!wJw`pmT4^j^!u{cDvJUwt6DfCt1LaCDyZk+dw$he^uC7S?!OkJ#-3bx8eF9aLYa&(&eITRo>9|As_kldd_{a^+=^GmN5x0S&xvCTjW3JOk3VStRjssl*>~8_+K;N;_9lCcz1e=mZczTb{ixlz zd{p^^YFzo)@>oS##doTA`2(u3{Bc!OepT!twIRMijZ#JSM=IYwszUa!N~=q>(k-zC z5Y(sWOx;&E*OvmGuluW>*46qN{9Ay33-u!WTdbGp>+$afeWSifFVoBMZ>3(PztuPE z)p`y7b+K;M34I$Tb?fy8{M(3scjDhBeK-DX)?4(wdMo~I)A!@wgZd%;F#bJ?e~;@Y z@b4+TUGKoZo%%byOFyIkq@UHh^>g@pLGQueUj1kMy$nx3&|2N7Kh*W~H`>OHQAhBP zTgBxTUIS~TsBu-DtWH)JYkbwIRyV6V{+(_`^x0N7bv2?HVlkFs)=m06YndKloo`)W zU1+V;qpZ=^Dm~U3XN|WeSgR4&WNUQQ6lA>) z-fBB)p?#gb*j{2kXlL1fvMqH7YIe6BwqJtOQu{{xCi`8xK!0sV)c5u`_7VGE_P6$T z`1ig21OByiV)j5MGc?jE*GruC`WYufzv^hU3orZXrAGxC;&Ja^<#X(4-@Uqj&;D&L z?AO1|*)4d|kh9NxoPT0Up^#D=@E61N2EK5uB5;uKM+OcNM*E=*-ZO-61E&z~X5a|n zkp{*+PdHy1IF0ZI1E&+-W#A0L9~l^DV|*->;l&|7^9`IuSfL}&$Ela#d;@0_j(ML( z{CG&s_jQ@IKTh)F(!0wC&l3ISV+4B0$ExE)evCex_?*bVi42^`z=;f;$iRsVoXEh5 z44lZoi42^`z=;f;$iRsVoXEh544lZoi42^`z=;f;$iRsVoXEh544lZoi42^`z=;f; z$iRsV{C}4Ljhi91UZ_-k-0&dxMJPw-<5mQ{3HKcg$Gr;vk2MIG)x0H+whk|cn3cgp ziynru7KrVHo+2$9+N@SaG`NxsD|8lg+E!o&wcwd$WwpDE1)b2-;5$xW(zMyidVr)n z7PRngXk{0T7MVb+Qc(UyN-3U^Q+7D?DDCV8?DXt`W1yww47d|62VaMjbJk+eRA}tX zxyl(xSOrV!2v;(aa3nM$}I*a@j{rV%a)UQ5?5A{-0;NO(Ho%HVA{ zIx`423ihS^Ou|*6iziJ}&MZdNQibW^qX1KQ_*FA=Odw35!0cxUuA|QREE~ym7L|b& z8b3=p*AY?@++qgd1aWVUa+XkIF!)Cnx}I<-SfBKzR1gk6$)Im|0mn3z>g>R`MZ{VC z29AM7_!XpkXyBsJla;gXBw7g0!O>YyRhgl2GiNGi1L3UT%nHzNXX%{a>NwzyG*J+I zuM+SbgiC_eG<_H0Snv$Gw2A5~L!;-6SI$=Epb^}lzO>WvT%@zDHQ0dy?d(Z~v`P7k zG@*i(RJGSc`Wgt%p9u$pH&g9PR1pqV5`Kk6Q-Tc$zgmoAo(ew!Hok(aXUNN8bjV z66GyIk!TZeQ=?U&r$rCom>&HC)-$5}0Y{^^1I~;NhfG%VJjmCJ{s5iX%6gjyK5q{p z>joSGE!lB0aa&+l{SEM}yTI4o^fr8{dOcBIch^Y2YGkSGm!VV-5cErsa5J#diJ_3m z`4fujfto?v%RjmzS3$Bgs8km)^K`WxDbRU(kZzf$2U=Gsl^+JbEBGJ+2Q^^N9}0D< z;8fbUNKZw!;ay<-E-K5Y-xR0xN8cO1Jz3HIvlRFXYo1o+n=;9Ns$5hD_knaRJcP@bY0Yp%k z{4})->PoJ3`Sq6q*bTlfo}vI~xSekezH(qgCq|)ggU<;*st4kWH)_@21U4<#SXD)% znbWjM_(HMIOAGO;KNypLb3+GZo4r2kq@P+s)WS>fP*q|ytL|b(JMbX6+m4nE$ zTSAC!wc-x|oo^|`suCgmh#9PfDp|i3E8t;pKies5DAtEX%ePXqC0;Ox;)N=|7p0tR zO@Emy;~TB2ig_pye2ZF`F3}QeNmcPEXu&tgF}l@h)S|L!!JHuPg$F!AvfJ=82e9Ry z6?K@WLd!iBYB~Q|=KN>5=Rb4Ov)z-PV@_}GIn4~~&Z$MHg@HPd))3zv&Vh|1uu+9? zolt;+GXZ`JAdjR@pWzt#2`G7g#8;SYdcM62zfxww9o(d^_IYH$F_n@AawWhpI&&Kl zSKaUr=MFGBf)U99&>etE-Hv#1(%yyI4>NX>rPBJOrw9 zM#f%#^;WIHb=0TO>n1VU^kJrnO?Bfg7V+x)HZX9ag?q-r>HBv0Y`Vd z8=}ED?CZ|=01Qo^JyYo}9AFh*3r(*5HN4hcuLBqx%lwJ`=2*IH&wLopQNf4{q0l*6TMJEC!WGFIDo`1 z1Sb>4uY&l{&}az%4RjTD&cZRJ3E9mA+=7U7a{??hADJKx-EjCmoUocfXIX=VFD^tl_Fzt@Uz(UGm6EzTU5u28^e^D1hv`7MaM{-~KBFCj>%mdDQ6W59Qkg4p z;O)la@ud@+LCd<66gZUi0DuYr^>&b1oxKNN=L65?DDoEoD(7?BI%gsjm|-aZYOc6{ z4!s`)M((q;FX$CO^VTp|!^qtXG@mn}Bj`^B>_6uhu>U+H{U--MDWUZGRp7IY>%^5w z-RoKU3ovrKV(8O?7NfP~Nh)q9mp=qnc#o@lEJ}+0O*Q)SpYj{tQo~S13+dro)WQ!> z%R2FD(zq~Y)0!B6hjJT$=2JKs$@@Nq#b+`DsroQ1j)ag-oDay1s71kjS`Mgz`3STaHA;T(A9!aGr%)o~#TOB1-ss%adB54hYbJnk|-cc;s} z)#EPraqI5`_Y*i)fXjeybTyTKqX$7bzR;XX3Ydte#1lxlE$k}#D8s^262s;2(ZukS z#PBe2iDA2xJj57SoH10Ou*9&-=SPy;-Qzw}7ngHSDf=fMw>~xhyBOjyuP2zn8lofd zrxU-Yj}pTK8PLnZUJMiP%#uQ#rEJJL+l?w5??t~A1B{6Y3d8z66#buP~(lF$uv#$xYK;x+P&f;A4iouh|K9V z63IuXa=d~MIaq+0Ob*sa4mQI>Q;%yT2Okla9NZ!$^YMs`?)7!TSbyGT-ld=3ewEO>)2WxOj;Mz0{zQOL-%~)*4XRqvsZisRPo;#R}ZH%zIb`16Bi}T^$>GwlI9G)o93z^&Z-^^VX4qFd`k02yIkS)^>I|m zVER2q6wiiKBQYt}E7ff{U()2`Mv}=cfu)Rl*ht1nNj)%siQmLzzlq`|6kO{hAYmpH z-KkF0ua)9mpw1-F;FEwCKIAHF2x0Miy-#WWpZw-F`Z!n$p}D7|zDzVLlIETgbE{}> z927w6WJI$Icy}?fvzelgx ziZBe}PbbzT6?PU=o1j4Y2g6xpl;B04W}QjKUM3Ejg=kK3#auwqoFsW#Y2COvM6`b3 z*ZRSKrnQ1se@;6*8?7qkFopV4oUS-lR3USL(>MZDGC`FbZdAo|Qv0#*3RP7cAfZ1y zt|7$tV|pAo-5_c;Ja*JtqzuN2iSn1#jzPoJiu3QJS(}mZ@LA;?F#~0aLg7?EMOIcHZI6Zg*4V^>!ggyZI zK|WEF;on0{^Ww=R@De&@3?;9mWDcLUjQoN4YD#4yZstxW+9BMO0+wD)!L|@gEeH1$ z4HQ8KO zKi3V##$Rh}q+a7U{!tA>WjIt2y@Hko>TQ7e;YF}cG{Xf+rbIDNQ))WQWHqJLYQb+L z-k2o~emilC{IiAs2yis*WY~6OnD&{DE~DrpCc%&@fa5xWUq*F}J|ar+COehcpGF=L z_x_LxBkvG@O!$uxf0>#LzK^&#@wo8YWg$176Wq>0ZtTbopCh$h-09<}=>0S_=$NTy z3eJXEa_Mxo)d#AF!$iFsC~0tAt)iOfRZ_4Q+Wbg}=>#uCGj|ydYjqw9X0oF}lK3Y2 zdJe?dPDVjkd|hDGBrSEc%N5SGK8}j^prXx5U(Gq-QJUmD5ghvZ225mE7)j!KkjiOA z_RGnF#@F1lD3p%k-ZC_|Z8Bvtl5RHuoQ8*oC9@o-(nyer`apPVg`3HWq57RPc)=Ae z7^xyRkLDVSVm^MX6BmLiiY|xr08vz<;Rc_E+&1*-G%1Q|M$v_k&XhU9X*R>@K#jS7 zr-czdF)sKUu@NG6F2$lL)HYiPK;6amcPT38;MsN@)On)uRBB9!Ml&}246$r6oDc=L zFe#t|>2SAjDS(hF+Q33x8h~P!;EGwOXalXD)wVXZghO+n-C3a4m%})yK<7Le#}W?B z!PA(^`ZQ6W$yX&DnuGnAGNGyx4$Z;-%$FfF;LsdA-5}}+GVG`caLFB-w_*yzp_yx; z{G_8F{2woP(WKs21><+3Sr3LII>Aeq;HPj_?;8m4R;EA1bErO7E^vXWO8n`>cQ}Sc z(r~_mXv&9_94?X-*${|07(evY$)Y(@G?Iqk@!|zq;Kxu?7hzb{PiQ*C&rA5z32yO( z|719n?+>+UWM2Kw~cvH&!l;NGtBHAk*aFNG4QRB9#u zxRnD9n2aBzxX|(|K98YIODgV$k~+~GBbre>O%(6+E9Pc~t5}TD8)|)4-P8zyT4E@7zXrd^U2$F^tdWIhQw}v>))}Q$dDfBWE(Pv^P6P1%p z)$4T_`oc5x^}jVVA3^FSHhu+JEtAxZO&V$<7c!^7P-YR6TxFAIEuWpC&{NGihkK7`l=X@#II%clb0X{F-m}YmRO~3iMl$ z^_24F2a~3^MCr5sG#&LRWs#)2@6}Q|oxz$*F5~IMq*8Oq70&hLzCV~=4r(clJH8x5 zZRU9jWIa#+m{dB&kq+cny772QXVg+!PEVioDdknQ3I9n^y27uNi(++py0ezj_nFf$ z6nUObNGc7>B>Nq|(tcppsWd&{4}TcLKUtI(K(S6N1zaz{R(^7zij;vWT91~;h!Cm` zLLUMS%ei$-;IDv7Fmy|!zZ-$S3R=?;#xJq__)gQrfag~$nP(}0u+PMl4Y>MI- zCVrym6-Y;c_%;01ry+VX5Y0Vd^a3e*2BgD3)6?4}8@=I^ihhJPs(1RtcpYfOc8b^} z5&M|q#{m&TLJ;9fh}9Eq2Sf~MNWG5UT0u4neoQKMQlFfFtswKYMDzvdM|asnV)2Q(aD_}E$??UWP-+FEzEx1# z>nDP|SPSoCal^TUX^d`1T6K2#2#gNI2~H=@qz0h}Yxpepq_mi<_C_X3OeTj<0l$QvsMg!4qw zioyRzyp$yk9>JnO%CGEn2CvUWf@lvCjzX1b%{uXCz$RHoQy3gG`Vxh5pvqe`Z35V2 zASXhhInb4?)hL)!tEG|S)hd<)d^4CeOjT!5L=$cCheXPcq zW;DRc8s<~*WKzK}QSkk56pZsL$QuElHC`;8^eL||y}ZFkNo_@c?h|#CJB&Y_7!6|h zX__~jcoA^s&&6i}PuT@E`hF?-KJlfLH+V_0*J2Ic5ja%(MuS6sM+=#anGh&i3cyyy zvjOYDzsJ#B|CG=nky-;lq%2juky2wei`3=AaO%4bU~x6wn(?$yrzfeupfl*J-V!Pu zF|w~nI@kzYJJcX{cv&fSid6Bbl)5Dglz@G3D9V26NmY4L6(Xxu=15v^qPvQ)7|H`! z|3Q+UmqE%{s{hn)DBnP^w#=Q(_zR&b=rN@ln02_@Az3PiDKwEQ>u?RsIvmgKrOan3 zX9KE%S%>2}T&SvnS%>2Z+$ETGIG$n*A+{g63U-y|>8LZ|gL~b#3_VM3bdbp;?!m!C z;s1C^E)y>3k#PjZIv9*^_qy*ZtWM~WeL(1swx$9>_@=Lt6*!<~f#?XJpa@9_Uro7kuBe8erhy{e3)w*1f%ZihqEn<$k*o^653!V~v{s~BvP1*m+jyy1Ed0j6 zBLh*KQ}h8Sj7@Z2pG8K1b`d%(@9<0I#a|5j>A3j2j&{-}yIkRv`#387WE$%($|32V z;CQ=>am#Rq(2eB`y1Te_HSmo0S+b{;+z33nn0$llYSgQ7@*CI5IdGCRI++Fa;!1Cy zE6BLv3a5{cqr&5;dI2;kg{5x<++zE=1a)?bq@@e0t( z8&%S!J3W_{_zc%}=>{K1rC-2Gxj&gVGX~E^+cj<(PIH(wdAncSIuCe8Q2?<=Ki2KxLPK>w=5wyL+mHJ=D*O$Vf3Fj05DxOG6DMQVVqE@S zTzUj1O(wq=mp>vtm!*CXzK#JOOqUJb40vRV+af>mx%imd=6>;9{MF}3ghK}NUIOz{ z;kM;#uUtJLS2$rGM}=F_bRX0f>I{E6!5cfr4Y};IBeM9Srh0TDuOaeH@i3OWWZQ>^@gW zAdM6Q;g*yM)S_$S`nFqFLxSa>enCd{)cIV{94`G+J+l*#VabCX$FOsoqT^ z_?>r3odVvcej^{dM*PDa?+TEX9JLXoJ0?r)T-wSJuH5J>wCmCCo0}3C*_jpSf;BT! zRrN9*Iu!nZHQPqqWGmN+7eO^{wh=e$RrnIjjYK!WVuYP*wJ~BxI@IOXy~mVz2qkzg zqN_z5dKNU5{x2qMq}=pCFJt)AiPpF*G2V<6ZwA2|Q!^uN`g?`nyL7TWJ85LT7+IGzGGC1BCEl6m4uk)bMz^9k&z?(tMxOvJIo@1b%jh+X z?oJy8+zXDrn7^3F?i8bG@Wn)Srx@)CJlU|na*g<#Llg?6Ic)YBk`kOkG#73)Ch>9` zgj@gFw9m6kD)z9zs@AQ*F`KgCkJ%Pq@wxa}(#2QA#j!~jUlA8?^}D!A4A!(i=HVgF z#W(A6@pae5*2j0T16^!eWuFJ*Q!u=m*@oKg%K0M>O*amZG<6@ki`LP%Ke?)XsvCbK_}i$y4YV_oRW00zqoh@UF2m5UA#gJ z8W-Pl8~m+8Ll&$3E*=1vF7hg(4i}Gr?X|^YE4l%*IM zXOWk$$5wP#p~1z={4T;faq)cN{*SWw3SHdbbFl=o4ddblaj~SKSJ4~9#j}AYEBe_w zT$CF?IO)EtE*E*RS4S2ZL9HzMdh~2o^z%L!d9`d@d|q6fopkYeaq(Wii{r&$?Y6i; zXmIh*b-8$l>*Bu|bfltvF5W{I4?9QE7ED_l4am57SX_K5>EdB=(LM=Tv@i~^Ev7)& ztLO~S*rQJp8eII!?_v?SOw*adJzINz@E$Q&Lv|y`u)Q+NJ{NBWS#v?&b1?vdaj{;Y z52%%J$@J)Y0ru$Obdln8k>?4|MbhZvUeCq+09gJWO&33RT|CA0!|x(B)ZyY14&9x6 zF5Z)Lv6HxXdD6vB;^M=87tez5u`W&(8nW2!SQlx2sc`>ock#UU&MxiPT{t&YDuF+p zI0Xcg#c|@|zNCxe#KqJ`zAS!Shl{+-mJ{IQx?F4_++$so`394$!uK#|yrajf*7uVR ztrmx>;DD*t)#A_?zeB$l^|d?4?Vdx4x*Xb7r$gDaf4u&C3tfE1=i*f$n4CQ$E-pyA z_>8!?-R~mj)5lh8FQK7YpRdcsF~U7IXTNpPcSg=Z5q-$#VmUgraq*D2_M@Zb4e zoFWEmSM(C0A&UjUWBM;U$7bRF_gVA}F@w=IbQhnC6OnY2#V+FF(xi)B#KmX*E=D1I zY(+N{8eHsAmy7*``#*B=WmfbApNpwEo{JO2#REwfCy0y1O?(yoP#rG5=D9efE*HP} z?_KP8%s{P~#pS^&j1_HB4?3|e>Ed#6u`^sU6}?T?nH#l<`* zkWp}#-$ioiVmsmf#znG^=i;rHFX&H#BjIUVi0QG=3i-(ggej+YbG({FCL!4Q> zRScT$G8Z&v@k!6cLw*-qdq(H5N!scZr7jfZ7W#nTn2v z*rP9_ixj7e+)DE*nl!pNLTISyoKPJ-`ZD4Ej|QF7*n(p4yaUgkGfoJ0QKw+|J`MPZ_rtdaY|1X7pEf)I`Jr7>|veX z5tn0CSY~*Toy_2pQD$$g7)SPI_*}yT*W^~5p6TPLa2_jglbFWo0j7%zyzFceQ`InK zDsYoz=Stwo3j9^f)!a}-7sSZDwTwK@DtOLk<;u$e;a2#zL5_WVX90 zlr*xpmJ!aA^gnz?4kV5ILyR0w8u^D9DQM=4J%t7=?aF~-pBckG?(<$5lL#ermd@7>Nyvpc}oG*SNZvD2wf+GVzzY(XtdDm?OY46 zNDkv5jHSqvaV#`@JKP8@qOu~cYN>0hIW@VV zvmN6DH*}OtGH}Nta`u0`q4RwXQZXhDA6B8dWB7JAbfj~PVXzC4aTV%F!OehFH~i@Y zpW`-F!!%%e5T+VTHf!%%5#I(Qlo zX5D9LxxJGvbyOgm4)?>G^l#~0fhO-S#NQ)cD0rv^20Xd**=&=w0iN`pTRX63!F#_C z+#>Ti5tWP9wCSvhr^S_Ca0gE%`^0c^eI#j3M$kZBqCh-o$cZntIr_%3zN{{-L=2^a^ zrae!k!*oF=9&Hg%GcXt!rNhM2*-&cIY4CfApTcyWEBtqe%RMv({}=EKd5(Ix@XK1k zsfL-xsT<+38)>*TXpD5L&~S5Dep)#dWV3~0r6WR#z~%Hv z%U=sDR;C*L68)e&jQI_g|2olU58pik)& zNu>it=~%zg+m5GnNG+wGFxz~Gg4g@%W14F`og+$@L23GnG(AVQZg%*MSP-t$^Le$5 ztYmS%c*;x1%%qX^V&ntA(xJywdS@-AZ&K+_QF;j!>jZB^GoJ4hr75k^TJD$5DR?{J z$wBIQF@m~(&Zjh4BYcZWopC+ylU8Xq8~7n{VT!oGr%jCuhs5Myze%20rMZ3KQ<5~< zU$}Mj{x5yfD(yp_-!swJycZ_~puw2Tl(qEvFqyv3NO3IR3fzp2^@RT}@MIQV@?6Td z5w3f#N^RQVD_B{h#S9%Z6&6VGvS&C;{0JTkE619 zS*Wj0nwSnVxK%%C!f+n*o9GXw#JJF>sJ4kkK8{N3$5wy0q}%Mq6J>cX;Nu`jkpaS3=oq!j&eNO!MbniTivdYCE{i$5B}!=HR7d4osToC!I8$ zj((>Gfhjq7-KQw&)HvbR(J$WcNvpI^81dJVmkyE_8*@>Um#@W{@i1xfV(=B73ygek zjge&J2R*mG^BDroH$H#w_OarH;JF2WA zrnH?Cr&fF_@rTR4q~dmB@{nKg+T$tiSW7Wq_M``j;)6Jc8O0fCUg8Fd;=FcfKwpB* zMDcM^&*Ps!OP;ER)KWZ_9?x*DM2WO4@A79tQt=E?+=Gg_5=q7V!1NTyKuao~TTAg% ztmd_%7=>JqcO@0C6~)u2m~1M(%cq#Mq~i6p6!VQ(dbgyaEzDOzQYRXxd#Tthiq}GM z`Xa`*TXr>`r=hNH1Q`(aBIxUn;6=Zow98nWFIV!szcFd#YccYVq>-=1NOpT)G_!n0 zs3IB7ceRW>%%+%!8GtuOIbq;`~s?p=^F8QJ)AHxED-zv@Y*rl7~m~~5`%@Iuyzcc zIXKqD@F(%8_K44AI*DQNu`zrGPmk1;5buAX_i2T6`$=)z3?jVs$hiHaxH%qn)8)xT zgRcOd?4rFP>@^Fzmuwb0eIBT^#xSMd^%>cdH1e((*_kwA@I!th_Z`p32Yw@2-_Xdn zqK>YZXj-AInrI9s?-by2JJYw){CfaTMw18OI-~i~Zz!!hTW>?mGrgX3bJ9pdS+E^L zBa$+M-{d!P+3}1t4Z^lNkyB}F7)@U>Vj}r5X{4_h*+CcsM-(!HW|H&e2SwPWxv z{YHjCm?@d#riuMwuF!D3@~Y2J?b*m{K90&7fCBo^F$p`Abi;5Obn?~2tFGDE$1at8 z;a8m2nu0H0kOOL0t zaV@1Mu@=toDNTVDre#M7%Fv`2*6VP0s2o~BR&LcwSOWr*$}`XY7jB6@_VTzd)HwF}Unsqh&o zOEg4bL3aQRk0kmmx$hGlN_02TP*UpBGi1r}&1)}SLrfh-FD}gLQ zrXyy>GvyJ`TBADd78+KbUjip!KRFC?DSKSzZjbqfkGaof{>@{)xK*|H64FC@g{^#K;6W4<*C4BdfH9z18)-WELkk>A^bl8uSbXZ zVdK>OG~Xnd&1cIW29wQL7`Z=y@);-yh6iS7IV6z^t|kkORdT=3a1uGg7m#rIPO=vb z7pEP!9GV**LIy|W7dZ4j?QOO|d4Z<8ezM&0Vwx;I59#K`Fh%gSz-z}aS9eDliQx$_ zYsc_4D83kmK=Rla_y%pX9_(5k8^auUx~Qgvc)yU|r%h#5tdgpz1g;a`Ld;afDsl6? z?!aZPW$=Z-lbQTWjZR$sn#L_2+~V^@$x?-Z)oit=PksdHC)6-WphrIT{lhN>9i**`$({Cv4k2LhNL=%Gw zTnl6HHqrbn=7-XVq|D&I_Z!K8aGgdh3uJcyQfXh%NCWFm^tEncWNXrhc?;|VG$Jq4 zGWh#6vd!(Tvucc_Jmq%Rf%eFab;O=OVISnYZ}+sDDO9y2k|Isiu-i3@9zF3b}bM$rX% z_0l|xyc_7k=WZsh5OZcU{J|gVRemD{4r3|Y#fWR($ioJr6CunvOvIbT+>=Rjo5kEG z{)i9z%%QYIEYWWBn@iirnD$weFzu9YwlYTciIFU1+gyAYybJJTS_eV6&L*_KmXV7Y z%{M+HuP2RsBSt1AjTrn7ej|?^&&W}~k-`v9_?b3S#ZL@>I?)8KnrJd@CiWS>V&3Lo zr}w$GzXNPwirU&J?e68>msHwTlzv9<Y$E9(IUm-MffKz;iYPY2e>xF_CKH?CoZ&b7&*Q~*u3vExb5a65#Y+L$a@4BiA2ipw8R+iA zsx;+3d)gadsT`)Dh$`?ud&<*LDOHy8RH@u&PdVfZ)qD1o(q*r1( z>&{8vL6ve&%ExK=*;tM_sd_tQRp$Z1d2t@i+w7fh;fy7})Z)G7 z-n{P3;SaW0rI(q)PoaHU<{kIWtI%p^BA+Ut?d1zOSfW56R^!I@pw<*u$LTwN`X(UoA+@=o8{rdfoE-lGCDdC&6%#j zKfLSZWR=T>c$&`TyPYI0djK$%mx}1Xz-$%nR;IFEamhCsvNK2Zj;pMY<1iS)!^F7<0&wnUfIq{Pk`y@6_zXCg3t%vT zG5|9HlwE@&Z1amzpqz42>RPZWJXR%3RkB2!F_Vm85)TmScC>OcXb(X?`?_OX73rlQ zrct`Byc`Oi7YBDbxgCTUCrW$Mc*rqbF ztd}13D%f#W-Ch{m0;Bpay*`W{&s#NGV!fmnX%Fyyi4`7NpVRk`QLq_bsRV^t9U;g8 z=H(z0`P%^|s}}?gLg0`H;1WBAd}H+KhJRTD-O}kWg3`h~M&tsBaByuRB4GY%%Fk!{ z@nCY4<-!?9+DmYxax$}LgUKxkGW=H217AbPIhp89QVt~mXQHRO@ zSS@AsAjJw8AX2}rfLz|beLB=+H33i!;CiDESKCZEk8aB9;Y@{*3SSDpNmGOh%Vx!2 zjxtFZJom}=JrPr*7(&y}>zfu-r--hfhk!FEl_+}R-0liYbOkhKZ` zUE;H(%mjvP+yk8~LWgt*`cnWmyPa8Co)+7&Q|09lY=+;e%F9;SYoX&97gbAku>=^1 zLFqj@i>ryu(i|~V%_wW12(uPKf@hDLM1mV%a6`szE>_AYu+bIaL#HTiN499l=ng7t zD>xiqo)?Ze^X+wu<-XyS|JW`6ja$B3-%8p@*{rg@bXk0@B0V$bu8u0}CorfvCJfP> z)c}Ff)s9BkbrouItH7bFxouq_tA{H)-pJY!g6 zcMI69{|dlXjh^HS~VkAgbUKUr0DSe?U-9u$@^^Vf>ekT7?ER#&57lMwC(qsyaIqDwbj)gk zoJoA!JbTa5o+sh6cht3)Z6WuxC$Vw9k(B_8wc8WQP+z!nmMx+;W^yj$e3v1koRzW~ zW4z0mTdPPPl^cY1Q^$PUxNaeJTnXYwFp1yXL$@+@+*0cJ9e8UdtgQsk>hINgYm2gf z18%0M?L^a?1I&rLy-1gz#S`}|On2K`=R(?AKCDQ7*s8ttDFTziV`j}$xG|Fr<~oSy z4udZ;dmRmcQFlNpldbd=l{FLe!;l{2(z`KHS0GIvxdkTs3Ro#OsH{U^Fr!xr!;CIp zyINKstA%Ny@V!3a642<%PD9i1q6tX!;+8?M|3u>Bc0 zy#;UJRKSSEseuR8o=+wR*Ki6^!&2=v3*5okiH~{;--#OPZ*e{#WYwN8$O&G>^J$Ho zf#`zj$an08CR#+=bEhaUfoI7YiMk-wo`Yjx22b9?SDm;m5twce^%cBu)E4;dE=^p8 z>~d*h1J3{a1RA0(uL05O(GsZldioWloY{jE_ZMc(>HuCb&-I4nTj~QdAYyT?;6Hyo z{Y>Z%28x2)P%4o%jnc#UY^NnGQ$Zo1bzUO6gObBJZtuK?D)W0J;np zWnV+wdorg0w2h$gL6$T%Ff)c#g*RBZcL_LYD5evSBZl>Ca&5qt6^D z*vRw>obf$uthtG_-#GBnA&{|~1^W{n3N+Htb%L#4jKSN_Ja4Y;aTCU40FB%W>+xzx}#U!f_QjU=6mFw4&D#o@wtf_auEKZVhs|kO%40eY}z2^#<>h+C?UUd@jgC+#-BRj1zTu5uFB}Y?WN&3h@Rv zJZ>eA$a_N9c|uPBxDuLfGeWosfhrDjnTNqy2M&s&3Th0ftdE)E71NR8M#bJ!1AHZo zsftz1{bc68HMq>xkFEp$Ty+MIxhlXPEyz>-u6JqGVDhIt@PM56K&%N43*WST=LiU3 zTtQ>uYt_>x0^Kk2UKUJioeE|>wpRRPQZ~oFz-D<5d-e{(GiB#HXFT9wN4B(FcUWKp z%|ig7%uhqZ?`N^87)m~0#t~k{_8|oM*=Cjh_W&C5Y6felQo4Y@vXZifFheyQ_8^tt zBnZweY!yEFLU)j1f&9-|_DZ%3pF}=?Aui=Awg^9!zbKY+EgOVTRRMomB;_{hVM{Qg zEor!jV`-$4RaBtSsZvK?32+UCk_T1nhzI-)x0I_NNh%-&9`zJ(FDYd)%QElAfJl;L zeo852IpJejGQK%#5awm>pw|oSjDy}DchFmR68ilA>aU7E1Q&Iq*=Qu?@JJ_Kz%ein zXar^hR|3c)a18)HjTBf2pp*b#=GTzGjR2Yf2;K~!Edcz~GL`ls4*#K4bR~dxVu1|+ zdJwo1Kpz740O0#!gAV}UbW?@uqoh?4nt?C7Jk$zbPI)LDUxD&aCwv9Z3kC2M`h93D zzQX09AJA0oiV$C%=~RSj^o88*}Vk(=H=jbL;T%-8x= zbDUb%qL;d7kl+54xs?GmR-4f%=bdxRIyg!^je_nENgn4XPwb1ILcw5wOR2%8(4>R= z;jR_@21h%%1xH6&zYw{C9Z|y8U6`pDQ0&^`7=8liA7CrI13-enZUA=xP?7{a`ZeI4 zr2rS9Q(lBZJ&s_&?trOB8l&(== zmb-ikQwq=0O!bPRZp=yCD=DfmE;y zrI-v?YCVfqG6h25-WbY0MA6O+-$%`7Cw7( zsk2AnCxn&*?yclq(Nz#nc>?&U${I?+t2l{_%p~|1tyBL-12Zgr9^}%b70<8;t8_VY zq~1dQ^_J$O%kZx^{M28_*<#5p8HTgP<$O)fc1v$dmf7xd7LZdFz`~QKttueaQX>t( z8SY?Z#N!Ni3}+(Cq+6Ol4sGnDyV`DG5GetjhsI+#DXzATEVESW&PmQvi7-Pt=2FdM zsptllzg=#Gkk>e-t%wPM=}UXVFa=(P$+O-lGMQtrw{oYHL5j%D`hk)^YxWt_EAN5T zdauz1b5{kVv)OI*!TFHEe{{1PHe&rf8*u`ZOd;m7f#X5Ntw~Diet`N*OaG9Jh_a^82#>|~kCZQVP`x!f&NpZQpZ;!=3;PMCqBRgBww0Wo>av3gD}9buJ=HsD}yi5 z`51r-zX0uvYK#CU17ID*c)iffDt-wtU$j`vE>}w6FaR!o7k}*m4jgI+iYj3PVdF1kSzh?>e zBLsF0xKihp`gU^pYa>%>Vqww*EAD1sI9Drd&Av{t5KP=mv9+#P@m2tx#U)nSPGC(a z-%K|NK%P(IJp%dqY|qbwIgnvpV;7DC{stI@=K?rHpg(~0!PxksNa+k<9f09ez+0yd zqAV&}>6V($s}|l4+BxJGj06+}3nQu0?e%zar-C*~xZMDifD4^UpQ2Zh+aI*c!Huz| zVqi*|aD`I9)>R2YC8bZ({P~E&A)ws^>Eb3}F!^O|0SpDO5A1TRx6i@mwwx1-ySXBG zbv{b<2G*XMdg}&gMTHlEww;>#xnjj*0nCM_ikSeYQq&>z0=C%&AY$r|pJ>phvHW7t z_Otv_Fs_EQD&Wwv3>em5@UvBV3dK@T{yU1T0^?Q@<9N0Xm;^dlFQM3W&~k@R2}hxq zz?H5%U+*Tj1!#@HE#xmzp9-Mz4M=Ph7cpnK3^N&6xCgY~L!ja@7eFF(H)MM_^zsL= zWdIMUcwaPhz5f?b0`{xJFrj6)il?Ab^+z1&1NJ4*c+$fVvq!{H_#{pmoP|>fa$4Xl zBG|}GOdDrGE*NLRnsf!(94Mfgeg#&bk}8;3!{K1pL`ImCCX?NW?4}RHx;gbTNi~wH z(GGBel~tTCIoCE-B8V`N54ef^D--#@dI82O9NnrH z;zEN(${b$E=&q+H32Flu!PKiYPOSouP91ceYJ<7*Z)?}efI_Q94`_9-VBFam`d}Ti zv;U1Lne_lw%VodCdw}dBncA6~e=OeoW0#6`bJvfx7vfG&dKhSFIRlrZeoEdC{qceqYPLQ*0XDZ=>U?+@C&NRX$!E5Q-MTBF)9|=z< zTp7F#M`s4%M!~+6pGmkXbn&EV%9+KeTBdd24`_twPM3iMQz$U|0Ks+CIiF=C zna-jfV1>rdLRTlGB)G*AR?w!ACDa%U{*i^QCmagaCw(augo95q=o=2=n5I&l5Abch zK+8G4+XM>%to&Cch zoN*9zmGM0eIwK5CR>n4v?F?SlI2q${3}kS&5X{&FnNS8#L*b0K0jKZ*Q>>>p0XH?H z3iPy$130EU%3#;8m%&*?wzA%)fzRK=FEY~r8uG=p}*P6`WRn*xxU44lmiq4`?j z)*wtPz{r%!H|L>`J^U(VeMvQgSAb%N164p$9j=OH^+36Ft((T+dB)dJsWQ^b=-67o zR>o}@o#5DK_|h$ZMS)0Ix9JDj;8O5)JDOKPj;*@A8QQF#EPirR$OMKty5pq)*vNEe zwh$G)0l4n+D)P}1Kf3xVwR9Z{TD>Zki`ZOwlwT6g?n$i?n`*N!tYPL<6HXI4qF~^; zZ_&SZEyBG~3TeVPmmR>|=yw!;*BTDW&>@)6;$FG;L~c2-0{%1r#y*vQ;f0srr+2I+ zfcJp`mD6ech(&j11B3yn97&ZGhC`JppV7!pT9m7w)w~F%8*+@!9y^*{1J1 zKxEav1$9|0Rk8q%9EQmbKy-pjmG%DsQct+hfIoye7C?PYgxAoiQZ9VyX_YZ=rG)dU z*wf7Jbao?sE@y$@o|c819l*o1O}8x9g97$DD33`{z{Vm(OjY!N&~ylaXN4~o#(T7& zDtV^VSH}SkhD;8=bt29arCveeL=ZVt>coA}UpNiuLg*>H2EcLvs^PN;M+Yo+rDY)Y z0!=5rhHxJH3OGz=DLgG8n+8mZ!cx86z<`pjVCjYyE$#!@72i5BC*&z_Zf1yBLVVoDO4*D?9Ac#(FeN=7MO6loJ^!Ygh##eXeyC z;2iKeYq~Hc#dG0Yap63e$YWQBBzZ$d0GF$>bFG=cUjkm#kuDCGj2tCClK2SGeDe8T z2It5CsG$y>Kk2V-I zhBwrsAq^v3+V4D?qsqp+w5vQ?P?aroX)8S%yt>(?-R;q!Z=Flq?$My{9+&p2M}xk{ zTpEA+mFb=xR%LIywC_9`Y<%j{QjtiJgN@H!TB%2ajiWA&zc?#$un{ab@t)z)U?az+ z4fkjfby91WCgo7osq(UcREJ|hDHoCTBLgAwC3{!mEnJDju3~LJ#%3|;0-zrdeVpUa zVW5Q*A)G*M6;1(g2Y|Af0QeBvK4Udtf5nbgc8N>oZR0Q=uyfe*3oi$GJB#sW8lDG` z6(PSG3z#B>i_DlRTjmySBuo_koP`^$1^J&Wyus!3u5l@L3i)N5Trz(jOvqh@ywxSo z^~j@z{2ItVLE9Q3SKG56aHRbyxvabd`VP1S@AnFd8)b(;rW?;1GS^-UzXpwO(=Cfs zUL+1!&fQ4V&= zr+MTR45#oskl#ZOC>!bW$9a5A17m3UF8Okge5+((I>+k?w`_qc@;6Te3%Jxk5pxf3*-}^J7f<93Bf|TN%2t5MgyiCy8^w$Vs%(u* zuJXw3B+LyUpUgzxQrWhA77|sEYY#a!>iK zxwS_&wN?%?Yi*E_e^YB!E_=4e{%x(bcSTlvBEPM*uCB-|18X8t@U-u zAA4j|Yt*e4P?gOEnRT~F$jQ39+$FE`$WnJ@OF;e|+WC`0 z_7Z0?q*ZQ-*Sr#@53U87+5fvC>-MT_BS=gb-y4W1S(B=4n_E1J^NxgWYJHbW<{dgh zE@DOscZ1BAd6&K7@_ECK@J+|u2eNd`_W;n=c#m&7=BIA4D?GBXcGxAa^2qHa|6jXG z?)At<$x&DOPab)I_@)|SdlDuag#jc0sK)&`3p$S%HUT>glhVeEWLm@v5;IF}JR}B* z3wW6nu&0M0aW5rC^7j41@gjFL@WXn<>?AxEI1={RU} zqMGyMaS*8DMRk=xTi^~({J#S=Yd^qdKf*$|Bj>eMJh4|Tr$BQqCj}QHKGpnX4Lbt} zw&2QNsJulPDFd60hIV~6PvBBgH9wW~vpD5#`5_EC|Dyd*$d`lPJ}2b?H;NW~q-5f;>t0fl_L$Zg+A-t*&3rQ#~r^X=u zJ;m8@jVrvoS1q|r8Iom6Hl>;}Rh-SL=3J%>$ueb&R>1Y37F;+>sZ>89LPsqJ+g&(& z8Yg_NQT1jyeE!-37p#K-{O@e7yX%sdLE(R}E-Ckk*>azl#T&%zGe@IfU_5;6=d}0) zaBx={TyHfUpbFjsy3?9uC&(w@oHo30>#ahk;p<=c3$MnZCoa5MsO=*_tg|5(=$eX% zLz{sY0}v^#tb=6rRG_5P12{3T(D@gT2=BNET<1_!MYfdzS>mv)6WLGXdO?DbHyZ)D zQHq2k(^+PjAZe-Gdkd@(vCPz|vu3HlNqa z^e@sBi@3wDAB=;15Skvqw@&oOm}FdfkS?i_Xl`u*9D>9$N<3ELf%UtAUIu~sX98#k zAo@9^?tn*`>LjJM0eAr%CL)5(sLTk6eDdZ!L)5MC1Lz>V%>oVF0{qP?DS)?lM;n6p z0l3lT01gmn4}iJPtOh_`4dE~3G0BLY2NHEfFYu(tyVB8l0R9OUKIv~U123!rWh_vg zNI(PA{36rbdn79U4yJA|!=DT^b00*R<`u>iQ(f-30iD5Yz5A8{sFkmiMhAOu~o*{oda7P4J-K$Z-xr- zkPlS)J|rHc#5N}cQY=ikNq{{e_7Zj03rfqK>4Pibs!K2DjiaH)6~97dxRTD6AE4xp z%Im1;dCdkJryr`Ew~2c9ZdeHDt6@ zzEA4l04pd?FI9N~+zC!9KMjoF`Q^O7FjQ4uOl)c+Zb_#sk4qC&uR_{UyBpxB^DShz z1xgNw=hIWD2QpH8}-v@Z7DdenQ=2B>_$6IUIvG2$A68kw9f3s_HzTTY!cv46uI&qQXuUjw$9&GIdK2 zhSaAt8PfTc?p_eC()f9qAvu9b=<)U=1%R^|l4G2-9{_CS^&!RV7ddV`H4jHS?j6dT zq1Y-OErkQEV9++tNiQ_&o8z=Tfgw4i@J)N-GII(9CHXA4QOu}a4#Gb{duyH~U*8oi60i6K} zrj(68WzXKkBR5X$`J_fN?L`jK!ZmICIzqB>VlQS8j%=LROQ_LooY>bBHXA4QQYtVT zC-x0nV2m3l>+x;Bz{BcCam2=necSakz>O1oU4#~7nAPV7xoZ#GWst;_*8PN+{dPV8;DU`ML#M;rugym-`jF*Ob^ zAETyB*`~1{C!8bOH1-pO3qoTj%~bZ2v``WnKTX+B5sU>J;iO>iph#tC3h95Ob{d`p zT3W9@)yn=8Zz~KGXnW5D@MM$Bevz?ZPa6Hp-fL3)8VL5E2?v9`kHzL2ijdYy!mqGs zO0WUpS1-mf5BCd$O)#DHn`ZDu9{#JcW8m9w=7N%;?fuy>6+H-&ihhrSj>>jXRJMzv zpTI*WD%(ZTc@PXn**ro~*)EE{4LBu=3vU&P%63sywu_>&T@;n=qNr>aMP<7vD%(X- z4iojFKR|P~vbkMke=hqpd}D!=$=EWqlRc1b$r}rz^2UOwys;oEZ!CzKHx}4@V}VoH zn7QJmWT4S_fSG$L*f7-?_Rh1%EaEZri2 zCL#}CufyYzfH@fhL+CQGS!Z#CEX2b^>n*mNLY$O0SnW{`cG}^qDAnnVuVUt<XSlwIlu){M3sCNhs+#Pev(&yu9R;ekL6u#%1fbn zZlU2U)G?Jg(<{6}olHRnnY-FN+5KUlimZgr7Mj+~9SH>sO8Xi&1ZH z823ikfRdRvjRZ5iPy9m7ar`Lh&Cm-S3H`K?8+wr=UWo2udE&_Aw?yDVDle6@W+7T; z%#k)Bv6YD;mH!X=-!w&Up~kTgs!9=X{IrXdZkF-@$c5POXqh4n3(>d`6t_y@&`@}> z(rr>W3>9)@0?eTaFxpRBp)&xGQXP&OCCh2>LD@Re5zGNhgMQF7VrkGWEDid>WP^Ul zG)tt#h0yFC7BxssL+Jb=B&nGzIuXc^AqiyeMQA=h$pb*9Df}vOg-kGJ6v%8L?jY6D z0Sgh83tco5oya!SS#zd(uqx#pjCvT>#nG)XG0DTXPVn}VnDm}u;^v=6VlK)abe;$v z28vg}F!JqEy+tUA@d+jQw)Pl(*&&tPXB1|2Qk#Di!QTT{rBATrw?Q_*)+pIPN^(0T zCcW=F>3z3Dv`k$6K_ovVjtcoP$g0a6O-03Y{^tmeY9JJ~7Da2JsQesqeu)t70nxJA z$e>Q$0Q7P}an)qd1W~jIE0t>BASNlcQ>pbc;HYVqOLaEkSj;w3I+og7rm=#qf!+3? z=|o0^0dUA{mB!($e2kll)@fv&1GG#!P`fmCAhOG(?Y0+1OMq8wg|-j7i%nK#<$poX z6QE^^1-_#_&bOxNfpIHtUI>yN z6u%5#!5?^B-)IF%XE*MSFA77u(kBIXQo0&6dq&avCLW{F1)$WMQM^ire=X`zIipB` zGRzN~viv@;eB)q4mhBEVns~&MSoRz`2G=->9)PrIG~+SDjlT~P0y>DVd60^~4j}jg zkLw$AkfwN;&UFa(fPBNpLAw=#4etf;GW9m4*bz^xV^BnX@&&Wip!K`uH2(qg=_8!T?ZB6Wd4yyb1Dk zNH-h^ATS=~M*uhjK$G(z*$}3LH69cZzRk}P;q9PQT>xSFw*X3Ekeffvr-7E=!x!dk z33EUF{CjJ z2L(^N?}9Lg9lqyX)T{?x6h8`}`5v%22r?(LuhDS)W>A=yUMPS{K&A%K|Je|J%rE>Y zl$Q34qYekBva22ja{+o4eljh~8zP3Ja){UiL$R40w$4dqU;QuO<+riqP&rLDN5p00 zr()1JPw*>=PZyM@prb@()EF+KM$sgUMZ8f;k4S9*!xs^6R0;fiIkRpfzP2KXe?fd= z!zA8%GVta#^7DuvslneOeoGDB;&*tCW;9M9=ch6C#Imkj9`>(*EofXbpA~k0AwmOM!Om1D~S6 z{1g;OPvb6&xHJvy_9Tk%0uiqIsWEB~v~&#eUdOL!fRyn>P}L1UC-lfBhRYGB>KxG6 z7kdf~+oW#uubKh|`^r#P7+tz*i7PzA2+P1Fou%pxS9+n5wrB5yI1@${w|d0aKwRn) zAMl9pgLnW$cEi0w#Ct_>x4Tiy85(tbXv8i2!cd_x-UiO9s_Gv<=iZq+civwZhG7_Cm>Gr_$Kh>61O!4PRKj~_?hJ@T zh-6q~2m_KLAfXwNf})X5ULrD7GQ*d66pajx%#75>hs=!3r^w9649!UX-|t#ypR>;} zBWT+5d!B!M*t6DNYwfkyUVH7=bMLwQ;Pq2LWCZZFWqH)~`{*}Ojdazp&!A4jknWlS z3Yy&xVK$pjT}*>_dbFQfw3j^EZ!B8QNm}-efYwk6nm51YFLs92<{Wk_+MMc|A(*^! zIL23Ga`LK!*!9w0zIn5XTicbH+!5Xfv#LJB5q@PR9rb(U`^p;@R!e@s0!$XN1=IW_ zJCXH3o+a{CAg3<$x@2jCHv_E79tGXr zR-`;V$S|}^Anp}dWeR*Q`%^)Ivh*OskOB*&K>af?Jb^*A9Fl04JH)A3g7WMJr-#FN ze>uMW1g4(!mRl#i<+1~IYX1cPT#GUpSdrZJKbE4|4jQll71ZSdd7VfPAXhHJGG7B^ za0CAI=c^9;W2qXn8HEp^J`tJ(iWK`R5h}U}W7n7I&+_+x(w{nBBSJmBm!R?7^o$(r zZ4pn&;G4Z<Dl>5F#Yw~FS8PFQ$f#yYbR-1!Q6)~<6*$J*sg%Y6GL*#y1)qbEmLz!pH zqD*d)aTfDV55*$+5#$ltj$10(E1A9c0-T35{|NJof_!u-^0LG3E<@-f={w3y(;v=Y)6a(qdT{!l9DQ$O{w|i>CHa?;*S7sYG!6bNeX$f< zlHJ1pIP%igN99C~w$Ar#T8Wxym)MNGQ<#PJH-9P=_AtTD^vx#NzmfqQpDk3tN_@YLc;Jzah z+!i#Y`p=A)?U`_Z^9Y+N6W)Ci1|^r72~P8;Auki${nE|%FpG2Jj!aC*&d-n=NM}zP z>dpE8mHDk67y`cp?I4(SKLc_qCepe`fqVqWAS2rU_n^pivonwz=Zm2NU4_pOXYx%x zva{!n>mbAEu*JU*yoNRu^0xS#UJ+<-d44ZNv+W>U4Bj9}b8Z>n@kkpB8mk}U%RBWm zK;tCcDl|^uCKPY-IP5f^>h#%0e;$r=Ww{p;DK?}1Pbe*p|3{oF%QLW7W6a5>V0@Vu zQ*Jg_4!5O|A4zhf*^qPG)|$Og#KpM&Wgv`(7Xk|#4uQrAgLa`6PUVm~6#7VvJ?fs` z6O$P~tK?3N5!YL|bL75^yVWK+^Yix4!+7D;9J>f*doK1-9MSnZyS+&6vxW_vBn^xY z!$V7ntdYIE27Xu?I0g;U2A(B?^O)xZakgBftY;S5r^vO*PUdj6GF5W%E0B{*70uT% zFSiw%UxNI}^k+RP--z9vds&=cA+5`Ug*(8kbAUVxWCXeW%aMB>x&GBaPF@bA9>~Xl z3_b;jY*Vg*svNqjH8z#1c&b_si9v=TOWY<_J>pm}bxhb$4>=7i2@C7R< zT=O^tKZW(M=6Mf6jrSu0s)yqe7y|C{_I^lYro!d4fOMDp7DClaok^KkA)>YFTic8hvK&H1?#r& z1-fdDbf6eZO>g!$r?Gs?uJq6?{AUaW3HF^_ueoiaJI8pDS7$@qYtATK$ z9tUKQ5tWI$2^6ScO-(0z1E(?P3RBbPS&}t9M7etY9wc{!>%eDt4RspEpcF|GZIBsB ze=&d`^kjJKl53j!t*~(_hUrg*BVU4TcoH;T*qy>_w#emBQ0a1pTc}gsh~8$(TNL9B zvrc(!{*-sAKjnECr@W=Xl&7du%RA>iu(Z@=;LPoVR`H|z(a*!(!+;DXG8V{XM9u{A zB_hp0?j-V2Aa4-42uR`@tbKJroRb-XPeE@Hn&1wI^D%MV5zxL0k-EPC*#l$*x&3p| zl;0xPzdMl1F97KSWHgY$gMi3XG-L!ENL81|VpPSsYX&4nkSJ5pb;#j6-<-EvA)KJ_ zO1($Chk_)C;F}_dK6?e`ao#us3c0K-#RPUUigMa$xEn}lu2>B1J$kSQcE;RaqloTR z9@2+0a#R1XTs)V{z5n-gAzJkDOR(kAzTgP^L5xLtvHk%VERJ&>s zM*U;@ut(O7Kclo9W3!yfd;7tdL$djCM^||h*Bi3u>HTB!-jpqiUi1H5>Ia*Lu?1;qfz#*G8Ytf& z3*_@~Dqb9iK-&XH%2weB}cE?Q!01ZOluPzZ&N_dK~$Iyf)`|!4NX& zz4-RNh&bMq{}RkP-kkq~2yfDh)?(f;qH>8&id>>orl9~@N}&cjX1p-p-}tVd0?h+C z+A1M%Evwlb|57!S-U!+psHpn_kei8I0py27E&=j7kU^%*fUkiPSwFG=Ho&Yeq2Bv} zR1nz(@irJ7z8TO>+NH3na%3=Sam_A*m_ax?CV@2%jdA}g{vfTH586Yh zpl&vhy+l$#UL`UahYUp9ko%O9i*fY0!%q5^b0UGDi?+Pv0y)``N2~#r!_0j)6 zNXaypizkfmhPy$YJr|vd4Jl;Y_GBIA4^9v_%5%b>!f@TuY!tyiA}?O8M~OU^*c46m zg9GT*-1R`{)f5qWbsmsGMpV3d4Jcj*k2EU27QLJ**n1DLBp)2@r`*ZB9GM8Q9qi!E zQSK<^awOw=AiNy;Fc1|>L2NI@z8?LN5nFG>9`nWKLhMb7ZHe*|?W1|sBAVXxMV3LN zC>J8%h!(;d9YpHO!R9fq20YVicYwwxQDcNAPonU;z9CM-4lu5qKtX&1)7!9&`FItS zH_OAtUEn9s1Wcm+J;$f=xEUA9DDqXG;iHY#ys;>-4f(zou%pfu`JXT^ zk2+@Lp z;}y_2h96vaSBbVFi19^jFnb`)hThNR@slb2QH}11S4%w?F)t5nt`f6T3?Nt6M#7NjS!*NCW6l^9G>TsG#?_% zVH0s5t)d=ASER{a4;@Sg66mIR-JiV3XkbqH|s z_=O1Y&Gop(z&ymt-tcIbdQ~pQbkzu+cS9Jtv=aS40&(RI&gY3}Hh4|a|BWYl{XZn1 z{eLd}QGFFBmZAB4)_y7SRq~@M!}9Cls-2R@-fMV1OCCg4!(oKzE!c=IWl*1z&p{Ab zpVAxhk@=US@TuSqlMljZeh%|Fh+g;9e0~7!Cgx9Pew0*pJMw)mz>Z0?KP3C3v`zER zG0$)1xuf&BgE@k{O!ouEc=0nofAS!7G^hI$zJi&6)4fdf!;u?g#AK=`WiTJ{H;h1O zZ<^<8!MTKA2IHNRxsogab0p`MwfVOh)pJl(R+7a;xRUGuGJ;~FnmJiT$ZuE|sQ2!T zE~WPRrRb77F`9QlE$h^Q+Xl`P;PC$NS#bQv2Q>#k<;_%m85Hu-@)0T6jJWVam`i_s z3VhCO<>)W(QM$CK;bO0#|9oA-UK*P#p9LDTi_X18dUiX6`PwI}FeiBt(=HM7EE=T| z^VNKQz%1uxi5Lv}Tcs1vkvy_#iI`6#s}ZwV^nMX}iI}g4BW8<4%>CeM#AyCk%>T3& zW_&}G_rAr85Y3K3RwG37GnhZEAH;9V=LgT$ATJSe>uFwu{4swa!dfC^E11%a4-;WG z{t?I^BPv}jX7^5_5WjL4*hqUGKs)wI~ z9K&_P?Onh*jX$2k`rP*7!Cr*+Dd3#;G%C@Ms}{jO(;!Y#H3d3J&F|ZW4{p^KT#nKe zUxl!ITB=_GCzWBy%QogosGrCFoK|pA1A31ek2AoO&BsC@D)t=2R#I$w!8#-MpbJ16LT^1jP8{&1-z(RJ@ zdeorLTL%?#HTbq~!AVl^RbxRzq1SD?whS(0zx7PR-Z^}RrTNv!593!1z5Hh8FX4PP zM6~|~`T9ZQ)Y?W^60*2{6*wG8e30aYWBnZ-ZKg%LA2iO*3qcF!=9fX0QC2ZtduoFe z@p@_r#&#nZq2Z+;djiWD^BWGYA4!{$b?891ed$nmhYzP8FXYW-!6vg$`*9(+mkH!G z#-Egew<0gy^;6GT^Yr3!Zy_%(Um#a@UOy}32*Q};(3CyU8K}tLJ+7a}#;>0%?|RV< zkg%n*;Y{6qAPn`;10VXg&(0VgMcl$7b~^u+AmM{yrX58^Y{%hEutc`G-9*q$h}ES< z+Qn_6x|4ufNFNRHbkK9vW8WmhEz^Qrt`K9L{Hr}2aNBz`cT!Vl&X zcxeegV!%N2pJ*ZoS)48W4``Pl^aG9@o+W=G96j8V#w*TW2Q<+fx{{MI_h1x8Un4C| zjW=(@`Ri~KH(w}X-24|Bpgvk7J}TO5eDozLIR<&z-(OqA{XOnf)JNBekD}{$tPm$% ziwcThnR`poZqy)7`aFt?lWqnw$VeQ+N$pS+cGCKZ&|CjW(78i@3EG3`oG6>)dsjFO z%faXVT*4J4#uPI^?(_rXStxPaQzx6&ZwsRen7nC)J~%p7)G4W#cW;uN$ET%D3M|046U-PL@<7UbpAYnq>fJeR_Iix>kd znZx+LuZYXe0pxnhqlWv7_^EDrP6a7lG=5)nsFBXaS~2k(UIb=*Z114Kdj^R|E+VHJ4%|zP<}ATEDp#I`j~U4k9w{~>d=of$%g_jh z6j~B3=Cr;A=IE%4iQps1ix0Dk&FU*3HHa6}hqG_9M#PUj3xcgMB;=6v-`c2P9EsIz z&)>oECt{)UP*n(<*m4XEX$x0Re_zyw!_$~;aI)Jd7H%7lzC=ZC`^Qf)@p5u8N6T_p zr)?Q2lHWyMM#rhediq_rV)!DShE0CwiedbgwL3~0SNlz`>7%9T(e*1K&hziMV$L|x z^{ZFZ??UD5wkO46{Kyxk1PV%|A71X%KL-Iu`fs#J(e>+aHarX>&w+mfQ4gI<;Ku^A zlJiF?n!%ZcpE>o8uH|5H8W|`ok5~^PKO->K5TUweB#7KLG)w|QUvi)5eR@^(LCP7k z3nK0fekd$;>A!uWjQ=)>|2_@}sQeeZsSkpko&Vg!3|8{N9o=`9ms~LH2iV zkiEX#kKa7n+qdM;qtTy?-@ijx;ap!fPJre(MVHB(F;L zLyz?7Hg9w0Uz320{lX3|$#-%ME-CAWnr}lR7_n~qG8|2-1<@ zL`>R_{Mg~=^I=D0*$VN*^UM3C!$G8aSa#QAr(|7~RUm`-?QIYK; z@%b6}0M|O8BKAWgmgh9iWK|!I{anPd&V$%@fsW%ZjAr!Zw}H5+A5Z1ZxZjgl!!L95 zl0}H)c>z40eLY@$IgY_yfxsRaDLoEUEGjJ%tuU2fJ)2KifB+ zi0b@MvKFi%!s1gyVL21{iNuUr)}*!2#_@c*f_HwpU^#bJ+Jk}PBLgNA$>V^!I+ zk$1A$7;4YC49J^KF0ByaWrp}sC-;-U^D?f&-(1QI|7OEqf!~UlgR?|$PNFapCgrXH z>O7qb*E#3IXw zE^_9rSj7FeVlm^t6^j}Ftys+XZ^dH9e=8Q9fVX0i_7?4;Kk!zpo#^TM%*!+JmOCeR z$-Mba=I7;e^GXZ(^A*YT&As&ExlZQIVq102*%Z4)wAJS9qk?wf z)aBej&R0d-0QFEMyy3+{HlKM{W_~U672iYO67`;k?vjk>p>K;u&qH@h#`Dm3B;$EV zznb8A=pIqvdFWo-L-*Mpy5IBAGcY6b0Vz|OGmK8rO!u5un0Zj$m3t9d{*d71>N?F- z1n)u1!dz|MgGL;~fWgrNs5Cv(@m}|ymy!Po_yF^%I|ga^=yJDDFXdAA9s{SIo%XJ9B1#ML*oPvzo{uuauP6Fv})Yj)zAU^|A zH4g%L5D?aDp!6jR`_La#|6d|);B!55+j->gh6BT2yNPv)+8dx& z-FJa-mQc+$uvJZyI}y6@BF!SF%F|Z+VVZZnNbiCm6re#50rYze*;l0cggXKa`4nrs z*>8wS{*IC;<4b-Bht`++LUOaa2MW|dwRf}Z58U&!D2g2%lH1N)slzb-f%^&I8(?k! zpR?=_q!u?>Rz~ilQf?QxJ$hhD^zyOjD}_!~dcae4AnkFf7QW%j)Cx1Wa10#8mQ9S1 zUW??mUynNa|E|AKy+|0y*Qnue_Bu?h6ru0>Le0{f_`?4{z7x%TJ5n^!(Jv3TZ_9?| zi=i4+w*F7Bt?UhIcB5?7gq`?y&>J-D?5xDuzz@-uv*R;A0{lMWf62Ob3h*qpCqGs_ z8TfwEi(<9JQ`B{J)?+Mt3+aE0y>J%r=SUxs`NSE(Pa=MLJVl)&iC4#_v+SdkzbJF{ zB-|Pllm3m&0gn?_#AB2lNc@egn^^y6iT^q4YT~D`++VY<2Y%w%GqH^A1pcTM%jJ=^w z&%>~mW29P1k;QpV&9kVOVm;TOxkSeL@-;g=Qq5ijfzXA}s!( zy=c79L)HZvFE-MH;25zt0fa4Zdh_}3I^>vf+kb>YeLf1(#q_~IzGTmx9^VLlt?(;z z%z&OACw?8obU;s!e+d$gA>VI1#2o-G^=diu`!pE<&zn5*j|65#{mq5*N?Am3g9UXfEq-96)bs(`T@yFX~M^C?o zEIRn%fR!aLY>igLehylkFKmrg3hs&i-iT&8+4sW#1sUTi(6*fO>6H&rk(0|WYGh0h zr8(Ri@<^?;O$RM~R^O=u>nq52y6O%jP9xAy3WOpK~aB}`c{0tT>$hn00B;rLm zzbF1-T3wQ}pE%zJ?sUtUPW(*Dl;#X2eijWV%Q>C++4MqX4qt8JP9eQ2XD{)OkY49x zA3_H2#pd#$Ml}5%4BeW${7|z~AUkung?OQC%-zX(&w}Im4)y0D}l+n;I?<~RSm-Ro2Hsi2aH0$ zmr0cySmj$N)nh-n4`OtbW7pPL&JTE$<2MhM;$tbjFXh7D^9(AfbKis1<+D4 zEqmFe6yL}4ZOZ>F3016cu@dkL z7gaJ)=b<%yuY?jz%hjBgqqn=$K&$4A96cwaFPu@$$vCRN)?UpyGg=b+I*L?tx->Xv zN)NB$B$+Xat*qUS^3*}E^&`@6KFIy9#2=@Q%vevM2CNzo9p}IBH^aFQTLy2=TL|kp z=gIR=050T_B#_SD_5F@N5p3UKM23GFD#E|0?Y;K>#pVqqUiQce$HjzERjGf|@m(UC~qzbREJfehA#H$ixo=;k*@h(6R@JWB~a-ky3+H z0C@tG>`EYvx}4k$D$Oj$2GhN=7S!HS(=8z8aTay291FtNQB%Hr<4v;PXI9Q)SIz{rxCMt{~^*q@^P3;E95tQv=}jLdMRAUwUU-3>Ooc6I@T@o(!{optT|^;3i4ADvu} z`n+C_{0??RMliD9>DSaFU&4@#4jBTm%)uwZVNbI0?r-rYCTHCz&jadYjpzmHxQXx@ zf*5fz1}%(FK{gxhFF__7KXZ}?Smfj+K<)){?i?Wffn?*%5TBimpUB8@el3E|E@wQn z@`tzGmiZ9lIC9&!N6^VqZ41$BcbQ&op%o7xTaZa3r!mfdfo$PbRme`K%|(yn&vK~3 zMY7}0dJVP|KL<4SeHeHR=+O}M^c@oRr%ia*NYq)GApEM5kwj>U7{hR(a7Gd>dB{{ZqQXn^-J zqgTN=IFO&&%9#izrJP=D8l%5*2axg32~Pvh_(+72-#Z18)929~Q07ILsd}s%n)w(5 zL6{x>IDHH0xu-C1N$;tGYR)n7n!cBz*_r=I9%Dj_a>P1Se@8wx>IPEf51ok)=JuuU z-0mp#^c_HHuWFQ6qi5Aptd=uucE8?Oc^v)2L4~g#pt1}niQe-jv|E63LR$$J@?S2F zf&bK6)#(CtIW!;70+r7=8$A!bfJPw}u>o^p^O5Ce_ngdMFzPs?d+g`QX118@tUq&A zxsWl)BC25m+9o@Bmye~Lw-F%OvXtN%H#+eVaAiumkb>ip%~^o5<U8|_P4Z@r&I%>`0+T?zh`Y59M z9)!NwQe=}T;bd`@a(_h4q^ZaY6j_GsOcCMlk+^(ovO`)4pxaK@<*!2a6F_2XfpFe& z3iu+qXm!DOf||m01hp(l^W;6wMYOtzesKyPBD){iv?X9W#mmU)Pfl?w(SbCucn?uo zYKx~3mETBlMnYGHGrb;v^(kF0P)6TAFZzDV=sWJ86OMAwL{6T;zoFd^9`x~fT_pS} z{5-cm$4hK5Ds=}Ebz*;maqb}E8Ck6#n&P>*^GqW@;q<0*oL0W*|yVVu4@_axtUyFA}vdoKUhd;~4VEcZXY%gl4nc@}2G z7Qj|lUbOGT-lor+I1OaPK2CZwM^W5~{Fs^4?Z{+hMDPk}cp-KkX&+-8c?;KUk~5q* z%kVuC*_(mDi4ng4&OQIv$mR55q3_F0x_c&Ma!WD2f*|aX8;~#SgF3E3k=`tF*!>C0^x&@WSulGH0TS7bYBxZl1QNnJ5fovK1yZ^Ntkcvi ze*2GxEf&MtV3)$dWbzL`$wF7i{6uaFnImx;VPt(TlKdPr_9xYF>aU;rm1w<_{j z)N9>$!IaiL4y4>jNW(*{(*5t)@XA-gW`yjAV#_J$(E{uwq(`}!QzXRp)}0eLYrDT0 z2@%<#wx7WH+dT+tJwk8J7`W{Jh}bo#6XgQ3>lZN@U1M0j#F zMVkN+h&hey@XzZA7?_Fi`Y^5gybO`tHOgebcDsV~FGS`L=Q}IiHHuFn{zd86ZhUX0 zyH;=~=c~-@m5kd=&GMr8y`s6B4CbcPeNTS)qN$xH!oL@0TIw}Z>gLEzG=in(L9W~|q~zr+c_=7J2bZ;ASh2pFBYRnLE@<0X z(lDwPfbu9yRzOtiESlt@rBi@Rk84ORh8m}G3-mM4jzF6iXr*^~H01R~jR-QQH}@It zY0@wApk^YiXg@Ct)6yD7!8qv$e96D`R&WQvcZfsGjR-?dmiUpUgDathdl>gp(XtJ4 zlSRwb&{8N`J}X)d!+typq3Dew`Z`1#Mf4ts78SJWlvY&kAx;f9MD9Hj8v{T@YhYcR zi{sBxqLy7===AGGORsqu6g&->g}bI70qu7tsXyjYg^$hTJ5~KIVzB-~2~S{0(4Xgr z%$fnavavSK*Z^Ipz=;Fp54ZgT`3KVm!5|(7qW$P)*`Mhj4k_ov8$rxCm*usDvmR)M z^Wb3o)m_>?n8ID!Ja4D*J8Vmif0yQM&G6wG{xVRfTeA#a(6|E{;3ISqe3Zd!7_-J%{aq0EUEjLIFIduBH@uGY!T9Sc5GcqrPH+0D((q=*ZV zSiUbmG8g*|WaC<#*|_VT*^Oe^eVsGA$v3c3IM)=;Erfnnl!scqVpz6B7NrX^EZM>V zsHid@G2vC8Nxj8h^_gdOV|^u77NetEgFCLLG$*rv&ojFfyCt#lU2vUpKl@Ta%|=$oDyDlyVB}c8=?sjr-&x zgN+j#hfNegB_}BzBcW-@o0K$GLlaS#5n>NiGZA%Ind}7vp90ebBP$$%eq#|NepBHf zpHA0hhn*i9)g64|jcc|zG^p*KC@{mL(69066$yJzKjz@YVk^jyEwQo;AWIn8QooI1 zy;#`n8bfU?3r$Epw3Eus)YH>UJr!2qp{8Cs@dQ!g&%7sSXZH3h6wW_A+>egkSh#bt z?0F&7!noxmxX{c^-Y5=crj9-fEjS&zJJ0khW(8~D6&Nz3C)CR!i_APu6X49^lXVui z>}21DI;K=Rrn?EDGP|pN<+@P`CggJH@OBtIO_x@fE;YLm&Lya?QoHnoAi~D=B)vmV zvSod=kE?te-l>m|W59Y{ckDj4f)G6!4BU>hml?RGkL_;Bwff8MMn7P~alrQ4Gd=3% zOmDVla8QxylVbI@w-}aak9FwApePSa-oCiZbV(1ZfzVv8UDDGxP5exYDzpcJ`TJkh z1GXuC*wLc6?c;3A$K1lno*LH$cIl2I%I9_L%zSHH!22CL!+5_)>+!r_Y^&=~6}C&# ze-dxHg)kE-#zJr?kW6=L+bYXjnOVkr0yn_0Wvq0T#cF7=K$s4yhR4V8B=bgVpk4V+Q>HnK9%oku2ks2JS2H``N4 zHiYW*_PpLWFAtMce0Q0V$z%wz4_fNSj?hZ3WE^$mMn%yuwJ3D9eTY) zEaOd9(7}4FHrH2Bt%T4%Up;%)ixgqL#bVpS9B(aTs)62{>p%cLI z9qg?s%{Cz9>CC`MF-1M!hK_L`z#-A{NXQ^=Z@h`g93Z^zJ>H-&aaLgBtk8C=Um4ap zZ;*TOf@=da*D!oaLhk5h`XO|K&>?=X^6B)Y0f>pU(+f-nbr0Jny0`Nf(U1z=ENDpe zvdh0iI2_lg4X%jIn1xG@eZP!J35PnJ3H4eM`_3TCW?C0JIKUYn7I>bPg%GDVQ^qWz z+<^FNBL=MD`+Y~%xZF{?o~pXp4)F!;qk4F}^Qdm!FIy!--R9G!)2u2HA?4P*FEbui zLS4`*IKP{DAA>lE>B^2P!U;A3hd^B*`k1A$D!fwkg(iQcueMhD8pFUd8AZKu+|P6q{aEWd>=|*{ z2%>bZ^2VTc);2B05m$=inKa z-IgvFmSDn;c4h>gT>Q^JNVkWLJWA&-s}bE*!kEIb!+TmUn~Bo!z~~+xHf3HTWk$x4 z!_3GUiRE4}3zuQ(jWC2I`#N~2V2YVp-`tonuOgs)-_}vY~uv zH_9%IBRT1K%R+cihDOe5L8pi4V_}Lj&M%08Yew^U9qu3UdDikW!6$kJy4c_x$1w9X z9UUqh?OrG{HuwnH%9=Y*dK-<%HY-Vv*{$U2<~7gEz&!t(Nt&(3&iK83z{Vb!9gjf9 ziubsl>BtV&F*rrXC=Ws2B@*r`Oq1|5+dea@s5zO+s5F=AM|+oBHp`t{(X3l4v!Z#i zY#wlXS@S@|^SyZ>VulK1wZa-hJkiKG1gn>CF(M}viP*H+-Gj^84&xnt~HJ?$ONR2$%VCSn(|XAbJMmc?yR!;_(aUDa@L^gwxf>IQ$Uq*xMC|ByYL$4)NY1VV*~L!^iuihJK!{ zchIM@d(&BqdpB#c>T4pP+LMMR-P6?s#g*Xg+)M;83eC>VHyjPuZQgqg3tN-#T2|HJ zS}-t<4z+LV)Kxc3K(y!R6S?36hu%>0x`7WGjVqvpTX`!Rx~^lu7untZ&$d!WD7~ej zX>CBUAa&`5cYNyVMM#H_oE@{x-l30v437zGBO`+@_&`%I$rYA&Q6*LN)IAH%L zi1mp^wAb%uThMPPr&VkFXHNj$dcp@*-mGGMFpUR=jvq|(T!C`o$-vsIm09zN9GQBU zeOGWL=LN7=z5NUq4(11#7~US2AE)*&p-RobNvY2Vwf2RPk8CFL3YIHNDHptyy4eHgjqu@I2GY@+Cc>SjfRE= z*i~MGK)}oWk-b?+34(1%84kW#lol@jkn%khAb3j97J_GyqE4HeCi05VQ>N6Y z2)+f36m=FkX(E$MhRFFQW5kz%a4nMSBnTc5w1of~@PEky-yVuSBK#!56G${TnI?8X z83Zh-3{%N+P;U}}W@_FoXbX$&Qgy$r;s2-@Ql*kG?8aD z6G=Z0KS?kFNxevHrZNavFzjBwhO`7J z-?_xu;Kq+sjIV8T`ld;$K!Obfd_8BxS>g->Y(y%7^dhIa#+4!?rO0^DSsjX;1<)jD ziXf#_8Zb*y(=OJox`(9+hbWtXRf#)HoybJftYESV5wIc=A~HoYku>X@fdoqkSg#0~ zTIYeVMED7UYXnUZY(S!af`LTYr6Q0dSS4r+fssuH;o}Ju;#+`k^vfH6_7s3#Z#Zd+ zuhxAW?}0nyF~<&1kVZDLSSSA>vn>=Uj&*7RBwyX zo5@z!j4?(Sh=2=Ff1U^%VFWUrh0gd|-y8YP0w??JijlRX_Vvj$>@6`PK`;Sdd2*c= z0={Q2teLWJi)@lWWmC3n(7b~X7!M6myhtKVgkn)={rt3%2WP%-hA}$SKz{-Wn-R$J z3+D3Anu{qLm|+COj35Iuf{bMb+dLf!W)Q3rG)1r$sVg&%zfVHVJ4XKf0m&Ndnc^8t6;f`jY8DU#qPza6k2ZUGy~( zsJ@m!Uz4q`$ud5m%h=huZ!Bt8U1dcKzRsurT)s3)|8*Wbu7fYx>pzT*0QIRX zb_^q}Vt%-UjkHvU89{}n)k%XqF$0R%64>>M+JSYwxj@1Z_EX0|MD&JI}~+ zt6*@3_dyB11VIBHm&3;dLj_F`j6n*!o5fz2Vo3rm)*2K`+QouUXR$Y=SQCL3O9jQ6 z>|%lQ@POw%$R69AK<}|zY#jFP#*g3GT}4+Hao$O79YQNu?gLpX^!;cESInL~3kj_t zm@jCGpbaV9$CRBa0!e~-g0>JC*`Q-dStSAqf(?SE2-=atdMSIg2qX!NK%k72J47Hs z@R*<}g1ty#Wt6>31d;?Q+hSy++#wkM7-;q2yYXq*k?*VwTesQ%5DP^8{^?4WKUsE| zmx7@{54<4lO%TNJ6akGOC_)PRhO#e;Y?8nT1da|Y^POaQ#PU;E@B3FQii4u>C)!sj z?MVy%4)U{3bz`NayT!rl0VX0@izus^CkmSgHVT>|Fb!x* z6MI$}1XPbxa7LPlTJ29S#(JyItey{F8};#ps~CWnhk|dc8LL$iIjk7<$Zs==;e&(E2X(i(9R+`u3Pq9!t$Xt6V3f zcW}48id$AP&ZbJNB?zt+w1r>`Qg{?mwn+q%1S*@dW#4hG2rIK%9cBd0vf{&c;W1_3 zZvndDUTJiKUlF8a~x zQX^>_SeJ}{E1?IDBSin_=7V?cq6qE`JO1lDB90+> z96s<@^R8rlCt0gDW#4g@&UEq;zb+ha8eti4Tl_lWzamG*9l`m6HWT#5V;D7v*hC~t z#aj@-&*6d8Ob|iB-giZsNQOuEYNlHL?f^;?)FNSe#mnI1ebdZnl}&Si-hhN7c00hs ziarK#g6Q-2CRBotr$8`CaG9Vfg5^pjSR-hHK$Rx<0)0`a$pZkY)OYMzsAFB8)P4uR zU5efha8Oa>#;Fi&7gF=B0GkzM85Q(55aU6*TDX2CSEKAT(wIaMIAur~@WaaVAYk)E zHZdRIVnvq#Y*aL;D#5DmRjRScK&yY=mx<|Ls@LB*TCFHzob1Y~7}0N7ZS=r2JTwbT z^5p}P@8}M#4~EHmVfcX;ROEMZzTBS6?KtnwJCU$z47mP)@09CnXG9Id%c>$Pq*-iJ|4yU zDeTN(I}_aNL2Q!E`LLatY-d*3nU!{CwVhe(XBcDWXvh)VBxs7D9Vx?EwExmhWRsLt z0eis;lr;s)S_5Ure`g#HUgKWtctwF=3J3)JaTbvLp<)e8H0<@DVS%ha+jOPhpE$a_ z)CrVzUa|dfFOWU{ii0w~{($5+pPh3%5~81=9iOH_^9eQ~h20y-CMjzK0`~?2O@Xp$ zwzA+(E0AptWLs_7WgN9y%KRNg$djE>jnAz~ri06a0TIY{=7dmH z*0#Wxedprg!5PSQF-35=-YLU7LGZAkDT3WdVP%1AlCnl1XjmZ7WD9gQa{Sg<=NGHx z;fjyhia_B1-+I|4KS6>g2@VR{Lhu)*61<5N_HR%u$znzz=-7YzS3N+_xOkB+{7X

6vzH&}k~d!#E_ z->L6$v)h$k%Lf00PhH7cHiYc$Z1`9Q@KC@UV~hGfX2bgx^BvBz$8b%^jh(s1H|sdg zy?*xuBh4?)Fnmy>lHdhFQv~>N<_-Z$${$1^K~P%6U``Q~BZY&5lzt+RAlNHtir{Ib z5*$G4s#uc6?iJZq0wWtZE~ui3vO7f9cU-`24h)z^1B|BNir@iIA3@^BBZdYOUf`}o zfQEv$v(J5fP_lk6(qn-a0?R(Aiv3uLIBoT&Is-%N_xtJ_zrEJJpsS|)CR(!kf%GB9 zPy^qc!T(Pf@j-R$yJ_72JsUU;{0RvGK@cyN*^D3$DLgHa(oF;s1Py|w2pW;XvhOC) zSo$Dw|Vv5IL(p`4e4VHe}7oSuzgC@?CE1X zYv8-{uw{j>IXp9b@Ou4T?kX1jvlaz9J4JNXil}qRyyKtSgI4-`u!wU>sUdTMzZwb7 zCD?!z_7W+#s{q0D63Vs`%tY!+HW|oHw`GIHFwomX*-@f5MKA#=hL2Lmhg77AYn9DL zO%`26o@qj$shOH8MNJk{gxD|RYNO%2eVTqBJuO>BWm#t z;xu!tn;*DtQj$?j@&!l;D1yrbO%bd_3daj6pBI4y!L5R(2(}=FWsUyhnFZeG3D}=M zthxhpd~Vp@W7=TvJ0i|d-`f#qn1v6w@Zj?*$0HwD*x4lVE{(NX^MBR}fy0sk8d|}E z*((q@wsQm74_q&M^@A`{_dHdmB^;gKlEIoF*e_^`;3cGRXa%y#K(@ums$zU}J^as# zF)Q-IicJaYRAXH(ggrsC{wP)^2x8qhKcxurkivQc*<>KwV#|II9uC_ZwC(??@aodP z@6BGTZJ}ZIuh=NE-%BM75(M=}g~51G&QJk@l%W1x-;V5U$|cx}6vM}E<0~rC#P63p zwlK&Ok6^!rfGYziSJZfniZ&x5iU}%&>wDuRkX}RLd{6jSB#aHJ%TqlB1xWa++ln-i z5~UmcccBC=K&d!5w7?o%tgcE)1a(MpT(P$C#UE+r>Mcqn&D>O^n9BG|(`3-5AX%EJ zj^bJPsCJ~ysg$xqln@buu`mVVN0CsNV3nXP1ly3pV>6IVQg*q>wh~YlFHBkP+cXb^ z&j>%U6hPJb5jO{xhFY`QWCX7~(ZGnGLw&u7?m9Ta1d1hYi8g}}%LYZmQ#OJth}3Pm>7hl}Q3B!ayOQtkql!E)&5f0u^i}U>!Jc z5mX4;N?@uAPVm%lnP_Mx*d%CbGr$9i66``^o0`+aUR4IcYe?d|*MVs5si5}ep!Sqq zd*D0PLzPjyp2weV4AEM|_d$kldW76zUyei?067Ahkl`#|8O&^?c%}Z~moh~AR71Cn z5HBSN4&rrd+J(ekLqZo4WKmzI4yV183!aqhr zV+r;N+CmUJ!8DfGhmjydkn$PCRw{#Fm7pyIS1Fa?CZ!U5MX3Z2E0y4Jr4k%aDnX>T zR7emLw1r@xQVB*Wm0&WG_6xDIg^?gwE@%tEY9y5*cC|1P1nUHCA-F-Q1RIq~a4V9Q zB(_-@1X~165NuT{!ShJrAlU$;wFD{zZ}`4yTKIb&>d@~ zY|A(&EjWRW|u4km2mhZD&vJgTaY}aZ1pNq=86mhB64+ ze1_3D6%-bM`^(sE6JypdzE%aBl% z;CiI+XraIrB9J670>PBJ0n`^oAVKgFl4>CKTV)Wu;xkO$EO{#u7iPk}NZ1Cy0Kl@? z=n}A;wK={U+1)})5j-Ji6Tve`*tRCpM4nUn^8i#b)oDAkEdfW!ADPYZ9eQutC%J2G zXC>0qc|fX25zxkyu|rJYou#s3#H%W;oQ3j@rn3GH8>mZ8SI*cc+M5aJ5E+B4Ro&5S zifsbvE+lzkg?=T@GP$@8GRCAyVBheKnII0W6dTi~y9z*ri9Vgxu*X6=Oc&dKuvI$niOIwlN2g6EL%wyQ{*$S;*nuwUqlBWWTpX=Ztv z2!0tBgL< z4V}mq&BW3~zKH}Og1Zcz$ac-d(?sq=f)K$jLnpFd=qZ91l^#hG`EQ{o2o4xJk(V`- zktXs-Bq$|#&CrRwu9;Yx$QwuyB6!=-iA3;r2J}NbO(YWuLIin+PNYaPIcXx@kRU|R z+t7(j5xRevVb|9oVSExS6|{wboxlNu}?Nl;`B${&xC?NKUtL_)E%iq--bq2(m+v znB5io{0J1xg#5sU_ zgxyT=IFc-iM1HPxV;C#?qmU8=8~b-OY$7OgknA9R6_RghA){wAL=GT{d9MOFXfj6P zMNfh+Gos|nNN|T?A4c|VB@tu{=x9hFkhBE?53xuQ5|k2D9HoH*aVBR$R2O3A@{scJ zmH&~o3nD`8nISNTA&oY+cb5UlbUrsRd7%tw;gB(fCAvSkLc zvy?>8CTNOa2@*<0(nPeBzbiJ1vhgzl*!jeVgiU2}Tsj{~6Jb$Hjuz8Q;TtPSSc8Q2 z5nL~5ieRf!33e#;=rYNmObg3w62T;amhmUZYeAw!#5py6zt8r^2c>r+!9N7MkYb2K z_5|@qknpY{r)nVrgPA>+fH8{&$>Zi!1169Zir=Y$r1x74mQJDglFvZjek5$nOOGPr zryRP=m>OAoNvSuuj4>~v(CbKO5W!(2#!aw9Q{ZJ4AfOO`1z=>qw2|k>HJgyPRZeH01dO0ZJU7J^kuC0MOgqbDen zWSJ$xO%bphjY*~h4aq=*-z;h%nU(}>KX_+=rABqEV3}V5F}2p$8WgkJVyvEp0&|hj z(x9wgC7XDoatU4(G)3?Vk~-LE3S^U%H3GrXaxz9D?o%t3DlrzcL0ru)@f|rc-2ZULC|Rg6O~G!)%xd#-Y7my zm5v8UC`!*v)-q=SOi^?yKtfRy4pSjdLpjV=0@7310}{~XBoopykb`FX4&M##9;7&q z6C-OE;XOyp{S3)4!%=JcsrwCZCz-im9 z_a7=!4cZhHF>M)z?1e&VCio&!h0`|943x1tPA+vC`&BQ+nr6E5on(yaiO@AiR3{0j z8uRsX(Xq*7h-^l}ZmKjktTIjf4#OnE;4w_2c^zo91RFH6w<}7pA1TAR}xE*J7GB*+_-^eJLB=#!&)G=WEK)4hjAW@HwL)ra7SyF$aWq<9L-QTh7!Jw?QP>>ph#?BWCV>4&t4M+$*g69NnA$VS? z1TQOX6^$|ry`Rk*DL2|=4@0nApEV5y+3 z1ZxCM5KtRdZi0K2N}$I3TjWNNwhDJzP`f`fQFxDV69m^bh(=>uz)c2Rzc+V#Ww~^c z{gUWu`7OZ9ioObP2#FGeZwa}HV4>9HM_xxs{6H|8)=W@}B*$DLbCqrkJPb`Skh1V4 zm<4=7!_0V*X_^2qNl_#I1jL_4%Hr^;z0|3G2AKm$946ks$B<=faLGvUJd%tyisSPt z%)h9#6`}$(=Gk~#j$WW^4F?N4A=b++sfc9PM49xZwMI)>+*3k*#AR~ zSO}}=(WW%9l}HGBg4Ia*WaJ$=a-^_gfwfxE+<#R7n0^ji^j?G7Xu2EL-;0FhoM4Zj z(+M)9_2&{WM)z9!y1wV;nt!NKJ_BGL;cng3^F(?}V z7*#-<0Ol%c^p8Y#tdOP=Fc>p2v*8ZK#AU~88&iVy<3VN3&@>CO216Od*Dm zxfcnGD8W8KTL_*<;(&b-@Ffo?oFEGPrF|1fcOXFl!8Rnk%_Wa!tE9gS=b&JL)?Nir zt>_?tA&QO#&@u@V4s=mUxdhV%oklQMsRY}VdUTm&P^N`t=7?aD;4(p5mIG{2l;AEw zTM1OD-^{>}CZkm64eFgI#hM5{C1{d>8dRpEW!wy~+pQV) zxJqYciV}{vBrub*B}}JK5p}a3n|3Z}*z^&QjT3K}&nm$upzb(kENuEPKbxBPNfJn2oo!k9sS?M6Z{5IE~%pEQo3FaX&@)CY4Dj+aSWk&+EDmoc*O;XG^z6=~y+H92K`|l~E z72l!pZJq$pCZq^4>z;Z!b305gJ57l&&s+tl2DI}IN{}Pb8NhF&C$!5c? z0%r)496yP)iD)aU8YxO!3C1Fc$ao+#luj^H=u3h%_$Yl;goT7)84@hZC!|730^_MxOda90#WtT0tNoKr-D0> z7=MH+d2S%-KXMNw@!5JvQZKs5SYqtNEo?>F6qk%G!756e#l5`q!s3b!=Y{Ry?@+}A zKSJWy1TVoLBF;LCv9XC}org6`%HrHEO?=G~C4Q)LQIgq4(Gm-3F-CX7GEFe%#hr!v zBsonhcOvoENMNP+OXq2lXfPZ^n`w~ct7;ffkK{d#B|1d1qxcMv=!k$zbY#Farcg%% z62EDw4*-o|Ksj2LPZ>Ew1+s=>WN8em!;E7{oB}o|iJ)E3R)Vxr2{s|=JVES%lc}MV zU=LDw0-?TzNZ9qZ0W4LNKvmD6hL?mogWy#`n+Udw-Wl5f?p4$nxwX-8b|Jy;mEc?} z+{8M7>lM8NfaR=)ZG-$zkTRVmRk4~}mfL{jWsT+qs8kr$*uxY#$Pf`C4MfU@!(iD}>6iunw z0Q3Wvh(Kv7P@1xva-aA*aX-MbitYz+M1LX%P=LhGear-$kCcV5A6kpE1~Z$H;NN`! zv;`=^OGxrj5kQ*f7om}ju*@egGg=7CaGL?8W00AI1WjiFXp0gAbCK|aD<4Y}QJ*E) zyiG`uCb$g=TkWbe5%p!lG~2JzZv0-@<2vU)1E2xyPkwar9N{(xJ=aY8tE9eWf(E3B zGcrxcR$yatL&+r3mU#AJ+mxb}_~%!8Zk+NzbrzDG_w?|3}`tz{gcx zccW)!o53%P1Ljd|OEV+MHXzN69(H-m=xt+3BWWzjw&d3!OQQ$Y3(ZKj3D}N967n{I zP?}QYrj#UvBqX5;AvC3YNlGZ?qiI5DnlI&2nourHDdnRy&Ex+6YoC42nUQ3m$@jbW z_q)elT6?d(_S$=|{akzRbEe0wWZXDKF!PUyZZY<`n%ZabjI=L z!>m84^GoC@#db+*Cs8XvuB_4VB~35PuS z0qBp^_-XAVa=NBTow2$A(Ax>>jqY6l(Hk9FWS1+l%WdtB3LgjKa{vxJK+kNUb0^V{ z0Qs|!;q_ZJb1#E=l`y9s0D4F}9|roSIJ=(!dQww~o)+gWllkvDX*r$E4~{C~+VL@< z-`7qP;dMk<0uo0>;-Ca~g@HDRvxn$1O?BgUnQSngd6+m0E2~vHinIg@yH`6u4fKe1 zvOM3`PNK&FuxosCMzY6_m?xMLF*6aB0+5Mwh;<^n-9&aX5v?s}!rB7TNdN{sB9;j& z<8|a@(sY{wk(J?CKbT-RO=v4Z#w4_hCLWhpk<;HRv24i&qIB*f)48REG6{>?P z=O}enf}I7B$vj5!OXf`Wu`XQ~D7j?Lq-Oj^CL>Yic~;gece91d-CYPjpwl9vXxfZ7 zVoht3!P&sN00|}?hhP#iLU4+2FG?xvAmAJ=%PdMs(keX(WWct z>>;{LoGGH^R|=JAH6Xu7J%DHrY6(P-0H|-`Cp9?^5sg|}FVMBxNpzz)yPpL5uBHxr28sae$ zsnmVKyqjo3oZUn@0C#L_%I4@isY6V>7`!zAc_W67EfSt0>NRx3%tRK;9&2=7j&wCU zsvQK+4FFH~W;#~@*p!GoJwm*(2UMdReTy0hsZKJ`Ir3g^n+1y2&TYcuGj! zM0WsWl}E=XG@a-^L#IQF=r$tUeBu5Lf~gD7^c#b>RY={;q7HQ;Q%@{9mkUNiImqY#Tndda;3cBtMOCSMHTYz@;>r3rd#n4S-LIZQGX^m%}{;z;`p00RsW zgY&kAP2vb=%9OnnMzY=-HYo)(#i`yaz*P%aVy)PD-yB_9?$Y}fV8JC+hXr?+9&nGk znh~)T5U^Hub@eyQ(cNMD`x~q|x_ban3_|I$lWB00F(Txo&BT|JHWOJ++8FmPfSk0^ zK|#pOX*V<1f^sJSnVFt$=%1sTob)&JTXQBBT5rFTyom%+A7A1`f$xqbPK5kqjF@~X z+sn5B=)y!l2Vlc_3GuJReZAo2S7|!&N^##hM!Z_n$4o<@xW56QQiPO-IrjSxZ zZ-{d@5gBFc-$?rq2zLUEG`c@w`}?~)J-I$anYQK0nf#6A;BkXf!;xzw5I?N zv3`z@r?sbOjt=GWnb-3LbYoE>v?-7nF0 z6H$T=Fg4^R(ZwXRkX=Ry31RN%YbViFQn+1lpqzG^ICmmc7spwllbM{b>Q4aO zn;(JVw2WRO;~|h90dT=_W!XEeOXld)OnVK}bs%}_L94@AUv5GoTL5}RVB(Nk4&Vj& zD@$>sZjQdqfE7q;)>@~DhW)(=I0f)*N04+2fY)1i{90+TwYFJ z_FtvT{;P~%#@yue4~ifI1_&aZfRk~S<2yQ(=)#0FKBC_dXNsu2R;VVQjPsbp*+KNO zICm43f>F0Tx;99(-9(g--z43HyO^*}!l^@sJ}h#(iFBw_VNZbcT?tJQF=aM5lcu-v ztwi?ElalOM2UH}^)MY?dX{ssI5WFKgbPOn`okS0bbJxQ_&uZt7fu7S&6P--tK;69z z#H@I#kz#b*y>48mY55Tv0O z>H^)2xM=HT;jWf6Q$$nZbOuL;ULm9%L=4SuWwoF*3qv>2m^htQM*gEhN)auoV?mtI z8$h~6hkgm@o7(vr(66-9)W>}`$;?28{*c4!WcW#to|f3%L_ZN{7ty~1>~{)He8Y@N zSU*ShX8`aN&C$U~@D$I{@sjog=jdSe5ORq#1d!uJfXN`;WHeKx8_6?4n@Es!(VU3^ z&&3O;VPtq`MbcgdAlCVFbl5p_U;m`aSBTnEL`C9sw6zl6)jIS-C)8PKlKD~{O4L=) z+H>MEv{XWO5N#LdE}|}R?(6~jkam6y=r!#$y1xwGDj}taQUDGsjPx$sZCGdFKLS7> zxWNvF^Sy9t@hOVGT^LeCpAqMd`+y$MRH8rC)Q5nc(Nv;;)>MvmjRdoUIEf2O%Zj7&YTuc0W2xj{XIYjw3BEGfEA2y&g8^k$aI~K@)xGE zYr6M*+-T0G3s?5$!Bw6?kv3A45?#4cW5Wk9{_MVbK%{KOY1SxJZ1d|LdopW8cCFeyf)7n zp@>4+WEHwq@OVTPG`pSxPL|M+aC~o^277i?J$YjJU2}WU09VLD^}0y2U4-lz{EXOq zPNx|xLN>8*2t^?gG&l!n9clW70{6_X-@l5!6)~x>S$Q7lt=2Ih{Fntb( zu)?KOJ7#+Rhx#<_jEcBq|4xgSbkQuL7#q z&TT*)+G*Jjb7_Gj#RU%NV4|=%cM?%FIf#>*Yy=pbR{>Lo%8~3YX8^{wmg_Mro`{~B zUzjSnv?F>r^2yF<=;824_A-0j9&QfrCVK{Z`d*&X8KPXq1xP73bf4>Vb|pQ7be_{; zaN~F+Ii1&&^#!`FXSg?F%(8khzoF+3OU{o@m|zfo?c=XdcnFr?OE3XmMSI;QJWr+0 z2)RJ$QoL@Xe@DXv1~kRVgQ4T{&$K6N_-TWDZ(gX0MDh5_3@(Hk3dQrgGsbW5LMr6u zoe78PuuW-v*wJ6aV>s|J_`meVo_Rda_nkc76Rr;vgljexcyRI9h&MRzhEtx$aJ*Sn zIMXX~{B&MEf=+74hwE_#J8jpHyiFmm5zpiN4cgvYZ!&LIr+Kw#%78Q7i#!FNx!CKO zUjd$CVr+cb8TGwOy1W1knl6_~)U&_?^NWrYFuNX?*-@t_^*7hOhuP!wTU(qG=8>;( z$cu<}^WzNBORTJ?4S7oC8L-`Go?o(zM(^$YwXNPG48B45N zPk7gnPA>8T@_i-&G?{Woc%H{_Al{u`@lMI|1M+>IQs)O4sc03=PP!iM--wm1Qei@zD9+X4K+9P^kcD8?-a`Un6^P9k#3MJqaVCeO6GcvH*W zJIdr6ycGT*0C^yK2mpoo=ID4>=siS_89E&=X}T$fD`E#lFf>06!|185g>#HOlbm7B z+r;q<3!r-~8Ariym!2mQzxHL)_0T;lC=b;zdPh5c%V}Y{`B`Qn`5yxcm}ygN;vMDh%7=Oh3&xx2RVu$WxtC+(~R z7haTjs3yLrX)We-`mu@Qy*v!J&qMdS?%Z+L?d4gFo^|4S<`h_%ju;R5zv(m?%8TyY z{<;naC)Zzl{oHYUVMYIy@5BF&Wt}MB#2@b>{Y>EtMk*lMKQQ9v#7W-M4*&n26KBPM z50SZ=a-7P#(cNVB*ncjo(_}q8X0e{M82x1o$G-reTM+ph_2`_Mqw5j?0*H#70J?%Y zfM}BwU@}ILON3zuk%_rujxMr$8s>6%R|%<`Xf;4CRMByv(08l@VoYSjr040wlsBY9 ziLL|a$%C$(4j`I!0_ZxT1Bh;L0_eI?2M`@|0_ZxS1BgyK0d(E01Bl-11kiPh4j}q~ z6F}F8bO6yuoB+B$rUQs>cLM0TUk4ZkUjc730CU>0IXdo?@NS~h;@nB}Nli7e;_#9K zW7&YUdAup3~0ffnLx~lQCD)4oYHZ{$hd*4@jIYBE#TrVLt}y)56gG z3=q@s%wUZEh>&&=F<)qp$L8qJS#b(Q?;8L#MxtPoX?Z5EuY-CCsGix6jK9)x{0Lbg zp{bQXtF?0t(8bzm#87apCK2TT`O70Rq=aDykzv^3EDc6LodD!VBj1ex*%MTULgJfo!Wyy4{4`~W^i6o&f0C^r0@#V%ja6|hC==t!5LgvH{%)= z0HTS`+iLVcR|xrs{x{m7rqEqcAhRJ0Ra$1F9ClQ(OBRMZ3Ge3lL$u=HH>%7r@ znfCXrMqcS212C_|H|sDd$A)Wgix0ps;@<7jbclZ3>WZZMMF3hAQL4=iqWcX1f`~qn zbc4i=f*@!JXbgZnN}f!qYFi);u-Z~fkk{LNDj*1#|3N(fz_?9x5TM_0t14SJN8ccT zUk+DFVvua4QhOhhgi}O!0P?HzPEhXE3`AcPXE)K80GgMsM|6OZ%V?*Cw1epL;_MWw@)x|6D8wGRpz6@B2_lc&hBAfa;C~wT8lJaw*P9Mh?LT%pV z9hk%b9-;JJ0$7P#l&(-x)(Lg`_>2>M3n<$GtFSV|;wx^%lGdT=T&y{CqXK676#$l0 z6JVPLcxKi?ct?cf6#m=r{)3QGM9%~GwbPtc#4BC&{zOQ*<3}{fq_iBpX%)a*KzJ_! zti)#q+@g@OPN*}-k2(ds1(XlW<2AxB#E7vD;F&^7;f)9>Mbs!x$Fy)mcetUBk?w|e zF|-zNHi{Tz5$UN1=*{7Eb97U!mtlvO!Bw)$(B)-tR~VF;)kzTL<|W}W?C>(UNxX$`fr~WCZ6aXy|jfm4}3Y*|9*P$_>8tpVXjKh0SNR9=<_%~@T zqLbq6A-Y9Vi9WBXL=S1Ik?2O>;YQ!-M&IE^-)W*#4X(<_aIXm6c^{BY>P>ljT;3id z7aB3yy4@8zpX8{LWqC%DP7(b~oIOOZX(~|>*fGKpZ4hUQ=n73W!YS!liL-;~RdMbn zdIO*fOc!s5ahJoEkgth{!0;mgrUfD`WS3V+*Bpf`7a_Zdm<14dWZoCB4%Y*lY^mAA{4*X5Ph<&n3`E6=U6G31!BIhkEqc4g_D5yfwK2*C(s z1*L>y%Er?EF#x(E`jR+%h(@4ot)Th5JIMQl@a`fqylxrq1@+UykRtk-IGy^V{HJs% zQ7r;Ii-3pTG_*k6RfvB;WH_ypB#PzWNZcdAJw&?z7#xq!(V?RuLH)!)ssZpKGd>vU z`Mpr)^Em)!z~_Np0HDG8=ID4?(~S^%nWm>mB)tldu^Koa!8?hT0%XsWj@6oOB+*+S ziKGhwltjD z<*%Fwgy-mc5pXsrSqlVuUIOsfxA4uRIl6xaKuaQe4Y0vlzT`vz2?oN;m-5d_{#ngG zYxw74{9#m+Nmy6N6Q3pW_Z9rT0)X8~JF7Jq*jiokOZch~3wj85}CAQzt4W9{TeZP$S zBJ1*)@FaY%fu4e1npbF@k->zU_ERIV-1cqcn(`E46K>)gZ0H8RY|ACeNqx;;ZtCk5 zWTM9oXFF*=$L%M~H=mqF($4Qf_!7H*@3HAdZ-qE$hnsT6Y(MFzS7GT}5N`Oq_?zJK z`WeORf8z|{cfAGqh4RYJ&6j^|f#1tNWy>!tccGtb9}>M^v(@PRcE}Cd@#}3|=r6bF z&A<A8^HW$4^>#SX{Q$q!jn}4@ z2=xT=w+61;fgcCnhe3n+IbmZmP4N#Nqp!mJ8h;Qx_aI(9FrQ|?3-Fu#6zaztkNkz@ zAuR{+TR+X1{H{D-m$w|4a(jT+0Z#!_{$0SldUOd2brr*bdx7r<-sH!NlNajpz6g8* z^byP~j{uiqka^ArhyBz5`>m6+Cfy~Mz={QJ9q>x6!F2D86jfU?5ga<);8aNKT5`{u`t<}KPOFb}(VD;E|1b7Mj=841Mw|;)y zP{(Y(6TtXy-Gx7^f$z8RBfvo>U_UzFUwEI1|07Js+Ywe;tn-!6_r@)TuNmPKv(3ha zk8K6(llL3G?FeW6PYKFg{H=EWVR z%O{Ndnx_;Fzx7A|Wa@+Gy~wH@?cQvdfSFgn)%8=u$FnuIGaj6gpU+AF&#$O29!Rl$ z@>v7miBu5yQQ!bDAL7S7e5Xmo!#uqP`Z{2q_?%d#4{pfMqXLGThiUt*OaI;Eizf>& z*ny}*>ok*v#*(1Xur0Ae2f*7`S0{FhOAOF(-CxCWRz&}@(9(fn`xr{Ql# zIGUiv?c6b7Zm^y*%)m*2-}=t448Pt`)eKCB8<50$?-F{m{YPwkBk*~eUH&ppe(M^a z&mOH#Av_4$1HdbR9|5M`o&{bFY@Qq(e(T+6(o7Bg7vYq}twZLKn|3I&?B$&RzM{t+ zhuP1#!Lc2fn+s0^kH8je2Br~8I&DMB+qBEAUwEai{MNrLH|a(Y&Ky+Pm^^%50njES z&?Y4G+HfZ@fi?o+HGp~O4tm-%-L&n@L-&-&&!}E7d>5YuV;c|w(AF{!?LbRu7y_mp zNE?tq+t0|No3@|v(@k5?_zN+^o?9TCG^St3Z~P3S4M?C3NTAJU!VM;kww&=BY|3T) z29x(%8)(}ZdLd>QZL>o9+x44#P!?^pw;R8ZpE79U5okLVhW~%n&%Dy6E6g8hwDAbE ztqQ~cPy0>%Fm2j$COx`oyBU8WW*BXPFb|& zOgy@2gBkzZ#YWGRLEG%D#wRarI09`r0&S_c8vnP=$Fym~5oo&^`E=7}Gk$|fqpf88 z|8HTVf98cY8-X?(fi@d~HrU(EALGzgGx6xAt!4ag6`TBxT!?ikU<_~LtK--N#+l@fmjX>LtK--N#+l@fmjX>LtKpV|GbkkNN&{iYRRwK|RGY{Rg%?Px? z%tJSAF#>Hd!YTmmv3eV5ixFsx5omLn=eux!5AZDDhaUP>*fHz@9K#~*X3s+H+n_xQ z_%YylfO#nMgblRe2>07S8;(F*%{+9|W+TuxGY{Rg(FnA~%tJfu4#1s&y8w3sJ`XSt zd1-*W)-$mgZO|04iD@EqHMaRtCH4D)-w=JC?6^3eH#V1D~| z3NY=VZ@U85y#V|aCqLJD0B|k9e0cLwxE}+Uho8da=PmCBd=hXU;PU|U@XLYxEZ`mh zKjUW}(t2&$An*qO{MzE}0DipBJPex!90A;&5E1lsHb z+Ux|{>;&5E1lsHb+Ux|{>;&5E1lsHb+Ux|{>;&5E1lsHb+Ux|{>;&5E1lsHb+Ux|{ z>;&5E1lsHb+Ux|{>;&5E1lsHb+Ux|{>;&5E1lsHb+U$g``o+if9)#V$Ksaf%(F^rU z8f|q$r~4(3-?AUt>AWsYpe=45x@nUWXp0*;bkim$&=xmzx*xUS+a5aYa@ydA&tTGM zlM{FykidI@w99FGn}=@N-XL?pd{@nfhS(s<->+OR0gnn9Y7h#*+dxzTaqh7pV_aQ;{DS3TwT zMb)yQy_SE84?j`MzwPxQ|5+$1Sh(+?{?+eW<6oi)+<;KO@cT-lS4V68%QTTY66#jv zE5pxXK|tHy9ia;UQXR$}3iYSTcflSblY15F3FQkHg_CxAbx^5wVo z^Y1*Ri^|TRsPX>M39YB>(d5eL`h=nTHz5 z_)*CM^*uieZ@u0zhh+wGlhgBSu0Na2OnWjCLjMj>SEz5d$(}(CRwg%+nV!fnFVL{3 zMIsxX#yOZdI5Vv4g-v;SdS;3`K@{ehdrWF>iBB!}so9`f5m7S{wLBQBxwLHS26cn9 z)eW&|O=WQ?9Eui)Lb2LVA{LYOv@sdl9Nz5r>o#biBdB);d}>XwI8+pkhOXXN8!Fxs zi-n>U(aPG;-rCT{8pF)3EcJ}#LlQv&QCKjbE@(}d2G4CSHRqeh(VM{qC_m0`o7P1ae?Sm2;HEf(sUVanyC-^eZQlQ1~&pW+d1g7aXNt~8U^^zwhKtX z51k=KxFS?kLLG%d2@{EXaq0!X@4|JZ>q>3W`#U>3{mVTWY-rIPZu*1*x!|?h~}y8>t~E0V)i#BDog<-3oi!FoQS&fcs!~8)#_D8 zTQ{22o@4fY|Lilx)TybT9z*OoUvFNqzo}yyrJ8!%pK?Y;owc)lnk63C-}) zWnP8Z3R>BFDLZQ!y&LLGvfPJNA71WFR`aKc4m5@G>hO`}x(qGtmz!QH34XtTz84+G zFZXihkJ|sefoy23KRd$t^UMR8!zP2*nTIvW`A;q1g;6u73guUz7;SRbS^b{Rcj0A0 z(}p@z=)KfUb(31&>*q=Y1Io2z{GrbmDP313`U?j15EKb?rc`ySY7eT)cqpWvWq znOYSjml}zeH3&OrJQc?ue7QYt61OlxAwLwN#HFbX%Nop)u9g3FuFY*iwPy2ls zn<5(-9>D0hs<)U!RdkCw91Lwz*9X+6j2kc>Vlwug-6(f zN`&PPbdi8Mr?+NpO)=Id5zGJ~wMGBwkx z*2GPGZu+ZVFY(!x6bU#T9kG0Y-oOOsk7$F+1=Tqb|4L7RKZB9XFh$E$E8*RPK}-*jE}*47F38tu%TYw_+hFg2ssw)TpFrzYxqKA(ER@~N*J z9|8`lhmOY+ZK>KlwTaq9-$Yv?k=iqY`LnGpkxGp0NpxZwO&ksT*Lzg=cYdE*8RR5= z05x8$E{No5_Fb6O`tnqC8z^n2_lnXU0>$mUs>Hv`*PN&N+nsh34;3r@i)BGT&;p=(B=D#c)#xo&xA6m=?X@N}s>s+_{(T#6x z3hf@V-S2ES8RqGcy7g>NO8dVK#=Iu@{WAw+LbJPxq*oaGHe1T%CI=ub!Sl zX#QmIlRW+ddE6(@@m*vpMw;3u&p{5%N*5IMwR3!>`>XSK{vJH}N&Iid@{Txntn!s! zW@Zty;Mi{#96XRy-&o~4KX1}{Y?V)KE-3#Woa_6YWNpQ!wPj^#wft1bEGKz%r*2#A ztZF&PImx6%-4z~b+ovxCWOw}xsg4z z29%n$)z#`95llMO>(Fsy<(QZ-D}iKu*Ss+xCQUA+&Mt$yhd=KUm=^z-#1?w4wL$SXF`BhnTRGEr6(l5qQ(Hf=k@|ELp zPE(gKTj~leHxfuRl&vlMJ!Dp52LltuVT6}l&b-%zH`i3dx(PKziyPG^F_`_-3i{W1 z8rmD@7ij+WHF;ysilsW8*1&X10^STP|Qb z@;3K-FYs+F>|9S?Fu%jSvH0A-uoQO;R<$}Dvx`Df1@{GSNUYttXT zsDSXfi!kg}v#FtW(b$4&CRoPxY0VZa0%Fn2)$#~5FZF?y#p+%)8;8xQX0gPGtd-pj zjFKNmLsYq;;+kSCa$?adz!63J+Q6D#8BENwTg9<7^IPvlwtrh|QQ5@!?@pDn--API z^&FaQ)>s6Vy*dwuFpj>khA^8S^D+<)qij|bIDR?r?u zcnq%o!0!vziw5KNiYO9Df3)-u^D6o;z*JMfw7(${eRtmY@*Xu`)SVwKEfn?zu+0-z zoro1jXNscRo=4vhi<|jh!I?99SZk=Vk5*Ov|Y}QLtI7 z6BTWJGc#pTL3#YY1iv0%VejSj$3MVCy>Q6G-jHy8EN>n1qBqc#Qu@gUFuOYf8`hRp zV?WL|_$2(x2zN}+&ce{=ys@JuNnSlrCos#Ky4|1GWbQ$TljQ!kioBvfb*8{K&J=hL z76uE6>IU?AI3wJr^R-D zP>n~_dBHX{*;|E$1Ljcg#@M~*dQ#5o&EHxywATWhKi-d0{HC{HP3`hBk%DdLNH+F9u|J^q8tA-RCf5iThbl-Z+~BKTTh_R_u``N=1lI}YVo`ym zM@62c_fOcCDI`O}Mr{BO^+k}h0{&3R0~e1YFMD{mXxS&1(_pBcs;P&yRDmJiPd zjAiqZ-hQRx=Ckk5V?7nnJ9b>5FTidV2G9%kqrGW-{jEoA%d`>`8qPjbArroQQ&0UOFT zhfSyq0?1J3RB;JB5M9k3a6Nt*&JnUjdubLGwqMA0Wsq_SAi|y=-Fp)hxDY z&Wot;EsJzwt>ZONPGYt*J00rRGx_|#UKVL6;QyL;cFeQ9pItQMbx+8Fa8=l>nM5P^ zdgsNw%6lR&#Jk!4(xM^n$8@-eX8yzzbRbU$Pk81~GiV*n%gRfhxcl>W3f_ll)JZXK z4!md4kjD{XMskSr*2m8fWY?c=AmgC}bd>FohElFD<5}HrVav7;uN>%DezUaJ62_3VUu|?_MMbzg+-53_moU|PFb}!4Vh>romZ|PuC`4M~qX==j z&gYNy{!KM3Urb2xw0hbn9mhNLy##Kjtdq^%{`16kb*(v2tihVU>3BD&}E^NSEa8rfXdDRbm zzLNDNwe6;Yc(+$!$tc@}?X0~7|FBc}LVHb%;mu!#7kol{oN0lVfYm9G`fA&vMJ0xl zcY@VFv-7Mg4Y!roMC;mcN>E$e7p|11p?B%7pj%G85^5sHgDjt3BJnD*dJNIkxe**L z;Hfr^g|~~S^o#XrkSS;O%2|m-1ChK>{ZO>OXFZBocLfZL`X*K_+8tMy1x+$}Zu`Uow?uoX2GC6|F5A88^2wR^}k3IJD2DSPSdYfj(#%^2fo~Sy~tN#30 zB&iy2ug8tJvzxOMH!qy-(Du zqb#X!^YG_pDtAX)ZmtMz?i-erW@g?ea)$!L?|xui?6J<88I^2RS8}!PznOn_Hwi;; zU?$PXP2JVOn7aQs#>MYp!>3SNQ&-7xCMvwHIF^Wp)>TGhZA+tOyO}rX)yI6k(!TbF%STNg<-K}!j}ONXTyKAh zqn^AMI=^BHl_nRz#Pr3fq1M*GB=tbG+4th$oR}Tgw4u?ljA64$2m1#O4Ngw-z8yGO zeqQTWn|$F|ELK@nRbftHc*|dX(*oV0@*BxXxAe#D`#7kICcKNn}O89U>j%{xoGQ?nu#!Lt0D+ua&RNSiI-dIr>2hw|MOD;FF z8edFMxA}Z#sNxF>>W^{MiW8veAmYg1cv!87*WsFrz1hfD7}Ve3B7?d(ZY1$l2K68L zG``lL?((Brd5-nj-;%DV4ykdEt`?|Pr^=m%!K&pO5ejRNHMPagEX_A0)QdjfnnVbf z$V?&mu7vtew8?6tO1?Rv-p^Z;OZD8q*C*5+eqMRx&|0UOc@ZI~rh+(W3gY%rkULIO z!4g%(n~3K`R5rM|q@+@P?Iv6=`s={b-u0F6t}hLhs6WBk);H9tO7-VA#??nvPW=xn zvbS1Y6u*|UV`aPgp@jrKd1J6Lrv6T0>*`ZC2H}W=)WgRjmAYG&REA30RegjKDAcx4gBf{k9rp^-@y_d{;$% z9}WD%V4_1W22zss^0(3}(ev!q#MZ(0TvVmg8~D15s@2|4%Yv+eZTL4)N8u>oohjTa z!~<9Do54-e?7pGt{)t1uS&U8xXQqeujb|os?{s*&e`;iOAiFOsSCprZrUxcr>trSd zM$%)WxlDR!avHP2cskR6aC9FW6%&&anF{gYTJqS;UqDwQt*sb|mfT~r(mU%B~{W}VJ=g4Ang`C`drQMkm6v3x^F zeF=9um-%qx2v>t}fnw9g?3p|ruDiTaO~%zbf@(5|zoqC(N|x6zeCU@%Vt(0fG4^6*SA`uLgdeF; ze~0+?c_m`_gMmG@Md6wQ*ha&Zb*B&4 z)g_~qu@O{mU0qXUdumiIi{r9RqPDNEuPsrxw+>TPqCQ%EcyC=@ZM3@G4XYik!xUCm zRd;%{uCB3mwwxl8QUB!^R@(9V5_PwM6soKrt!qd05b{u4S6OqpzX(I~xXd(9S-)vt zl@*(;-SP*#JTnX7vcBW`ZC-~$pjpSECpoh=$hv>P;jY{41>gwpHG4)vXZmMns^0*; z;~Fz2``GX-G^m#4u!kNlPgXj6&U_n=llnz#%Sw`H61u(n1GRf=lV-Z+n{w)lnCSnf z6^xc(YB<~wYn-jY&4iKWwTEI+;5PM(V-d5#$~WrNU;1z$7TB?@;m+m;`=@!89xMO&!I{yqT*c@F-pS&FW%?#) z;@Ks>3yVY1P;sRhfB2%B`o`J5HN~OC?yy9o_Uqb!)*+Kj{5{#N)zC5RXfGJ@) z?uz1Fffd0cFl`UFVu(oK%EzwDkZF?|U$9d@4B!mp+*T8xFWad%aBY$s{^3~Y<`G`Z zT>QC9+MI3eXv zp#LEsp=h1%Yd9Q>x9JyexJ@V*aaG_doV{$+rje*P&~`Q1qbe82GCQHZHr}d!dEStE z_jp{LIA5Fc@xb2l%9dz}v8~k`V{!Gt^M`2Jj|J6795(gpSgX4AeB54%W19juA7h^_ z3x`7M_+o(i2e5wf{MJOYFBZj0Rc8`^ar0s1y3V3n^;cl{%K1Z4^_{U^^)*QOOAsDD zKhidHc&}R4TO8s|tsUwwjs>ewcxa&#S0~kneL-{L!PgcQPDk`B9k31~so7|MQ*qnX z(YC(g=)M{C(v5Ls`VQ4vKcm*(U1q9_?>Q>$TuTA(xVRY`TlqgzoBStqAaRzXlnM# z)g*ZDxGnTgwJ~)a?)O|22}Sqd-d93>_xd6Ag;lNUZ*a-^fmKfP;k%dW50}cZT0|dB z1_O!NXkQ{)(RVXmJLyZb{e53lJ$7TfPkl?p`(o-FH$KybO^wG?Q0y^CuXr+$1`JYg3m;)UHT0))z*JFKAVRz1;V)ceVK9sQU5>xg8gYl}VZv zj2)`oTNDemRrMu`Lv4MyT-%n2R`#jy!#cS?Ff_v)RV4b#uSaz$_GchP{_RHjv-+E>So<;S;Y)u%7;Rb9q7 zSWW>cbl)gUqrPadx;&_M#nm4ki*$4)YSk0h$JJL?<*+P8BRKD*S}_!gw)fSkIW(i^ zR}BrfsntU&*V?8ck&(W4M~B^DUE5_{UhS9iqvj?n+X>m%y*RlUi+Eh+UOU%X~Q{qR_%PhFc+&-z-;0S@2zRsVS| z*3Xgk>IAm`e(uK-A-GPx_okqFm%_FqTElsfa8d2yD6|-^RG-cDs_$cD`z%KPPcMzc zpvJ$28b7~u2%JwJj;IHgV(07zoQcFim{YC8*I>YEt5B(kstKxzUUe|OPHl;p(UR{P zt2^Go9B>71-?HO6UJrj9199dWP{k+PqUx3#hxW85uMd}B2IXQ~wkRCh`_4p#`g?UU zvA!Xi(7T7NtUVP_Uy|3u)aCSXv8$qJ4<&7-dy?U{O7)SmT2(X>9geCWyfdyovm^o> zRG(cEM8!M_*Mm!f>gjjJFDw1su=+k;XFwT)B^7Nk^(0F9#ifyidJ2v&)A85HIUFH% z-;$h2xo-*HF*7ZY*G59>TiKxc#!B3SsC9M-_bq`!6Hn%_xK~Bw#xUK9?Ms@ z)ekW1mR_+r8clAjj8@CS9}0-|am2eIQfe0Ge63r(_jj<_yFAiXa(QWAB3u+ghmIko zWvz)&RjqDcGD%gcb?DoNf?>>I>qF{$Yl30**+UV!zrQA!n87#@QuiJTaw_@3nqVw^ zbu=1nQ}2l5_GC!LXN<~_hv>zsIH=M=6$+|rgDMzL?5_;3!&`jnRj8meqBdjZxH4$E z8DBbA4_<=PHduLL>&czkQl#C z)!|pwKf8J1JND|a%dtwwYbbRgwLVg^zA`k!+0BfmeEnXXUgy)fjm}1IQ=!(jC|)Z} zw3VoPM=*Tg4MX*Viz4dNBf+8&3Kde%T@(yORd+8sr;)?g_th)wxMC}5+px)y`8L1$ zY>`jQY+V7+r1Z^1tNI%5Hh;&8G+^q*MlMw>vrs0M2*tu|P2%N@Tq634#<2g}rt2;e zNTL|Ei8j+?qWTQ6HBdMFp7z^I+Cm3RCb*PUPZs+k8|(W*x|v2peV7==*`Pvd6^6${ zaz=ww2el)vs+7HH0Q<#xqIf3n6xw49i$OdQCd_S}Ql`y)`%6K0%#WHM8?8Q{J2iuXjhjb(o+KAUl zqiSy)YXMyok-)~CdlhD^3-h)3@e-78MI4K@P=dOsPqd-Eqo3pDnhNzsKNg!s536tY za|-xsQBZxSA4{jN6~(WtsyJM1zrtp}W*$n^mR^QC`&1AXqtUh`@5gT)+7ea2?2o8B zi}aBWgRv!waT=o5V=3`$QIN%mVfCD+`qxT0LbN9Ouy|#&Gvk0NeihY_h~6A6*6VPL z0B!HCO6X>ZH|B;_M=KXWSh8ONOM!Cj!wi0QLcM&MFH$R~iW^i#>u@4giM3ofygmPx z$ImbKH5QkY$6|F?$>Img0EdBJt56>=m+F%xl&?0C^zzwDQ>o@JmHS|^U=i3Iu8L>o3(O7u6!l-LTA5F&t753gzU^rT#M>p9QVitU@ zQg?El!AEs)L4MR*Vjd9u6Uw{T6~{B|qd4|>baE;;Iyo`#=xxERQkxmyY`_NOK=$AO zU&@E&?3&gvooOud6C&KJ$7|80y3CQlzHA*V0~nO1VetDR>Wg?y?5g0bGjz2jZf)zs zoQG#0m4GtW%NX)9HaPJ$Q5N@2wuJLG9?XW3K6!_4UP--2Lj@bg`pi#?h?r(?31u{g zAm&d-o0-%}|Lr z&BalUeefG>0^v44PTTuR)?-FUgsW@Y!fnpYPkwqu;l2dAbQ`8DoVIvx3;ocKwQrvp zvQLxu|H9WN_BiQ1O47%0Fzr{`zLN}m!b9LUThu2NHZD#YJ5+f72T0r><|W@^tWnBw z9H#pKddP}64nfYny9`Ti9&K^{429b(L%$n~R)&+#OHCr^n@fBbtS>1F7hPvI&GgsL-X-R@VbrhALOyT-6y|r3FUc+scFLp}7z(s^VFfX=XHOH~PQe>b?VOJ4 z8j>SyOVY@SYb&dx&3I!6`x4j`<)Kjt(elR;6BfdX;6D6?)q(d`E;^+SajmES+Rag& zrwfRC&ZTyz$9D~K&v>fnOEm9l(n_BVOBfDosn>OU59$g ziqxuq_APx}#apoiz3ryf@kF$~B3i}&RqHGHx>X3<-N7m>KWq2wX-tGl)|Dnk)$ark zVH(20VhQ)_6Vc-C>Pl!@Hk3l^OE|IfY;r{eJNA4jHe{njqHeoB^k-%PDq_CBel*?JS z(pQnJsI6!!MdqW?vJ#wvavCYc{FbPXRpKyd>D_xc_}9wF3S&&-?B^{4U*J?;#%_O4 zrgTH5VDKmFuZz|vJ0Be+nv}cJcVU?ETl+A&{)Xe-Z}WKTt!%jd zHq%?=I1NLsY~(L6GCQNN;gN%TZ0!zac)vq&n|FG2g4?_pvpHAsb5e2&4=WnyT+4!6 zu-^$BL5HeD^9>c_YV4|07@A@*=uS0diYoWE>`UzL>ofOyWB@yX;W~z~neC|vfBc-; z{pI|_!O3W7p`1ewk}-Nxo0-%51|=9g;M*ill=Q;^YIyH zSRQ0bJ(@WcEnXjPq66qtYdFja4V?NoR028S!XYaT97C%|ExguiuLJqDFIc0#Qgv>; zGWNa(=Y4#B{)MktGOod_+} zylf)P);at|vo+d)Q=it*AvbdDHqB3xsXE_=+RDpKJKz_}cydn**ec&x-2Xln>4@sh7&`dy2!`3sLqez^CP82;jmdC@w;#81 zUIC%qY*6xZa0(++X{D^8`_RA^yBA(yES#y&7T#jjm)aKZ#hyEFXw|yK+TE7lrc+RrCTlg_x<%~_HZ&&G#vyKi+v{R}`%c{kX-F7T_TgY-CHA6VX8*wIt!s-l@Utu! zet$RC-quhe@4bgZrt*(^wdeeFIdk$B_(Rv+*WgCWyt*; z6Th*CZAo9GI)O_Wrcb`$k5s8|S*Kvh-@q+Jb+oswRwe_ivBfD=yfZvn7whM?{`+nU zUheEh@?(AK&oJDt^{Gryt;Iwp{MbIEO%-cbldX6y&uq)^n||s){Jx83usX&1bXltj z;aC3D$8mQH`y0#RT?+f*%kX`t2v%%{g&zP^Uj~cR(Xe!YhPT-PqSrKGosYo_*J{Q+ zmxK5*LEH*-dK^D0sH$!MwuYW<-PS}JR&3VV)|KAf)zY&yo$BiB+1k{zwb|O%l5FYN z)~Pvgi^tlATQ%E8r^gTXPiJ;c4ff|UO-OAllgkWl$5#QZgJZcgE;o)*SWjnXy1k*J zFWs5i+L4B+t=(33WO6zegqdq)M{me*rou%XEsmlm`o}>?4~$J_GZv)xAMA$%vYNMc zv>-o?eLY)|nQ44CZ*X*4QiH7Osu`=NX?sJLHN*j({4E{38j>x|>CVPhl!TPdHVfZ$ z!xbamIuemB-EHZuU0n;5X)76}JoC%j&ClA|rDf~G5?KG65 zw)S;SWhNSvJ^OlaHlE4tYtD>M?(+-|>8)+@xyCJ5ZrPkhUG8f{A(_u)XHy%BK9ruC zK_!i6#?CfIo z_VB7H3SbS17*^iENdL5TO~=k)Q&5iogB9bsk(u#>z-U{E0aRb+NX2+{uwpn^(U}ca z3=Pdp3~=Y8B8$%p0+Yt7bNnnsM`t?K(6gNhr7?;PTRR)NdYX_{Y9`k)Ing*aIdBMz zNo!^zJ32g(84OB34h!o@|HR-Jo5jq;*yzL|Yix32I4FM{ZZ@c)=}ZPKCrhPm?cSN} zL5xZ0+)keD&%y_^P(;n+w2@RpS3~>Oo~>PysqU%%flR|}|LB-CB+apZEGJk>(UacV z(a@NL?nWnuCewNejLQ$x>8aeb-MaH~HNOERCx>;@>Pm0zZRtif`*YIFbExsLO#cK4 zSXv*nwsp7c*_zHd-`liv(6zOpxetZI2NqFkRywlMdGI=@ANrN=ZL-WgE$v%7cS_rb z_NDvvb@yy-x0-i%b~Q84k}A_8drJq(hTgzN0Ciq5V`XvY4%%d8=Jl!Ou9jU}t=Y*j zi9gUku@imt@aP2VUsNFuM_rveui2h%>g?JoGxX$4j;&6osQYLKw2w+1W>KUH8fUUc zt!q*(ovG1@9t;s|E0+B&P4|_7f z)`|Xukefy?z>R4u*OHyyh8Alz$VV@?p`K@^GoDXlrumi3{)5)e3EX8IZ0W|JLiRL< zxFb1hI)mPq)-7_{{l?`~GsIg{-T01bI>W|qO$|+Kmv%dC%?vaQ4o+KJ?axrAkc~_Z z?Y@OynVje!b3&SMb$)c1{c&cjd34sAni*(sXj&jLSiGIaNQS_j6VsXDQFQoe#%`P$ za)cwWc{D4!F#M_QeW}UAnd#06hs)NM!y$QPjtm82n$So+#o6B1mFXXJ80;LgPE4Ey z(q*B%ES_FxVj-n2QuQykfYTs;Ykb#GXoGT zY8``yNEzEUjhTlole%3DZ_%AJ7t+11J8zMYuAOPrgk)`I476<+{j$hxBWE^~nij1` z9f!8PZ}&9r#X3xgY*)|0cC_@&p#9BW=Pjsc#{JT%M~bdvd`-^K*nhSjnWEB=o9qbs zugn7YfLSx!t`L!$r!mcpZEH`Zwz~Y?{j=!Aj15NJA~LDz$sET3BXoe;o6&tVYq8|n z5u1lQ zD1LHk<+36$rx-4~#%9LYJTloVdXc1137ODBqF+^rsWOO1MJO6@#6G-?lqp3>`4 zGuhlpg^Tp=rfZr+xDFDD-B?uU8c8LSwvaB4e6}0$-S)2IxdU#BV(p46 z(J3^H2IPut@1L^ch&r44XR@MbCzp2nE6j@1g^}>lEa!%qvCixO{=$vI*+MyIHf(Rq zD-S|F{Ww3vR99hA-D8=|l<6!pV=@gplc~+!Qq@i6vb;LFTN>SO3x(>+>CbVAC#|m8 z+vZ&TcpI5y#BQTqO5_oat2fW^+?(qkGm6)} z-RMxqbaZ2Km`r*lxrt)6mp^u-?6NG>Oidan+bCvq4i%Xl`%lnI0X+2kq@jfHE7m>Q!m~pl*50m-G+4OciU26NbHPl`tg1;mELJsK^)V ziH|T8q@~*{lfh`|sN~LO1VVC4rw)f9=B*`<99rc47^Ws+$axmg-Hi=cY)%dvqO96H z4Bg!=62ygx9{N0iX6ex0qM7U>wp2BBW3S0()HA9l7Anw$A+JX7&`@`7Ix{hx8!@3& z(biPUyfNPX${ZWAs1YjY9vK~C%Wc`ZOJX;VPPfCNXEXAoybWfQc(s~5BcM=C4SHfB z1>LAUQc_!+TDp!Hx!|yeXR_Gonu@g*f)3C;Iy{Ol;fZBh&;XdSLU)^iQHm#3DAh!! zUXrOiFUDR8bsOjcB6e(Tfr^ktub`%e4mKfZgw_d4V+<6gjdFm}0ZSjIgACE6vMq2W zq;qYTky`1&s4{?7LV=?bx;uE&GVO(yG6g|4%i__u^a=?<yE$I}Ll}AGNIQDd!`lkntDzVt(K+G_Q zG{8l-kgjaR`dOQmwm6+KJ$mq!H{6VLBk1{b7xw66T}P6c+02-*_8dVjOg}+bk0%T*$rO?$*w(VG)3n$z zgkeR9rMC(3a;ExWpByz(g=1{;u&sTgY$xUXoWf`4l=18m8WuZ|?v^e#MP!#eEC7~I zbczyT{j>E+9;}1O$-}Zpl5nR!J+}plLOd!Cx_E?G><2StygkEM|LZKNA}#H7bb{ZvdKf(3z-_6 zp0%)-%6-AkE(=!OAhrfabEExZ+@c>il+I1#7jA?F+v^l&O%Kct0!`6|OT)=ctK>y$U9~yDNo_zd?Mn#U#tk?w#1F)Wodb z6A}gttAkc^cTYplPWj%go-XXP9>J!BCqx*L+4Q*8Y;QC(v)D!E_NgdoV0_Rz+>cny6}BUA%OaB->E|w> z?M{nF=M$u;{SqZCy2>rs@twH>e>6>sj@?h$MC6VHB^<=Y9x+Z#(AbjL6=!i!DsLnx zc)<8YJ+?q*(Q2BU>ds8hjt*qt9G4x{j#-W2mz~t6sTrZmx>g@2=o15>%4q{PVhgVN zMGs;p7Dt#27cq_4Lu|&Y;1UbT3O2;1kqngG!Nmte^$zIJfywa(oF&X+XB&HWL)ehF znmXIlE!)zaJ=?KQ4?ewP)|DB^fNjvi+onyqNt||Qx?#t1Op2Gqz%c=f2b{K`j;%cw z3`msNPN8McY<*X5nPH?Jyu_YG)#pp(B5EgA3wCM7(RT~7iXP9|bwWzxO?YyA8;&UE z=?jI0x||}JWSLhcWc+qEpsE52nQT3ntWGYREiMQqsO$oEty+%H7V5m6>#3=u7Je&= zg9UL4ErzB$&jzI7BDYQBgWWvL+c`BhiLQqVVE>1)4MpY<&O-?tQ5&_RaW&(0ekrHk zCWNgo%cLw*u=NF@_HLHm31B*~X0Y8Q4JA7>Zl7|s@I*-Gq7g5c4oYx0g3%*rSLh%+ zZ}yQ!<(904Y-lS53>Z$)W@Kr_>`R7qJUb71Xf15tVAb_iP!BpPgtkLz+4MyE5W2rE zqMaSP5!ybpZI_oMCIQ%Prf6tf_6TiGWuydKr~9#YjH*H)Dgc|0MwXm#T2orV++)?k zn@6*DP1pnoMm5MfKWdSn!Z>Uduj+o-d!M8L*6#hoE^L8HNj0 z%$p4uL0Y=ncVkpd?@To};8>c2yBtnm16#elrQ;eN`DJIua#lJmA8+6pTske;OpYFG zJetdNj2^_{PtPP5TG)WjJGiE1GZxObx2AjgQb?tvduJ-84@Ps7u;9}AP!wX)v#@mB zW5-b}e#S;8GBU4`Ea5{7NauW#K1c}zdb1aUqMfy#$?cgVu!GsJFjH;I>Jz-|JT3BA z4BEh1R#r~>EXvDbZpBwK(4jcac28%x*Pe9qG&~HskZ$D|<^(uCtcJ50`^?Z)L3gD` zAKg`6PqmYfM5RQBBHKAfogT_;dF2nK$EEN?>9K4kbI2OW9I?+$(bTgU_7YZNmW^44 z7tV4ESN_(nhHh3o3k^Lw8tj>5ZKH<0isYOpI+C;5q4aQummC&sR4vUo99}pGtq!(_ zn`RRA-7_g`C#gHMsUo6~c{gbER{`732htQ5(8avZ~-8u38vrjK`J})<_Ol2&7g{t{d?N?z zU6O`Iu`o*xP4L)us9#2(iRtXDHNmS5cBJu1oV&>-h8bvfD6QADX^cRkKzxsZxHLA511Tq z(MF1rJt}Ajd6yuZTrmrfJzzlw7o|x4aBgyD3R;~vkS+Ws3_c(+U`_R-L8GPfdP{l$ zr?}Z04pN`g$^_5dckAmts89^PLlzbS=`3=Mq2&;Gco_nPwGIzpWR_bCRgzOmgQbD; zpnA|vN4X}!r-Ybo{_rUkIe2(-dXV}NHOMF->Smm=L1=*+VuKP##2{Bx0G2$5MWd{= zG%iET;8MfTs|t^Q#a z17Dre1Arb>I2dZfsefRgx=MyF8bKT)vTX2d$ueRNVW#4wAw!W2-+F-LxGCeGWCaxs zHrRUCBSmTKX&hw2;H50&XF5ZhQN)i%~d(*|P!5Em0&nPxf*D zN)+Qs40WV63z{t*uF0Vx_B)Q?Z1j|a3PVy}WqC5gyx9eTKpFU*G|<7QBvz3urMyKI zPOKle=c3a{!#tNMW^$@>i_41P!5Ve4H9e_Y2jY!Rv3c6H%Ta`B4TuWSH8_dq#wm%T zG3Ji!$YE)DGBe6$nyhCEB~_-{$tk&IcyJn~(Eu}U%zsfecWKCq9y_gf2k4uT>y-T9 zrOfPhLWNU~cMwI9P1~^F;uy3u19_acbFe#CE0|E%WE`u*oDgu9MM%L?a4Lnn3091~iyv!NNb!O?6F7690+oWGXxRt($HG*WEp=}LN%?Z%D@ zeoxx7a@T<298KpTva8sy}8*8#imh3uQJa*OHo3jK?E#1;c6t?1F*?`j_4TFPN z@5?UTnUpj{^+@G&r;?e_H;~+H=;XAShv`7iDH1K&f0Ro<$p|Y;r>6P2`7Qz7hexrL z(m@BEvJK2kV|j$33g()YXeWcE1ZE5tkc(lg&nB=zI+T`}&>^n9;)dHar*kYEWT%4# zaI|DPn%0I4La;b7v}l=j;kva3&!iXJEoM(cQ;=)o@Lm<%qz@SWpXSa7$gZ+HJ;}S^U`PUuG@1==B&!RAgeV}|g>3$y7NeCmRM0p@ z#4$|c-vI|KI#t2ZHg?eJ6gAdpp%bQHWk#p5_W6C^_n!0Kvv+T3)oH)U=AP#~@ArQH zzjN-r?>*1f8yfw((k|thjExnFJ!>plc+TPl=bUr)qTZLsc;KvWkpj~<@>IsGrbk?5 zllygXRY>s4#o3hUQ&&!#bW+Ex+R3$3>$7XmubozVQOD`E88Z8gQZ1WwQ}>LnOwURV z>1qAHM1OtV9rgMDYdBb8gV1s~F1Bh|mk(+1j#?)iXT zeH*H_bH&e5ndps=>A1gpc0M*G-#Izo)sgS6=X+8eXb*P$5brH|p*>?A`ObR2D_9th zfcX5x`}P`-c=);&JarEK_1deyrLNztj!1(K25xpfIwik(a(;{J(JV7NnPmDAnS8Xi zv$D_t&x2j_7+XEsam)>0NAs!EVcvMo+K%qGembIjt^1C=vECE&gKhF3mjCrFcwe{k z1K+y6aooyzXZ2Q=v~HT`u<__|FOf}kjvJ2@cU()Y^CQE}m`{|S^4sNy&-FJ^zaEl* z_^N;3FE0vw>wc+n)inejRNs?0u42l8N7M-nu>_0nF*`7N|yyv{19&zpPtO}1Uf7N~emm2%K)sD65j|;nJ z6dN%0fFsdw)jiTUE5~D>_nXS=$J29T6~CU#e@7f^jJly~yf^sl$wxc#o9pSSWo4pb zJk+Ml|7(u1vp%NIR&O1)oI_^YY7QA^b7&%LbH;ea+Lz1P^!vE4Bgfvh(Z;q~kB%03 zCz^lNI^xU5T!$_9U8^Uu#hMmjU055d{#J;et3P-|idSi!aNTURZ#0q}qQTtPEbX2( zZga7+Wg5!$eY9TZCR!`(BYrRN`35Tad>PfgC7HwwK_Je!?2$-?(hiBuG4YVpYhnQNPP7kjQphQ^w%yw{ZQTa znJ45&OSYrU^~LODp4}b%w4P7CzO1!sdB01(aUB0~{x#p9F!t783q$_${=nC*oo|F= z>5q1OZDsqp;r;(Ud8A~2pZ?YKw*HZowelyLtIw66sQ>Q#f877a>!0KC=*wFFOw|5% zJSwy8_~VuTT=*?AYB&FX-)p~>fA-eu-aA*DHX5%DajZWuDc4_hZ(?sSz7hE5y}`IV zaLxO^_dUM_&wgR~2Lj)E|Fk-w>RR2Oj6>Enj&E5%^N*zKq37UVCgbjr&3`MdRr~zf zZkN|{*UP!T|MJ{Oh4W>OiK~v+@%VPWJ-4MzxuY%0^?BRXdC76|HUeLcq4&#p`uj)Y z5JH`ZLkTsmi|6Lk`VSFQo3nmQjaOBQ>)k~B!cgDw*IMTMp!_!iU)Ia}UezYAsC3`c zI&bWY=5oF7-p*}j&m;OZKk zp>x$e`Tmo$Y*ce%mNg;$L&5Vp>ME7F0|De2!2~|v;mH^MSs;gnqPR10J(>~v~jZpt`uKT{7 zXg*un)x6btg|8kJhOlO|ZT`%}cr-R!^#k=JKkAy;R^PY6Z4npysJRVrXl=ebx+INKT4YOCb2%|<)hQ{o2TWs=mWT^EkD<%Z^(nhC2P#e zK;CjxxH|uhN73@Z#QQoh?)XEX@^Fp()z1i2E7?@XMCRGu&)54(DJXJWT~~0ZE6xZ$ z%g^z&2LyX~R1xDi^sg(v!qxmEv+DIXej%jLt5FXIA-MqMLu6ZJEGzbRWZ=;$xQ zh|n3Xw)dFqkyo29d&&Z%tH$U0++SK*v>y(2wRM4OzHhg@Xs9hodHooZcW$G+7dOAu z5pJzG`rQ0%7LNN%xks4oUU37mrN7DhnD8Izc%uF`J7m$$4{N0Ldj{qd{!k`+x*qq4 z9n~5Ds;%^L1RE zzs94ibx=I}i{C$tM@efa@%|drsxFVKJoK(QgMB%kRaif`ndE(m#B zkE`WF+KFSU6S7XN>SR2!PMd?@=4%o2gmO`J8q>mhF4q#PD?&$KQ2vQNM>acjjXo&5 zfeF5IHakR%z8mV;y6x(kP**gF_*}BT&39<#6OkdSW2^7G%?|OPx3+1Em5o%89w!;f z9_!3^PR(~s$#+lA_jKg9*7Ns-S!6zu|5+=a6MffieUI4BpnNXvp4(=DF6~|-U%jah zP~{m6=h%+mcH7`Hjeqedfu;~qDwXa{Uy4e~)`{kgpUjx=J zh_|c?ocrE>hkcV(t_6f!?0brFW7pR`Xnlcn%IeUj=hhdN5B-xH{|EW3{%Dm9sQzW# zf#2E6`XgBKp{8~=+u7PgkVKdIu%Gzv^sGFTNqSXJt_hGLrmwqU zWx(lne_R=!Umy7Lo=e$_{bg-#_oAL(6|QUSA0*c9((XAeztryQURdmL*zVWgMD4M5 zAINom33kJM9!GRdwF<2X^-n~Qc}drW_Q?mObX?tL|z>xf}oEWT+UZ22vH zIoI)->o3L|E$v>XV;hruK3tOL^u60FS^hNG1lky$4rQHkju^IW!6Y^ct z^WD?(J)QZjQ}g#s$=^FUzpbOM`(Cw^eZP90{-rt%Q3rhc&(h`y!=dFcrsL{$xRtMg zGt}?0UlQ!gdlr1bp^HE{)$1R=;7J^teWO3_gVnO>BkU1Jn6Klak92ID4#T|^oZWjE zw_o`h;yq_|cv##NafWr+gwac&%!dA~&ii#d{(VeyUDTCL{cHXaL!iCvE%@sh(7pCF zY@genWPW(^ystM8o~KNBKjsbLqq?`^;pj(n-0C}8vk@KMV+@RYj~0F7XTK)>y5OgE zTo`r0j^~`meSP9goIQ{D92lavDVc~2) z6WuRY%fRPcZ5%v+oBhN4Wc=WG68DIXtM{~&3D2{(_lCT!`=DATc%SlZUR}Od1CwZ{ z@go&}O9k`TYj4j}ufenle?0X1Bpp}RgP%8)HCOj~du7|uvEhTl92@3Q)$~W`FWK{; z>K@^CzUiM)**+oLI9{#$ho)o46Qft?m^m`qncv*%lMCvAEf0>?G*J1?_pj!Q=S7bu zUlYYu(KdYXd}!KbScd^ySv(thxz1JhD=UlVLLU;}Zf=_|9``&DYa+itjuXPPe1&%fg9W;+T`HC+&XN3q}v1;a0pB>VO& z6%MaoN~PnCS6s1m)7uU4!y_Z~3;8Sc(6t1c5x)X8MulYy6mN_WytLU?uUrtHaI4>S z7rCVwuVDV?ob;AC)3z1*Ib<5uem5A7!hiH9=_UHZt_}!GsTSUsMi~2@V{K94Ja(P3 zlT1#wKix0Eo4@%w#-AnZBn4}*;Fjo2c{a=5bHb$T*Lsg*$+!zDcDr9AZKg-fs`R0) ztjXWJ$@Oer_jtR(iruQ`)w9tP$J+oVgq``hQu7UWAGl?jcR^dvhkRy6KQM%)%mS@ z_9-*ctNGw{k~_ctP(5p?9X&eNF9LrYPt%|Gchi5>vw!W@$&}2W;a0EpqSUMbUr!}7 zuOjv(z*}oz!IR@b`oCVz@^Ge}O|9_q>{30nqC8R0rk6lR_3VTSW+y6BJ?ko4pwk`M zGru86qv*g|MwjrJc1#f)qSxUM7bm(h#>tZTd)iJU`?*Eu)$@TI$8Qi~s%2_w_AcQuz2cAlQL|F2W!LJcCj1t?8qaSJVv6POahUx4_Q3N; z9ERuj1)d)$mPst1-wSwt%wh5q-|zYFIShZ;Vfv%DSa#7rar808`j0qFe&Xmo{~?Fr ziKF-YBM!q82k-e#ZW=<0<(2cjD|{|ztc?%x>oG<9RSx5S&|%s)yVy2j`-mrxDdyi> z!CQ*{>B{ahQ@vXG#Bs+|3->1<7bdRT=G()?wiL@FCfe+&&rNK$Aw`~;Xv6S_9i~0R z&&Cw(iH|jmeFLMAB2QebVfY7&Z7#O=2_|(&weZ~;ctlt#G-17LS(j+xuj>$u3*&Ec z{C9L7?D=v$yRx5{E4^C(5my>hE&DeeJR_`I)}sH;?@F{vq$$Li#uV$f&tZ7tKs|pa zjSea1-|sMo4?9eLVh>}A{(s>xJh6eEpI6*hME}ITd49da`HAWA{6dGxPwbB8*EQ* zOZ-4gwe02MyM!A$VeOsgc*-N5Af{TjNcLw7&(jI);fW`Rsh0hr_)CR(zi9qO9FILQ z1~JvL3uJ$-@O+&x`+bhbp16aUYS~KJ-zEHRov`wWJ23t-@h=e8N9_eq+=1~U)K8c# z$?_9-U_9e-@RSl$J~0L{#ql`eFg!5_o*z85#PGx%#1!+-nO$OdVh&=8{6GaC<0dMk z$m_d{M#S~(ooWx5OAz-@W5P-eUbOnd{@f`1bp^bpz-jy(zBT3#PHaEv;KTfoV)?Tj zrvAhl#FTh$_CkvOiEl7W|E_hI{OcWt-(10;E84H?dyD!J0})d!kGKcJ_?utUuPeKw zMSfx*yglF38>W85KN!Ye2a`LbSROGD9uos$82j@b#$WC*{3?gxiHV3Q_UDkp@Welc3? za`ii{cyCc&uZSUuDb7D)NDPzzahJc*B0n)DX8$tr7YY0QcZ=g`FEJ-(|618^7p9AB z|0M3j_{+rKF03Ib=F1a~#~*PhG1aoGWdD@#5}mO5)o3JIJsA4iVcIv~F#KAF;fEZC z-|R5_`y7Vf>oEKwhv6TqU}9Bbit~BJ=_SUV7?qeJ|M=Vz!_PmX#FRJV%o6Wae|%H( zA=fFJKj3Il&ps@EhCY(1IUa00{(2n#8`*<-OJV+IJN^Oj;B}gS#&4Ng`um!AFxM61 z%l)n^`>uFPwto;`6H{#eK8I=l0f(vY5r^T4v56_>AAMPg;fb+{De_NO@Gm;c`aiDv zf&Kc@`>RIGU(b$;2YY@{aXB&R^PS?ob-DhC*@-EZf7IDeZ(-lT%~D9U>_qXCg}G(0 z^+Oy_Of|+Ldx0>QWaEkJG5)3E7YqCKM{JMrXNkW=c#%$6e&TzKUm|{o@VSmBzQ_1J z@ec@d%WV0H@iBgt_(z4=l8ry&_(AbM754Qf2FUVn5&vu93tWC;e~jNDzF$~x@e2RM z0vZ2)@vj&5^(Pj{_z#QUDZE)Hs9%_0j%WT58x&J5yIb~82@@-1_UqXULyG$kaY2R; z%Klp6FX)7|pLihS|5p6Vgg@wb;((0*y7&i#zw3D7e~kZU@$VPz(0Vif#QYfl6Y+m8 z?8_(C$M~nkUnKliov{4G_!$3d@t+l*`d7|Dojid<>UW*40cFyJc!>h{2JN+ zK$v(Qv!79X=ojsY-7)*+vY#n@P$#T?#P1kSc{9!{G4&&EC#G1R^{**0Jn=d)#qx;5 z@tF7;!>d&O*}?-lVdWE7V?6$dpYfP@8IRZIC8oajI!yip4#ThQ(|;kw`VkZ2@jVV> zf6VQVZ%};$R{z@me{6czi23W`84zI6f!`$i-Iwd0FyLDDHsPs%qW72y<6jr`1}}Ut zx>Y=w7xO=x%`K#^?0Sfl?D|4nNKA1&<}NKU?I$)QrpRAj!FN~iEY;8KZ&7*R2X(^k zCl5KE&q@QXIevYae=of7&mG@cT<_!iuQ$8$sqc`(f7PPB#ID4o@7l!QCv5jiv>p7<5xzbJp(h1mkl-#*7x4#N|B5>xcoIZ$GFVo_p>{4X4a?^xE%_j0ibDYk#aVagv}p6Jv+`yGbg zdr>og&|&zI*ERF+a~S@*mCZacF)_vZ5EEk<`_ENyhg&b-(0G6+$tZg z!kxl;DeS?5O7Z>342QojL$KwiKHZN07xA-%HN6XeU_qsNc0~Ni6&@VuRNh**9-g3L zDcSla1}LUl_H*$M2_Ml3n}4GhmptQ5tWQjFJ{)xzo){m`?|XfT;fd{uDdxX_Rf)SM z>t3zW9&og%XD5n3wZemq@7BR=hfk3`cu?mVzlQKv-W0!|XTPC;udnz6%Ur3REt35W z6&@Vu)W0`6e4gyVbhFKuW5xY-SJo%qlJ!6FN-@RxNxYI_+S9nC#PlCAOEJa%J5<~s zcV!p0@K3Cgw;ysCf5ae_#?$_HEfHwljk_bH3@2RM4DXE%!f zs&LKmVB>ES|FsGaHlF_J)%|TuUD-Xdw`BcG+*C|yKdNBjq&!cYl*hzLc}$#?VamVP zm4Bc``NT>!**i@C5B9`kd+qw($x5SKOa>W#xB) z+V|4;>%?PO`FuC{q;RiJ*#0nkP03Rq;<93@Wj~Vr3x!wc1orU6V#QR;{$2dAFjLU}~aaoD6Ck`v7w0~+pGR$|UM};5O3A-QqrW?OG;=vudVAy&()K#vBSBM9D zeyQo^DM(%*=t+mCk`y8*q$ZMeq{@LV!^!qkh8z6Vo&)8 zHZS z{+{}i`qF=Mg(sgDKVO1hDEzqN&lCQb`nQq%T`2rY^%w77uz!>AU#dNF5%875!`dI! zq=0V}o~iL)nDG1HRd>t(QQ_~L62A|@-=~C6eu?HsE#`kn_*s>2^XU=cH>$kZ$=_qb zX9yfl_CFFHZj|%!H^M(wW7jA9nd;|T^*5C8JRR%%`G&HG{#YdZ27x^BtA+1U;WmFa z3g0L4K;qvjJgokvKdJv#;a6)iTK$MMxxw*&A$-p%SwrUXS*9ze;YrJg!UM~F9spVef~ z3fp|H=?381KZ?KWqCR?Wm@U)af#hHBWwH;bJ_9NLS;9Yc`|GQO-=*==5QO@_R(Pex zKTr5#;V<2{n_u<&*_-tQDXL;Q;pzf1VV8o%CDpWB3gb#eUsj{4py ze5pFm+V@4_?<@b_lwbEIA;v*-B9#Ax@M86ksu=LI!cS>^4<_8HiTpA7=MsniQ-s&{ zl>7Oa!j}n?pYc3LSgFB(J(}gO68^TvD;FmJg~FE#n7@mKm%07=GU1!md2^HfM&YYm zf4o)r8Fik`w|5KQ`ywl^p6wF8UG+Er`-Jb){9K;=9RQzMUcbI1tiEW74)y)2@TEf5 ze}{xWp!eIh9=I3igqLX#9~6fF58;h&e$=~Ucb>*Sjo)

K_q=5PxbWvSKNcpOy*)l0Uk{+4dtEknDBFC^HE-J{ zWHMpMMnx5!Ypz6_MiP(1SBrmhcsRTc5IWf~a*d=aq}Q-%Yt%McmJXxRpB@e$^b4iy zoi#J7winC-scc=hU||*>Y(Fx5gN0OI1hzjkcM!Gahhlis4bCh)tO3R`|5s!iP5Z$lBp3AdE_@{?l~qD{YnsIZaUHb|Wf3$D zOyQ1_q#=UtU2CzsC~n7)%amD=1mT*r>fCuR6qim{b6MlWwWK6W zWV6>)kroVZ+q!YVIfVpP#EZPxRm`&3pK8)RDwLVLbQ6_O)1$j{3puQCT4TiTp`za< zK`RPzswT0oCM_o9kb-Pg)I|!KT^t61FE0`m`He$vs9v4Kl#)7FA=G8^P)Q#w$SOsJ zClgu<0%N6E5^75edKbNFQMUGqks!MSItQT~O*|9dL8&W=7ul(bkWSRU2|78$`hgfa zJV6}j%@PkvvO8N%o2b;WaV=Vy4V#b*JPNy;vQl|QyPHYs1kIYHL=(kel7NfpvS_kK z)t3dm=Po*T{E|I)(PBH9G+Z$3EzXsyFPwj67KKzy-lUaKO4v17s7+!V5eA`_sQ)oe z5G0&%N+rQhk`-wdLvhj}r7B0%9y)v5b=%pmg(RWFsG;ApK?fU9!|Z?Enu{)9CdJGC zq~IG0jq))`?yzs0)IlYSI6NY0k&LZ+)$rBmR!ZnunqTs|Ve`hTC8oMH=tfHkN7t07 z@|zTYwfDt~jmez`5#1yaEH6nFC|*ehHc1C7NHHNdgd~%VZjxtOyeL~LDVQjLqjiNk zWkmyLsdmpoFBm=QisrY(X-&CU-VukZUd5tZP_vGZ7p693#|l$4>^c7t9cm%24lM%)y|;*llV8Y>Y6rllYw&1__DD4|d+OGO9u zpwK@-oQ$JFH4M*5CEiP+(}$tta>NVaA58`usyJ}PtpUBh?D{PTe202%)7HOT3T)wfr z@I_8e`7Il^OOEX7x1l-}rxPnQ9O=vi1Gixlzi|zch1;@Auin0G)6hk0Ru>&q+*SF$ zRxK_-f6*--Yu$=v1EmB^AOj1A^>Sm_kW4l;a>`W(VqD`X`89ZM-UUCQj(|;LVy8giXV=2=26B z7eYv}b#X6hFdv+F^YdT(GYPIx_$$hC4LtCsmX_lCTtQX)) zlUq3|8;Ck+Wzw)V$ysZQu0K)-)QGD5%Kcv<+f5m%>r)X_S{ZC|0=aV!ZH>E3s;1R? zWnaIx{;j%a3=2M7_1F{Q&KNZGxP(UGyreA}1+L7)s^xE3jmD&Uk(*fUd0~{8Gf5at z?T#CmY7j1qwo&PK)Y%3p_#tFx?utD$&VovZT|7=xe8Z;ji|{Zzwgs*2D9c*tjq>hB zKPV4#ih>ziw?G)uq&yk7ns;oKnv}P|`1RYbL!gjStZ(B*XT>#FhR$u)b=I)?4Zzx_ z8Ebu#WT1;?i;5cFu_4WcNGyK4x+(20)OhLkZSmqY%+i=>mQ0|cPJ;%9x2}|a7ULfF z${>kp*R!xeXN;!?h6$;CBFF%9TnP6%{nl_9p<^{Z2-=2yq;fG76(`0G+xo8?3CoPq o!%%D-Du+Gz3YL_HQfnBx*gKpG8+ Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories, but +# exclude flash-layout.c as this one is directly included in a source file, when used. +PROJ_FILES = $(filter-out flash_layout.c, $(call rwildcard, , *.c *.h *.S)) +# Collect bootloader core files +PROJ_FILES += $(wildcard ../../../Source/*.c) +PROJ_FILES += $(wildcard ../../../Source/*.h) +# Collect bootloader port files +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/*.h) +# Collect bootloader port compiler specific files +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/GCC/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/GCC/*.h) +# Collect FatFS third party library files +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.c) +PROJ_FILES += $(wildcard ../../../Source/third_party/fatfs/src/*.h) +PROJ_FILES += ../../../Source/third_party/fatfs/src/option/unicode.c +# Collect UIP third party library files +PROJ_FILES += ../../../Source/third_party/uip/uip/clock.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-addrlabels.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-switch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc.h +PROJ_FILES += ../../../Source/third_party/uip/uip/pt.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-fw.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-neighbor.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-split.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uipopt.h -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -I./lib -LIB_PATH = -L./ +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +INC_PATH += -I./lib +LIB_PATH = -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m3 -Os -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -CFLAGS += -ffunction-sections -fdata-sections $(INC_PATH) -D DEBUG -D gcc -CFLAGS += -Wa,-adhlns="$(OBJ_PATH)/$(subst .o,.lst,$@)" -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O binary -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +STDFLAGS = -mcpu=cortex-m3 -mthumb -mfloat-abi=soft -fno-strict-aliasing +STDFLAGS += -Wno-unused-but-set-variable -Wno-maybe-uninitialized +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DDEBUG -Dgcc +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,-script="memory.x" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O binary +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).bin +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).bin $(BIN_PATH)/$(PROJ_NAME).bin : $(BIN_PATH)/$(PROJ_NAME).elf @@ -204,31 +164,32 @@ $(BIN_PATH)/$(PROJ_NAME).bin : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).bin + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/memory.x b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/memory.x index f9fc55fe..f88ab065 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/memory.x +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Boot/memory.x @@ -10,17 +10,60 @@ SECTIONS .text : { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) + KEEP(*(.isr_vector)) + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) _etext = .; } > FLASH .data : AT (ADDR(.text) + SIZEOF(.text)) { _data = .; - *(vtable) - *(.data*) + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); _edata = .; } > SRAM diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/bin/demoprog_ek_lm3s6965.elf b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/bin/demoprog_ek_lm3s6965.elf index c7b3ead426ffca884e08be080eb53922066eb3e3..18ed0ee83ea166c4e0774540c9e7afbef23e3670 100644 GIT binary patch literal 326616 zcmeFa33OCN_CH?L@AaBax|2>q5+Zau0RjXF1OXADNn!|TkW~i(oj^c?pacSl8w7|N zS(Hs>iHe};xQr6O5tnge9Jg^g%8cR~K}SWMX*LrU$?tRPE&URRIN$&Gf6niB&g*mP zy}EU8-Fxd(x0bi$W$~pWia6&?`&n2v6I2yreNpH*Av0!S*1pV-Q#MOr9)+(}z&ZOd zC0RuoSNTGVpLh+h%aGc)nz|Rj7Qdbh(wq; zcDssM)va7WD3xi>xT0 z{>!t6n}=A!{tE7g9ZIhd-?6*7u^zonaq*9nboK-9&3if)H#dIO%(MH~UjUkQ&x7B` z&$OrB4YUiTRR}~X951&6m**uGrxZ3fz76@LdS8hTC7;&$D&k5K7Ou-ru4jQ5)QAa9 zTG-s(Q|}CIURbuLx$#X{ZEk$`4E5)jJeB24?GvBgT z9J^(-W+h@K*woy(;SBYCmGmknnZwP^jXTaXH{RRKBA0@D0{O3+o4)0t)KaRuQ0Vdu zUsV(niYbvA;>%M@?>xAgX z(O!SsVcEJtnhEc zxnkUg{9D4(VQ=P!{F}n1(6lhj^ETw)7`EWJAS~lJKkUG9Uf7FcW!R77+;9-bIpHcC zE5gC-itw|Cy}f3K$DsZV(0UuR&4O04T~W3nzdRfQ=h|?^#T)XkA=!@8!^J3>23hiX zYIxi6CY(!!jo+gt8@D06jJk1X@W%6~F2ELi+@pXK&r~8ThzVggN zvYc3Id6vd(#dgNlBhmbfc?McX9Xmb80j-ZitDUrZ9pzg|Yk}pYbW|#c5px06t3l!= zmQWgIHJP2Xx_@E~e8A#kzdTbhtYY_Nm}h*EhEX>3eOh@a!ruo^dhW~v8CQpT3s#SL>#SQAI3-r?jmVw3-o8R}5#z4(Gssi7lmdGkO) z&${MLk*4XLB9gUZNg$Y1&^dB?j2t;VE~X$cQZ>pRz7(xMOQe+H8Nt*7-jEro8k%^F z&9;Z*8WKwq$`i12u-0S5l3xX^`?2~?XmiFfHpM2aqzWsg%@s8M8$3bAnk!0iu0Xoy z%jU(o81)m7m)NUz#)84o$mi~w3ykNM#Fw+KmQQ%3!onM*NUp`-ZD3gXcCg)6#U5zg%vjJ#bn>`3nD84Yh7txlL;Jh~~s${MB#?;1vh!c$7T|KM_)E>nz;{g(skV0?MyMdH?$HqMUW>8@{6Wl7=y=u3q8GAa$9l zt6Mk(Dg-JdbPZE=`NNl@{8E$`p}c+lP*MKQj>6&;LMfPpV--ti7+UOY2o}d7xf=!* zyBY=;JCJM*mlVrLmWBbvtl{Ef!XO@q%VE~F*QY+@a_ak+B$iJbNa#}>b}dON?^@DL zw9i$)_h@l~rH|zli^!3V7E7OJPaTOk8$_vE#`}zARGN6KY7`3x9q3P-s~iRBXWy|Z zPXLxuKZ!^Gdy$J;cM9va`XGDl=pEyD-m|BUM%)dr9sP@%w*mh*)I4jz{^F&IVOn1& zVoYiMkJ062O!Bg0=f6b%Xz$^Yq^}ar^AZ;lb^26XP*c2Bk0@Uku#N>^7-eZ z^DBns?%H}dPI50SI3yR0pktZvatitL-h>?NOB01Z>+;jX`#NmPchz5&{@!Fu-pH#8 z4_UL`om_ZGDv+kcHLM5ERzErY-O0|Y3J&p1X^Px19%a1#-O17vp1G{dTSRd_K*WX} zQ7eTn%OK3=owVXAQ0}|;=&Hm0cw|Wit=9D?%4Yf^L$GG{De8*-HLh#URA4`ebe2w! zs`KvNaj3ZqIRi!4kbTUowzWv zSRzF4oFaq1{lt{=j6+sFr8J7YQqQKMvqH$tIpHsl-BDJa5^OC;Gn|Zl@~WXUV_l`| zUpqwteffz_q`8$(v1*;qhEl5vPRNJ-QsBA-wBuY0 z$Fqlny$Zz+vH<(i?byo>#mxFR&O=oC5|zGKr7u$H{-7u4ZOFe6bSchxD&1S9d#Q8} zmF}+6T~)dZXxeLc0`0~*v&BqqoYnu^yjTUQticL(ZfkNweolTaW(*A#m z2z$E8dc3ME8MsK{e>AbIK!MaC&WB`6TB-GTHFy@m^JgUro2%IEVj?d>@1{+J7Zt1|gJNC=HY+-jVijObn6r+58lcT36?CKdCcK3`4J9|3A zF+J_!*q+vK=N-W#e~BLgnX)GN_?EJE!l!*rtW!7GrE}nr6+X#F(Fjel+C0c9?bn;n^2zGpMVy0OlkqE5##ROjhti(2Q< znP{EFv+7(g>bxoHtkdg+QAbwmpF9(_<1Vrm(LP`%X7DqOw=`#A7HdBz4*B`bbU%Ww z6XL?SJ5wH`?gLz57s|Vr;;vhHW+`14(`u?6*(*Py&Dwh0@RcX{H4@z)EQnYoxsHcg(^o=dM|}aqb5TKbh-ApVB=# zl4pSg+^MiNu#EVUK$FkQ^WsW;6}S_K`)9|(EPy+o70!k?evslzm~*(RkY$Wn!1Jt- zk%i1*jLxd5GVT;s47-~Fd+4NlfGd$#YR5FB7CB4$H2M5#d+edirg(^un?EJDM7 z!i0%dS8^2`!kwc!Ds${k3|NQLm05AKcL&`obG(duvbfvEUbzA`2JDU7-ms%viS)f_0Y9uDy4#%`kShlon5OL10=x{lp8=>0<6E34SOE|gxr zF0>B!G$~2hp8B#yQp6)k-Gh-{w`VSAICsC@5m*H@&NO;{IdssHeN+ANk`F~cd{|Mo zXPwBMt8ZB5k`iAPrLsl#?mTSm?yBFmi28Edf`!oAT+K4e7O=>vmsoceX{rt^XWfF~ zt&7HVcz6MFk^fX5Smfwt4PV*e?K<8y?qh2=cRkgp3d|7RymdM;Ff+8Qsh6KcCcPwg zlRlO*)&$?KV-2|(4=><-4lJOS@Qdx;*^#%azx>V@`7?L0ST;cVtE?mzm?Y}&`brLr z7djt2{l|k^`=6OP@$O@Uko^4C`P3bDX4+`-tqa@5a1 z&s~=f51yCUVyLq|l}nWyrC}&?O!E`a-q&U+?$AlU}(} zWQb(x-mBgn+PrdO9mY%*3&aAYK!Y;279qxPBgQWy(S80J8V@)x$30kzKYCt3<76qy zDfZWj5wa$K67E#3#@M(=jE$q=yM;9$)`?)`(6SYwM6|q@KRe^DlK868$oKTGYwF6@ zHTCc>YwC)8ws&1q4)WPZImmZGS@+K5d=uhuPV z>cPtQgwj8)n>&0-Q%){s8042Ubb@`s+lV? ztDam@R>l3L3vkb9VW4v2!l{*;7H+Nlbm75D|I&M#y5=67dv8;A?lv5|9(aF=;?3C7`}Y+*d4yY4$whQ zB2tSiPV2y(KP>gU`>8jOCdOI{^{YQWVzE$vTF{gI{4$RH{Wcs2_+2<&T z@9D2R-~}Qq5D$NyqILqJB0WrVfnPkWIMew0$&U`OS7;8Vn4&nNn4=ie;*I8gibaaa zT4?E++upgEZ7!B7en?BacyryxVyTKpJlxV%!tGw<4n z(V4k)RVZ~;naE$TG$G>k3=3y0XI%@!@?kgDox4xp4&B{y?=0~yT$X=P{ZdffbH^-P zk)Kz;82OyswRp$= zDT~k!b>17fl;%AL%VhVlO1_o$87&r9BCYItaV3D3e8`-lww=lc(-eO;YrRKvlscJ2HsCWl?W~;}12`{jB zLtiaEwY+3)Q?7SyQ}0~q2abK&aGNTb6kn>Xj`>3@i}7Ki%MiEjP+t^NbFd07-$96R zNM$a~TwbuJY&q_o?7t2*X3%rlrM%%<-c{hG1SSf7 z3mYa0Uo7zO-&NTn{=9*4f_GiRc)_E{ZGg721zvT9e!c0Vh7mxr~xz;ppn7zDRwL^6)HS-^o`CO7FNdb6e#%mDA^UnvZ=!4bR(L z=c`I{ZJl>;UZ(}q7vT8-r2NZRzz&pfO_qthaFvX4L)T~8oLvoH$fw9I78nS*4h;iG zPGo6?>sim#oJo)DkbWqzKEW;=*{yJF&2>AhHBVvRQdqM!-(K%7pI3TUP46YW@Fcr# z?)V0dy^*h?EAY2>{|wKoyFi<#p|h^7+oW!LpiSP&NLQa7JWpGu*N{?@h-)6Qp9K4BZ{D7kG_uFSKjurH`%024@GQN8=f&da7B;`#kE^zYPSB_$ zZDNkgk_#>6c|`?yUhOKMTe`evQx#oH?3^3HRm^>8_XeaBNcST(A$fNthSC@EzF*g+ z;~73(ucS7_7ZVz5SboaTiDPSA1+igh=gXM|{%lRVv77aCg=T*H@S4#)kMNa*KVR*q zt1!~9+4Ao0gI?|0UHX^>dLa&ReH7?{bC1yGHEMbY)Vc)a937SLe7Djz|z27QR zHLlpB+F;!#tjqP*VqRp+ZEpPj3_M57Pz+K0UX8RG?abXZBD8R%oe^RG#Ean z!pDhKmWF|$)T)Wt`BH=?H(aFl$)zcMOA>4HC$5K%-X)`Jl%D8=tH-f5tD%GE^+a#< z5WVp=A}qH)D}*cdK53*M_$?VEy9fvz=KCT{KlX`o5 zO2 zEoKN;ZSH8=&0cAG$??*IMHR!0`Cz^WQt7O!x&vcXo!G_)`{8li0P%c=RSm{Xi4Y5h zMnL8=R<&vpW3OHXxeCS-ZU*l*R&^gn0-oWpsu$U--@VVK-TVn&s>1Ufc9PASF}2sU zxic0_pG&#Qn<~rZ&FWbO7Vd4xNDibRp7|6a6(hBjpFd^pJR#jm&-8ia)92nW{hYjO zD`w0-SDkBSO{pvwjFvXw)4UmFGr?&VKlo<;Oax2>Oax2>Oax2>Oax2>Oax2>Oax2> zOax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2> zOax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2> zOax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oax2>Oa%T51X7nU zcD#zQq1mW3W%`tA&>)sne%Tn?B7ydhYD9>6MkU=T>(0U)Zy6&))t%xxM@4Uex;{ zfA-wzv!+j}oZi(x2~~PcSF7~6u*cN7Q*J0L_s^ZaU`FMP**Ez6^v&&iQNOELK4(l8 z&5`-1mow(>h7Ve=cuADBI9RyjulWBA(q+Hnj1MK28;RFWz)>i$XqsY?VbYiqa4#FZ zM3cJ(h1Ngpr9#T`(aa*-|8N5&8)Bfb_hd*CM7;K09ObDX@o#XLd;>CBl$nc6FUl-H zW*{=QTah92c0QWt;y;@IxdGKDlJ;tF6!)Av5>R-}Y7}_E;I*zge7T!<74Aq`_{4V1 z`{OyIWa%BVyqGacmLBe(^BAKf?cp&~9OybEOP`qXB+e*Va$>$7&KM<2F53(QWEO@2 z6~%wO%ms>YOZ>{<@APqyZGSziTYBcBRC=}&dU7dC^#r`uRX36&T~T5kU%{D_Pi*U7 zuSGU=9m!eji_sSAr;w8e7F8V1+laGT5N_A3={GQWtq}9N zuCJ_Q@;X5zIPaVVv3muP=&GE;2k81A<6%_92rG3ZlJp3$=Eu46s=`vysl*&=k>Ni8-8TkxfpsZJ_4GQ}fbA9@voR z_IS>k7K7H1u@A4SqsfNC8|idanL+%jg(r@T!XLFg3_ByQ&Z`%LuLkS$9Xn}q^i zJIKOV?85^s7z2c0+;(u>=>51SkZDJm=d{dTWCAGhM3Cu$Odr(s^3hU^^c{;khQfrs;MY)=esQ_r7Ls&=oR6b>A$;f6gHZZ0NM3uHggQsj znfwmQCZRC?43T#d*%xG7K7>b+JL8d=h|Fsi#T^%OFwfN}yat8)ltSK{#g(IIE{fu2 zA#)qmtU`uro>GJ)m(9WAYEW21Vrx~gxLRZg3eR3-NNHF2fQIuvEbe_238f!s(g#%O zxF%%QL7F0%CI;VHgcHAz8eo-jFj9SQU5A4HNtj-*k^w`)LMO17@;Xhx!B@6>J+0*R9RQpzO=ZA$W+yxnpX zQL=Sw7ls{5j;wY^Q9{YqJ!Jt}L`e?HDGk%18A%>*ZC8v4pk$rV?t3^w$(GY@J-C#t zSGAji22-+L&t_WyD)ZA(ndA#@QKqNSXb1g%hj#w`cbJ~kNq!Ibd}iV@{7Xo1dF>t? z<#do4&@mZ=m5;XKzmQ-~#s8*KiYpDpekSLjxCf-~(TZ7+1+9Dx&ttY+@Gb(+J{Xxx zkrC?Ht0XX1aINQ~r?W>9`(}`npp;3o zsP^JpL9Z9JzXm(@M=D%GS*wHr=Z}4#d|E1|a%nylyiF3zl!66RP(lUOr$iMP_RGtm zlCXY-iU6@t#BBIKb?jPLw!NV8ZQmgyeEzq}VsWJR80vZG5Tw{S0SH(h-YSTLmq9c>LWcqa;5apMw)6`33KbXeA}fvpil4 zcWWoM6Ix}~Mbbkq3mr!LiW)HJcK{>(7OdV{$jLl73dQnisO9+-nAVDUa~UtVf~0MB znYe0e9GrT;kowA*K-mjZ&=TqztnV%==s~q&Hse4&ph+JoRnn^% zp4lj-{gy2UnURFMeL7X|^$N0mQI;-L5M>((lGL>SZt(fV zlhN`ZxV-jy9OZYY@Dj?dA@c7!DY}0pgeg+6hoH$rjWPS9B-KMqCl67!r^voR*&(Ug1eslf*ihZ1tpvIG7U{+BCQLKePmG2Q0mOSf4$Il#^4irn%FlxA1WkI_ z_^AWr7f?(cf;ogH14+kMPsg@Xt($tUHH7L9wgK))x;80y@#e_F1 zqAtTE{(WVf&6Z@J*A&a-+sPz3G;2nY50N?2Ml#{X4Nl{Q~ z!)(c?_DQs1wuFk1wI~~A_(0R4EcS|0nvKS(mG+8Ky2zvV;VD~;WFJX9=Abp44@pPM zl%c%`#m>$k^WfOyAY7s|SWFJehM-KPnS&N|UfdVOi2#h(UXH2B{m6P0mW)R|%bIfc z^q)b6h+$bX&3%J`DvfQw2)q%*v!Z(JsKp>h5=nElbFk_`4-l|ub^9qwoJA-O!3pXw zQA}OrLZA#{&e7n}5>L~I;v^Ur$71H30M1l!fPR#N2y&K!aXlETbq0%@hO&hybIwAh z8kwh~Oy@k5-Gj0>^)fPE#GE&Su?dV%bOv)SMcJb$JF1tFF!r1^U_1+kbAu|8f@Ukt zsggx=AoQRnv=5mVA$_qT1SF|lw_)aY-vLj+F;)faKwH^cdT4jW*Zv(9iy=Ayy~1mW zQovtNXitT6&hg+9Ko6)U=0oKe0_bWm2%tY185o-^rUIOL#kPgSv7^bi3Cpu)V%ngc z8h@k;Lg~V4GZe(!4e~RiziK>sQRbz1#O5}hHn-9rI8}(J?W{Ccz8I{8zb{8%52VQQ zX+zAqh5L973?W$`;=YmxIiqCz){^-Aot#m!*Cg)U%o!#7U5T%);Ea-exldd4t$TQ4 z;BL++*=HxFtl^B3y(00|dpV5Q{(G$v!u6 z9!ycP-<&x3e$FV_m$IcXG|q#V$klOf+p3In2ReX$&qLtRX*z;ZB$lZ@Xs;ioc~|`XwUqTH7F)T2+;i+LmHWX3QJj`rfUA&pqbruF3nWk{qe(u zAfj1QIudzBX$K3LT|-KVP43dw^RGja2?=+}Lr_Y4e@*FDReO7A?cQkn_e zUCGmB2BnR<(q%&F_eQ0komXiMDVov=lp;2}eP;WYNXqX&@0@11~!BUDb@5Rfc!uXn-Nscx<`xvSv%%vWPk;y~)DNeZ$Qu8ulQR}VMq;?DjGfGKzNZV?=qMDB` z=lBpg3nN#7!!1)6{9dR;U3mIBRMND`ZjQmhol1@@Fqk~hf}fB}ZMwg;qY~BHA!;dh zI${(#Y~=n9Av=SNxr<2beIcgIJ$I9sXwUmXY&panVvyXZX_(4*?G@-z#it2Y!uSB{ zCJCP=3OWwqom5CoQ|KI`-=LN$^c>JJFF_qOt^<_8XWR+#vY0p3!aTJwI3$b3#4T4O zix1vYYK3s^D+GOv9G@`@WB1t-ge^+C9y(0okNQEI6|O#nCP zXL4GhXM$$#TPYYap$Kh9;SXEYNGuD8Wka-h)gIW zqW~s=(7Be9(WvD96IEI$d@6+$uiZ*MeXNv{PyaFcbSIcCW1^}}pKd3g9-$`J>OSQN z6Cbth)5GLbHv;Yz;gerfIE@O*toe#Pmr4*wE-~5-LK$eLBJ_;n@Dzs1#M6@3fbdi=f;$l zrNT}RlnKw0S=wT%yKJzKN+gXJAlsg9_)xM0+Fy-{o|4qB{bk!Zqacy!qY>AXZ@CMXTkOLB`A4`` zK=Jsv!#5O$0fskex)bM>Yl|?K zD;@|&$Y_#od67wN19rC7Ww>aY`vkN;L>h~Q#)z)*Au@F%G+NVNAQ>XJ5xMdikXwlS zyU|{)Y7cjc^(NAWyk$!sjWYjv7W0X=+W!nZ{aYQ(z5}ajPtijHrS0#KFQ>@0_T=e1 z#OV?Tas_$%E|KFv#)$hAekO3w}_=Q_G<(g$GsZ-3Xyni z8irV$h=FUxb;Vj}j+^?dkRnTeCVChJS~(|24iPEkk_C-|R$ioO~3U1uw)t%fZjFgkokHO9ddpww0oP3S4 z*3Fk(bRiZylEM#uuhMq^dv&FIgwpk-)B!dr{ewYiBFfHI`e#x~x97Q)4}gR+kG_l@ zjRL1tD18-56*v|8N1}@fPMhGTV498-OSwY#1MS)UB=`=&p9vywKbF_mw2MnpKzfoRlI?K#A~nBl{N{buN#$)08{IC zs&aN0{X$)|4wEoXLf~n^^q#IXNnD`)8%pDjQG1dsxsXbWH+a6|yhhTXI(E$SsNP=~ zQ9RGEX>jxxMlLogwSoETo{Oj6{mAnxgwiVb#cLnYm0lr~UJIq3$7rxj5cE3D2thGl zF=7aet1QtTx$C?xTutqIkXDQ(!UgmlTxf?rRl0eJFj;3b`Q2G2?=YA=eO{Avb0Bsm zwP(99StCsD(oJp`Ccl8mxEz{+6xxNxdc@TDxZw8#Eh3LlxCJ>tZ9w5^)g|K6)o4rZ zA1!UoOwW*W69|v6a1JvK@EC*jTfrkNjF&;H;+#U?16pzJ1Hpe0wC-FADz`NMk-@os zXK_Dn)4Ba{$-ClDaIR69fp1LduwA-?3g|#6IEmP}=xwAuc*{1nm)e3j9WzYq?`c857&+z9C9i#6SAVfE9EAF~j~)?HCzme%0wF?-0)H)p1eih~`2*s@$X+G49{)Kg+EZZMJ+B zwfsZcJUp$p+z-9HHYP@Exxz_LRKX>)k@L2#jugK|WBzS%rv{bOM|5tO9;Cw#1oIKBtxI>J+GljFcd4(l30zL)}Mv38ama& z$fZ!K7^xOU<`|81h48Pp<}NbwHSHMa=Am}q;t#r!$Ayu#WMmD+?c<`&e>NJq`@BY; zhHCGJ)RMP!$ow$IYb|kFYu*xuzBDTS_PmPePG;;xTExB=-WLm{kLpUl7fNH2VMN@~ z{a)S<-VD&nX8Q-hzZbNa$SA-jLqLU0pWJR%$_&e2L{@5y$2zcHuR&LD^VLoH@4->^ zuz0sjKE-;)_6U0+?Xuw_+Q*8^h9q&j?Qz|+c7nFGGkEs1ZYMhJCPD$M7(7e2D%|T} zKU?AI!+XBLr`QsjfNA%qjf)3$XGRF)sW2XwPn&3kzSQWhn*T>1-)S55o+H;R+jUOs_ZM|+}k1(H%$8QQ`3Y`yHkF4j;Yvj*lWZ`2l^0_dQ zC5-f=otl8`b75pQ8Tpj%O(^tcqmlLyrk1qbFLaMP_9MD8_=~~FX5Gjy!pL8BBMN=g zXrxLtGOx`GPz!dc-h3MI>Eebh8p8adHOF*A3a4YL0iylqRZLd|vCTC54nR&*N`aw3 zG(eaO8I|^oMm;tu=UOr@fvSY)y{Lrfy{Lrfy{Lrfy{Lrfy(soQ79^Q<#tN<8fco%d zRP}A0dAuv{~mUl3#${3fgu9OmdH?$;aBoCO%$Bzd<-OlXQaMHWHnRYi^Yeq#;`H zX1`Bxp9Q5Yo$3n*PMJKE*O7rELg-_-b}PzwZ3x$eifc!NvC-`{*N#}owdJ4{*N(Pu z?YNNM3oe=dS@1mRsNkX}_I%j*d$emzj06@VB7YdQeFlVL+LJ4SyceWfT;vZY?rR`p z%TaeXdL)kK#OJqD1~=3$gKl~SfZtsW{sai~TE9ipeK*xUMY_cu1VMJW0J;T`tBE@f zq-TSw+lHDg_20{4pE#%CjTi-!$>F`Eg?dH=1?W7K9$ua8llhg}CLB@-goF=Yi%`ErRjZxB+v_HEspal)FjBVZkU z&gM)Y1p^2cV2hukz=b`9(wy6bf(uCjv0F!&< zk|}K~*+EL)B_;3bN|c@DdR@u8LdmMOm4rzNy{*D;5+mzYG!m~NqzBza08?oUdfoIl?FT^8gPkUYrqqt0W*je4R})2yce_{ zPcNV4#ItY_x>_zu-+#0){@mJPTYE*?|4SDnSh zYk3~a8l^7XfNEKHH5!lzZd(mVD5f6Ri8(->hsaqH zCue^=%i!|{JY5iP>CPUN`zq>YDO`(VAVoDcNg`C<1&5G!48$Dml*yZfFKDoTBz(+< zVP5+vN);bJ5bjtLtZ0SL87lve>rx1>QE5X`$C-pV2z+lL3{2~6%K@OOTSm4McdD5 zZZ(-ZPJTUxoOY%7oNn$hp?(FK+pS3DL+UxA{S1HL^|;`F1iFp2imt}R`;Yu1A-W50 z6pA*{O(-?`1V_r!jC>^afxSS-zH?5mKZDYQEb_;O$x?esv{YAUvr?3eh5`|=)SMDE zK*i+Ga}{?9RvIN*Axqd!q1(}*lwwZtvZGLXgHh>2ze#CwbhDov-RvhvH~Y!a&3>|B zvwwdgZT2_7hPv7J;E4-8ZKh76-#@W+n{Yk0S@g1}oI#-?DSw)SAFG<@1gjbZ788%0}`(#N|C>Y z%AX2B3teW|AH~xp{>8qv+GcSu*ED=V8a_i6>(+NkhJ1Bk=vcQ$Kz>Qwt{@!^sCzL8 zJ8C+WD@(^Al$8OCETzmU?b}XFY_-2;uAfyVgbj>;VOQ$^Cp23*sixluBwl+EI@|&S zg>yNKy2t-jNRm%WK*#p22W=NMp9USb?to77u?|v3F5#?5zujwcXZl-BfUM@+G%>FyQR}}+jP1TPJ80^L-j7+cO7;=pn2_&y2HDKL$8y= z0!K)pN&hC=_X=w57JN@P(EI2bL!mDQ?Z}5Z>gszHcLc7Np^Unk7W9g<|+xa!^F7%|Z=$is%GtfZal} z9~1o{H9(=|?&yII--C9F`ng1Rj?$MA-7`wxNOZp_{Um5TuKM1zrsbR!dmksK&hj7$_pCK!!WpV!Fmp*m*!S1@vuWgGc{9|k76&4JCY z(wduup_|B%*a+NYLCCR3jfQ?Yuc1ZMn$;h|&|{)CeqrQ#-N<9Y$eVg=9uuuOVl*-q z!oLpLQ)FZUji9%Mkz8RU5t~w_C2tEOz8;{j{!~cOTi3%t>;3Yls1Z>{{qhf`%n%^& zTa+3zVSwHSH-^*=s3AeEQbyA|?kb8tzDen03O*L^mB}y9RsV(`G;?T=L!Q#TJtDlV zG7-c>4P2JadT-rd=%Mm1_|j+P0(?lJfka|yxMQPP6J565^dy_>8+*ioY3 ze~cg$lTb(ct5x=)(aDpo)RU7fLpuwTiiiFPj1Q4&)@ygt>CIln$Pi)Vm~LcV8hqYefCb zZQD;B&#@FX`we(@i_!etFZ>)1zm$I8FZ`Tu^z%L4Y81~yXZiVs!A~dDx9;5Y`AK-v zUrF+2=_y38g69XipUuM0`*c5>g`cmJpU**@;&YyEl{sEV8O7&XrObe*EHlS@;8J|< z&}&5T?9E&sgMF@k7kHAN8UKKvov?6fe%|cX@a!a($Ah|`o#eid@*t{3FOr|>5N_S? z1C=s^pWWam86ZEe)N8cxlh|$gc@eC3{0V-JH24{a)%+YO{LF=4O23a3eoi*}Nl%+w z`+49jKgSyULgZk&eaL&DE@bUz;we*SFqGZDhA@$93N8T@?2 z;O7{){w;%_X&%kbw}hYVdTagumhiIxv>u-?=~kn7esY$de>3=b zLa*`L{Ukg?blG&+;OFbQpNEB?({w)%3qMyI{hS8j)_5*e$_#k^Z1D48y~cm%Cw(G< zr&${?FDUVOomay%%{mPcyF>Rg%}NrVlb?qmPUGDTVa?BJ$rC$_{6cCRwt zhwC+3_~{0_&GAln-uXHF9ERPf=4V2@=I1cs=NaA4VZzUDeGL7+OSc;B_YcnU6Hov3 zdFilTqlKSj|5yF&P1{d;46ph5g6?O9@G}IzlzFK__<5tz&&d$h@FW$4=gmr)0nhmc zKR4<%qJExlJ<0{1*V6pC(ctIQ1P#xP!p{x5pBsgrdyRg|5N_>fKq)i$`Jlnip?Zy| zpXVFz)bI4?&iplNBI2Vj0Ku0*e43xH2|vGqUkaYD2|wfW(C-}}PVxDaZk0K@p^Wf+ z=PWor>V83g-W{jTqqmLG@2x#FqVVR5szYRX7*xI_nPKD6~dfjd)l8+AYbaRjXLMM?7CnX$* zkzQAWq5M5fBDwZIy){YK>@xcvYr1-Ca=v5{wVAJ#5*+)1{+bO!G$Fw5U?lJY3LY~P)L%cG!0{9p`#sy8g{+fIXb4KbAR z?Z`R4YotP|AcDZT*8;_hzauI9#v}1sq8yjfz3as!*r~62l}UG!V+iaYp(=*%E>`RJ zH=R#eA^*CjXrE9t3$8mllA_lsI|hmry|0trJBbv35cvj?kAfse8JQUi@s_6EQo8kQ zAKpfI$fO`{E;YD6pGZpZ+k(Vvzkw!?XiR@jQg6VF_bMRBFB0^RpyTh4(tND<3!(-J zZZo*pjw?CatI$~A1kq(eqcSo4 z8Dc(Rc$rXuKPYYY50ud#OQ+C5(&ouj@+)l?bxzM8z)=70kQAi(DZmGDG|^D1|3#r3 z{fk0t^e+mn(Z49PM*pJF+MkspqGYxXdtY_-bUKvTGZ&LM{hsWCZ(}XO?5Tcgjy|Tz z2R@64Qu;xn?{D&vB&rn1eV~C)r-F1OUb_lM<#F@$yQu(+z0h3()AT9OA$GO%@nuMv zgypZPv#XF(;oXCrsB*bh1yIqaJbCS_QAg;Tp!^xCr8+Xj0f~3Rknp3B*QTPj^;6RQ zE@gX=BL~1ORkT_q`7!bc(`^{-Rh~wz+DZu`MD|ML_WB;yV{c;xt>nauNNH$}O2y5lbNSG3}hiI1Q2a+V^14**@GO5(_ z8VFhG%cN2+$)hrTl2qzVJz_C@b(B`Il}PGWN7eP%^51-QlvLarn~iUx)(CP z+$)G==bHf#_gSxp?NJ zEf|5ecW(z}vA(w!C;ch2_!hGAYO7nuvu^s{UhGkP{|Y-J>ih_1mVr3)+TUT;j;ox1 zy~eqUE_cKSG5d4kJqJ3DzS67K{0Ovn9_^b*PZOwk--XaSP)PGJ@ze1Pi(jlzW3hX< z3QBy`q{Ismqx>!8)R~I@fFcP9@wZ47)|=##&XDl&ocomT*74dGA>x^EfheVXGTn4L zo+fCj)E_i#kotDxsq#@v4Jzl7zS{qwLL3}FY9Y}H5KY+true&!RQh}>-^!oYO(j3$ zL;XUrJwU5azq1vinBozonuqkMReVo0@qX<>Fr*8O(}dd7Csy&`mg*EkkXC&>u!dmV zf+@2!&Q0Wc`~Og%OcYpxRQDzOAgc7iL{9ll5LNm>k$e+0Enn;aqU0S2TtFYKzCc~6 zQiwxt0T^9s23DUA^T!!i%uxK(qb{cV^|_*$XtSrjTzH=sht=Rr~GI1F9vz3?K$-C2#Sl8e*=nLSoTwH-JoW|TIOF`rWj6sf>=t~jtq5g z`crV@HH@r_v4J6Md$W`pcub1Yy|6~ZYl6bF;7b`PU!aWSQ@%q+NImkPQgT~V!wV=9 zuDq#bepZE2ynsxwmLnq+QD=yf8Xzk&>yaT=`m1W@do)Nl+Uc*5Gxq)yu;0u7`ZXu}aE9f{NeZ73w|SXTKPoI*(aI0f75pgi=o zbZ{tNiyrXMH_|~umU5B#d zzxyFX9iTmFZ;xnKW|IjH%Fl-?Wj2}Mpk?MM(4rBqpbOPW1Ov@}l#g*WH>VK-8K@S> zJWX-9q!01{50m;F9I|K^!uL`WtWq_6>@))(ap&*T2Ei)TpdgFUeDI+nf$fgJQyJr* zbQKk_<@R@;U4i996l7(R=%Cu~2@=^hP%#c5!=wMVpAL!=0s5`7uA_~O!xlclaSYLq>eV?2Ei5|FF@oy7QqdN`a z{Yn!YR6t+t=FccTyQzRa-Oc9_-d3rQ3h4XYT0xKs=p)`*!5}K24=eKfX!~N7R-obTl#W7BjSSB3_-J;5*{HklbR}*H*5CNF(TtxX1RPJ|rC_j?QJL5B+AOd_eZ9Wty z#tJ9IK9`Rt199R)fL~5|kGKxtSKN%eS6l}0-%&n6Tm|q6lur~F0sKmmPZrkzd?MxB ziAw-Ji5yK4R{(r6IgutV0Qgmu@4y^;(MmU;KA#*C|DfPnVld~26vXA!0@-;JwO|G* zb+OpTiFjnfRxreT_Z(`*iJFg4mXG#`s*k(`;$uuW-ak`??XQDOxCAQVMR>)_q&i+E zAQJkML=|ZD4|#p`0eZf6@-|!)qmb90fs~?WJ?WW9dJ^e_^}I8G2~rJ0Y5+!DlH(D~ zdywe~BAMRLvL)kE8e55Wcc>heNFTuG3-Bch<)WL{;%$7EBK%y)$-qi7kUE0gnpFXw zRZ7P-d741ucF>g6!I4Jzw!fc5Z=g9=ZpJTdKIN!w&uEf%mfC}aDjuQ|X#*l7RiHM9 z@U}{)QIL+m$C^tpTP18({ppxKRkDf17f}6q3jYKZWKdMjR|>Gg`^B&f$e7z{;9?T( zpnm%`9%UV9{**?^ozQC?4Bvt6Kr@__AUlNsZ?TwqVNr`KjCoMDD`h>BRHi z$XcyT5gG2Yq+rN{1I5I#FNcsNWexr9rlTi|sv7Rol_5Tj97DOQZwtLKBsM7ZhB(C- z=93l$SXJ9`nF)`E#@?475)egXCp99sobgR zu@3i<9v4UlY^Y?6O2#N$cbh=QMq7!&7e&>h!AQ2e1|Z|36)fnj1P^*^IAnZ=a^RgP z+y*L1YB?Mu{4kWL1_n_J_)w72qTpBXj3S2kXfrxQ43P6ygYy&|YS`Gd22yP3Y7CJf zKsLk{8fy#*kTF`@e-peEoN-#OdbD2kwr+KNivr-%R#+KeXvd@RB}d~6ZI6ZlLMn-M z0seN)Ylku3T8x4_d33b3XtmOVF?-=o;;V~>!h0e;>t zG)DDspV4bl;ZPBB&=@yNB(3FKhE9ghG0{d~kVgq>PppPr+=ZgSo)(>jm~GjezpeL- zzO}@_U>xCQjRvnx^TQq$QTj&AbH-`vH+4aDYHaDiuXTbp$woaOgd7GEK0Bj6yINy) z){OcaTs4NQ+;T#sSf`~zodM4=fwl=Wd8r4R%P?QWXpFNXp=CRbGpEr^tNFyM&5GJA zs?UlEQGH5B`dY)4*rMRKg;oo=q9d)9SH=|-eP$y=+PrEI#nhoU&Dtf^z!9TO9Ytsn ztqVG&m_N=1C{>A0n^4yx@U&Hllp(0J(Pl7#Qm-MVrT;(iB2Z1p>$|qQMWk_ zaJe)FR_R}9nA^~`guQB4?OJV70x3Z{9YwHD2{?leiw$woP!4t|QN}ZPMj`%XMe8JH={&kWr_HvnOO) zD-3h&Il{)kZ5=imPCjJ_M(4TK@F%HO^uehumaS*bc2P%L#8e6ffO^fCs&~GzGClBX zv6i&gW8v&ZryGaU1%`3fq2(~?sLA1Gj7!xXkNYhdG1#ak*eI|9opFJc8J$$qUAVO# zC24fw1v~+<+W5{<61T^-y=Zo{j&jx3we`-WTeP64X%?Fdx^`GuS#hDT2uRXnDbDMt9EuP&g*gZRx32J;+Kx2MLaN6^rV2V zv_s)5etDdlopkVlpZ+fnM}wyJX+K5ZLjY6JHyQk(#>6=b3GKx6sPMIan-_-M2!kBG zuVi7Hp<0pC{&id5+YuN*N&8P<+W+3ts?Gz?!j=JArC#kF%KwleexfQw{?jk4oW%d= z)Bf#}_Fs{RH9+N`@9bCQ=&eTjY5m&ztl^~Dr#8}0EB7Oxbyu`L^&9>C;uw|F^paoN zzcv|!dehK$?cak?m1$}{%}%LG=cs3Y8)r@bWRKQJGpH{w5r8Rzq zN^AY=SLtlSS^EMj6>0W0zco1xU%y(fSUpp_=%>|defA6P*?g^BJCoRFNEWudr-rZg zF+A0mTUgCKKoUEu6ow`lghQfS0ZJpCv>w0Fpf9z+NHG&|>;$5Iq=-7b-nkt}R9 zOv{3+y#!2zSCmBio$71v=4yQHOcQ+9O!vmpJt$Gou_|eOo`TbO0<@1Kg!qsANJlmE~=#a|D>w!?R&eyG0Yf7N5`CV z&T-5+=d5GaG0o_V&Hw^}pn?e%#DJohQ4vuD6cx;vGolj2fH|lAe0uEXdG^`;rrrJR zYyVhZuRiZObt|4ab*j3-=3jK4FX`aCX8UNXf zWq3yO#k2B1EBn9l8T;nh``_(@WqC&P#WR{Oo{|6E`u}&!Iqb|ndDi}S+hbXt$@X&@ zQ{-zdv{Ae8#iXp)>vTUvx*lqdh(=kKb!?uh}H+fr4| z#qiF-MEM-XnPN;-_{JF7l!=PU$lr{KN;!??ZJ4N>%gE@;M3vk|&+bfAm3l#wEovG@ z>7+a5M%TKNY>Gd0?DVJuc?u{wZ-2La%#+-hvtlA; zyS$VKaqL4Pi0Dq!3$j+xGfeM8xI}BEC@U&k|N)pE+;u(Y~Utxn7zK8Wci zu(WRf0zTd8NMok6C7;&oPuaTyWw({g-*J_4R({If_E~>hk58YTW{&tk*{C+<_CA!& zcT$e*!7>YR#OY3DCR27OZGUPX?UFJ~=i5U$7w)P%{f^+LI~6X>TH|gp-SZ8le==pn zTgok6`Bb-$JRpNPSL`D!*O&S45zg`Jm-sDL-D$&Zri0*~=yx+E|1v0SHHPw%eGD(` zYwBmu3Hg=zl@3t`cA;$c2j!Uv$`x==-KnhI4#V*7Tz7hD_eQ@Tn9e<%Ph&??9xh1P zsuA;hq%+;93e(3@nVvX>(zLH#@qWy|^AmHbUZULHf;qFxP!_zwoGCq-&J)jcs@+O2 zMlfB=Zb^SEs_qnS_r{Lct{6jKO1%bUndOvI8?%K%^_kW(DW4CaoY|amjXUKk`?}XY z!Sr_gUpw7tncdE=c0cUVnmJwn;?r}2a$rZw;)?mt?RGxZi8+1kYrUo$)4$i})1CGm z)Y$Hge*Nrq?Y+;i`$k>7&w+2_Fu|DZJF!+}rZZksmYGI5*xo`5d)=D_*ydylrLmh& zWge!x*_ghWMR^0iNd%v<`*RWd4ti?eUlo32{>%2ZOJ;}an4%yqkbDud^zM))Gl`=Ry>CJqa!H~U8me$i1N2Hlpgt5 z=2&^A1MD&It$mODoP#+pu2J4-%QCO*R()bHr-9w)FArt@Zv4`u?iBZw`T6Y@cypNP z>{!ah-%`fbVj1^1rsvx|r&Tu7>+RzyXSd8-dzAQGgC+MJ=hIJi|0!sIGTJ_`0^68g){AWx4r2Njdyn6JVcO+K%DOWt_uKdB zkKI`^qdnzhyC?7)s^GCjDGR-zJZX>rKif}}ZT8*T`6KgtUZMQdjdhpJWqP9BO0F%K zUXzQ`!yX5!-DSF$y|ug5m_BK@aOs>(Z?{|QSOuo@)@5rg?a?6L0_Ie+&*J7DrUPbB zHnryj*gY_)H**q0DOcL}FF#Gd8E4-GEA9U9gMF z)5NC+^Pg6=myDw9V7E!uV5U2jVBI%%za3{^spu5ukF`g(LI;_i(UY=(E9Gr_nP*3s zu5ORv!|k^Ec@1+~+kK$@M5c$OQC56HSD%@)%3h|yaOPWwGJo$w%IB>qZ#JSF;7fVVe$xG9Uzc3=6QuAU*80mnoibFArHQjeE7m4n~$*c{#(M zUUNlTP2?Qf9RCfnUG3?R9h`GR_I*r0=$||ea$rB6G=uvx8V(&+26FhFI*=oO)*(k# zrW_MNFB!M&803V`8zCokx(zwmV9wMDmm#OG<=+0S8dvC#WnAgM-m#>II6Mr>VxdPs z+bQP019piT+--Zr6cgAhMwJEjiPP$SqzljL+seLzdk24f0D(WuPhTy9~0-my3{P`*Jgv ztA}ry(5oJ*f}Cm@9U!Z}JPBDNCyi6np9ZhBoKvpt!Od1@A_GL-rOi>R-pD?X^+)?6 zr@;h<=7!xEwi@m3kDSJ{8Y11K*H1_{Jx^z9W~3tB{K_cE7Dd)U{xqm5WXsLhAX|Mi z6S8%GZl^Y%F)O`oTl?~L&xv&V4V*=Xh5kr)oaPDH>6H~Zo#$|-U2?LQt_OdB?8e`O z*SkOHf~P&++76&wh|2ZykmFdDnf&3GLrQP77c=(`R3SoFjBb z0uM3%9^fg4djVdeFIRQ0*vP2pE$Y*CeZ+ovk9~0qCNqW<-_-=!C8D_pOU~h7OURweeq`^jc*WAYEJI($(oS0J5%s9pu#efzh@8#=4LVx^bU29Lt4j zFY||LrO0u>q8b_gXPgnJo_H9RJ$Q4T{`D!q{}>Tg)AF&7P4I1R*>ZtH%NuU-ymIa z+aHjXYO=q|3+^CYWl$lcs~&0zS*_(UwiMjkOj;&g3ez!<6|3(o<{Yg#6)0@ACaEjj~ngJf(&Aw zqH7^2USbMuvp{IYfQ2F?5Sh!wuQX4fXv+~U7p-OkE5y=vz#4IbhFvQXxNp`8S57@t z#J305i|wV6xj~cdPa?&~`Ox-?$)P}$s7CMICx#yf zqD3a}zx#zz2{<797_MW)BO30YsLDVUD@rgl#EIUl9xt*vq(srECyFGA$&94O#ZGTz zo)E=&oSzh3xg$@B*4=^A;t>aNMude!J1fps29kxwML#EUGvu8Y@kN0PBC8onUKDv7 zL%SrB7_TmiAA15<#QV>{RZ);5yCz!gf_7cxSPt9}&9i`;{IV%0{gwzC4y1_vdx3P( zp7YBP0p(CHQ?#Jv?~4lzA6X*y8Sp?{y9YcJ%eapoiS~z~Jr+e;0@)&KEbv4GtpT12 z!E5R>5%L|h=faa4_=Sl69e63iIF(o8eODBDEzWQ%Z^Zq_$b2gfCj;-qQ999kacwuW z4*e|pz!rJFIIvY#X%1|Y&G}wvyX2Rt>pP^)3hb0Q*1AX0j8_wSXt7>=UkrDMq4Cr{8dqUGw!$lNa%Hw6yJ(shv;BabZv z4$8m=K&rL3+CYN90L5(NSsRraUJ3M}<;EGJ|khR>7oGRJvdE@l|IAal{dF3MzXgiG=>iZV@&ULj2Xcw;$@Ppj zY4QV)taMq5rpu5&R77T`bmH>gmlgOyN|yYC=gtG!m7)BZoVpK1p3CDrGG54s1~OmD zgTByS$+g_tuVpo^?Hl=1U*N60%On4t{EqeB%Tjd35As`HlRnC(wBRQh$R0k+Q?$z$ z>BH4@Rm*$;H+7r0d3QC3$HpA>@&YnF)X9d>Jk>S};H46RkvUgQ^8mcn*h_$q@?|8N zr)KN~d{rk#b3fI29WYl5a1t3KIVMFF>l{F9A8dcf^)~ZT;woYAQy-;N~LXq_?l!Hq_-xiEbgk~$`%Oigu3tta8flo1DsM7 zh5)D4dPa>i>dQ*ttWx8EWaZE8bWY9Zo<6UV$3VNF0+WD?>RC15lIrM$XP1>1t6xz| z>7Oa8{2XYv)nRsz%3}CUQyu69>8fu#AVYnrip)%Pig&^L zYGi3-W~tBg@(1c7z4f75FaUU@+*=~^vHF3nWGgqC?ui<}!}O^VJ%MNHNNwP`nmPk` zp}Y$MFBLoyJ3yUez1M0sx8Wx>g`4ZMYRvujMYSmaxN7M(z)cJ1mT}jnvHBcs2ybs5 z+UdT4ua?2}^V9nB4d;AqD@V3KJJT6hs5x^E{#xf$XaU+aZuCXky#>GuZ8Z1aN^Lq1 zlpw9}Dj--}y9ijNRit@Bw5t|iwN{VYX^r-ov2LT*sXDMpYs3W)*WNLtZ`SI!LFN{1 zITgnPYw4QYKquNEDB*(N}I*_PM_zWaz9|M5n+NK|X6WYfgP~n^wx&hjGtv!Rs z1qr%c0O- zYNvRne$Z-iYk$-lGctVAsx*f7SyLSR7wtRF-Bq8M3C&F}_#5D^&t5E)}h3^R(Zumu7`Sx+nefu%53L zkf8s00+~nj2rkD_{Y75jm_Cw=ny9C8!O!Tgcv_s*pK;-m^{In_bNXB!Qs?y#j0_j_ zHQcZl^_g6%OZwCjz-4_M!`fB7D5LjvJ>RduO})$!AVs%M1XA_b4B)Qr#Umt5&&l=6 z(7Sg5GIikv+}A%$2eR~H?DnZ%`WxVx-sLIqT(8MFywh9I&))0h8Y1(9J}w6MsL%KU zeA1sq0iX42$AK^Uch><|%TuLF&q1nsQfhWRT z%Oc(x7FZTGLFPisHr})REsGyQ3$)am1+1|2<`EfWS-_|fY&qK`}u z^3On+8?mhD%d-L(A5yZ0?id>&{imQys( zZHqr!Nwsw1{O(xNd9dHJ{6H^HvvmCtNVg=81u`t&mw-&mE)M>_C5^{RmgN=Q?V)8j zXZy&K@e8!amS^0s*_Prvf!CI}{=gfHB^r2Z31x6}H6GJuZblMAy1Q{~9x%r!%^2xn zG!KO4YZPEC@H4!R0!xe`If11{`<_6sas4K+%9uM42r=sOLcZFl*#X)b;|Sl1ZZwvh zg|^99#9b9`?BW)UFxq_&ZI`i@+c4S~Sq#{3JT8LF1BN%FMvU>Eu5r*fNxwc~?BG@9 zsBwJ>aLhQ&XNg7)?*1gBTPJA8jqvBd3B!F4aMGxF5;$dS;fkF$UcUm)7{4?{g|o&n z9uvvNR3G4+F^<}KBd-QrFuKz}FB(}~+e^m0m(VU7P3{6$j8FF6Xq=w|?V8bw%W>V9 zK!3SmtQ-a0G*Ww@-YuiyL1-z)o?*akBb*^9)fjvlxMSS@2;4O~GW^~%;txPeGmL6L zy0L>NSB4R}5?ZG5fU9!fh~c)#GM>_H9vI&+GCVX&v!h4G&)rbuvEh>wTDCFK3)&N- zY+m50arGJS%&10Zcy6R};a(WyY`{ySRSn>k;mcND8)F$!-WVQq)6d2nhV(DSiBf>8 zdG!t8X8t)8&)m%_zd`dcmpTFSOm7}GzUC!z?%xlV60PuQnTXMaeMpH^!HZW~UXvCNq);U%2^x zJhUxlxddRF`I1|0hZ)Wx?KJ1^2O`YoT)2H^htJTW&2u~$_M6=o0tZZ2EK!v%GomD!L4N@!%-6}lDYJB2JUeYBaoe9Uy|^lu%nhB9dD*Pk z0=Qz9;Xb-%7N`x}G&l21y=5-sG*iroe!y+>b#EZm+|7>enm=-!_sq`U18L@U4maI& zod7&Czvn)BY!;#GXPb-0A@hk@Z6EN|yj>7@W-g~gKR1W4!V5FIIPlWEG!1xVE~^Q= zHa{^YzcHWk@P2ES9E8kw=3TzKes7Klg!aJKGMxP9d&*LFye5NITzqc0YKQg)0vz|6XdUj=AC;n_jSN9b8 z+ClLWX*~cR@rpj+E41Nw<|h_*gccx*abAnWX1-BajK6>aED>?#@NB8LuoGA&it|_q z6cd^P%SA0tc!l_@A+S0;eS+f$*;dgousYVXKACtJfOQsy?)};@KQv zofvow2o)Fi0_#Ql+`tBLj$t!Q+{=KrQFzq_HVOZ?K)BfW8rUpyFx+kt-_lN7#djRb zHt}L4uwC^07T6*Ba7H`DAR0PCc(UFuF@j^+Ekd|&_K0n1&?3c)M!;TS%Z1D+p_$P3 zi3N#3v}nOe?-#Y`J_kg=K4>wbG>v{x9P)z}D@xLB;zZ1HXz^lFOW=^0-W!>R@mU(Q z1X0ldj)83Bmp&Gy|q18p^YcaAm@J7TV*5Gr?JQsm? zViE)Tdr?wA`yd|E89s_>ykdM3i@4ICh0`eDi+JA;C0%8ODbU=cLA$uiDZG=+k;7?S z4|$9ys;8{WIe5vV+|+YrYc7Yke7zO$k)zrBJef5B@Rjc80Y4d71eh<|-2)cLt_))f z<%H6Jzx23;%mDeGZ`c+|H-?(UGK!X8BKe2R@CoNg#?oc-NLdsKl;2H$c&Wvx&V7+#p*zmtS|}KCugy-Xj!5^uwUk+ zFCCC&!hjh0G7>l_7bgI*vMucrCx;$_7B81@Du-nC9>8HacPx+~<9Go$D#Js8M9IGx zj*mUV>!aQYdAvEald?P)?v%_ye>pA3m4tRi-n|2ym5=%X$+BQQ;GC>d0!7ZtQQt$m zAPY+1y39WinK$G*y6{a|b_6nS$qa6i6uE*C{I*;_6I!Zl6c5~yzw!=rSB5ei-jn6K z0co-iJ4%;R&H@?oWi*f}C%Xdo<)srqmb?=TJdl560}o}lpU}!9S@Q>IkEMkHB3njZ zgZ4z8W1FvK4vyuG>|O_WEAROM@8mu1ocHo0-R*-cH6QpW>s$vu$y`T)&+-%RsjjLH zqp-VL&QW_P-$H|j3J6ejIrv2?4+GL-71I@1qGWkssj_iM%hYnd!3b1!7%G>md3?57g*OJ)sCm4y ztyS0BLtCdl)0aZkaN2CWTI2?7P_HWiVJZiUY*cH5fK6&HkNj};s13Bus#yfEMHS}a zY*nswlx=F@7ht=x@Zj5_S~0-yR3|z>i&8bXW%jA{=b=Tbm+yf6%CjnPKzZ|RSd6;N z{fEyqn?j3KGc_PiMer?Qyb9zNJ)~xR1P&|yodSHOIg`^oqSn?1j;i-Xk$Fs&<7y_V zYej%0Wj&6}fwI0t-tI?e18TG?R;H+Bl9iAmC_fyc$soo6R z=T!$z^MZ2cZoH`Glm;%T+FOB}%FPqFrCyZ)Qq;R`z-`s(B#^2qa$RlC!wV&jl` zPjwv*q$xp5q^sYD0U2so5|F71v_pma>bswzWvTv;fCp-34&b4hvjTXep7WT0tP0V= zUaD4{!z_LPO)d;<*RF8EcWBx*Xgjr8d7wpTbGfH?X-hfH-P#of&OO@C^s`9q z+uqRjYG+RaQCi1LV4rsICJ?P%>xMx)tqtJDJENs=H=fmu9ze2| z&Z(T!PFI3Em88CcBndVT?>dt z<_+!QN#LfoneV1=X>Hkiigt~wa$EaR0jb&mci@gToa4N!O)mrOo;IGYpQat752kC~ zct6X~+VXsOtW9YKEnEAEuJJ^}_ln_;qV@g;+8Zs6&i+=r$)n(%*6a|p_gYQ5+Xt;Z zZ-*bXiFB|}S~yRq&srB+>5FFV2+dV*!}W916X`_my2}&5N3Y0`H&6F`3i#?vd20LV zS>FKj^)wE5f$qWOSg2223;64&2Ll26E|y#lX9QO0k#vTYdQJ{0NbkrVg7pqZfK_@T zH$sR$j?sIyzM8(fMo-}}xK=M(99X9(aGIfd%in?Z`jjTX27N0-P?+A$4cMrMUjjDi zztjW5_3oVCPJIW*5}_|n2X^Uh3|+f*!3ulyz?DFxUWY+^uRek2N|gR$6|heqR0^5V z`b}Q)_v?iiUk>O82SAI_YqENR9x@v^s*g8-MEy`}JWJA_F(94LJz7CKrAPA$enwB{ zPEFQz1?{}?f0uH8{G zP4CL}d#J~3LFQw<&s*S$-nKpPRQILZJl8|H;4k&*JltOEQ74f3Rv)tw_@WOC0bDJO zxtZN8H}`IbptfCZL6ssIZuyLq7aTk6v5 z0xa|Bri(0}IqAig{ao-RmZQ0WrIwkmfMu2+egXn5)T5>ZMY_mks^|xCxBapen(t*ym z(=v@75@E^5j&@mUxdOW_+p>T?mdV_dk(LEKlJ{C3+ybI3o>9O)%lR)rw58!8o(>$bq|(+$ zEdz=`J7yVG14y*|b`MChynF$iumrvVPFXy;jHfN9d1RfjpArTISpUvMi-& z>xY)V76Fef?Jd9)%ZR$bGfU-I;DtqNi|Vf|p%b9Ju^i`_^To2EEHYh zj`5bQco-eI|2&P;hX5~QD1+);<0Iplw^4x0;bYV-4{e_DQzK};MsCIeKcfIouKC8& z$-n}``%hq@QH#6E-w5FCBEWFw4p?N&x(h5e5_Gh(#3;hJwA4uCTlHne23jf5s2m3@ zH!7_IRv2fJfR)BKj4we(&_^KH=(-PBW%RoMgct`Jq55j0!BAk0ahzLpts$%6**e2J z00=c!odVVyyAyy7Ml?59n6Zz$W25mqFS?tIIkZc-vA!Fy*|^#d*kX7%16z&MawxgY zn9jYj-MBjt*kSl{uk19c(V-)Z{q*u(Mh-6VZlm9QXnTyBbd5+OkdbJwVQ_7uj1t^x z`-~u7x1x=$Joxq-QM;iXFv94`F-8v#@Sstc9|pu48T?c+&bZthh&OI9VjeP1sZ6p(K3?GL3w?@qz(7qV+&H%1vuRj1c(|s@CZXWy|m}BmsCwrI~ zJb^sTu}c9jv+qt|uGyGz!rMII4fvSOSAluvPxN_T(?TcmGb?iW=bJ^V;@JYTnFZQH zb6sU<{$?vin?Td08?fB$b{$w@1}y_tn%lYYg3PgWzF>1_BCyIdzX3wb+#JMevl>me z#=Ox0+FH|>b6;m}%ngK^Q+@+Bn?ISr7W3p;V5@nw8nDeQK@)5@=RXH_nDeRtJIy2y zAi|6tjy89h=XpNtHixm~9%_S?y)OoB-i1p`G6cCC& zCWFTaGs`^6E&9MrZUsCvLpB4C%=Zjk zkImoOLCZD^o&%nkdHJT|sTnsL+B4IK2g7r-eFbRm%pL6Ly;+6TKbQrbfsf|W2;h@h z;0NHdd3hD^#r%fb!qqyuE8u4B%97qz#oLpQ^*+~co^|qkz}MQE``ynPvjp0FYnj%- z0_$_`j)m4Y+{OOZF@8XRHUBMOk+n@_JX>s?M_Vtk_8bIlskI1W-7@QAhM+*}$Q!_N zD-H|zpf!aZt+D!XYp=C-+6Jt%PSt==YwbF~dTZPWV1xA@9W%`8PjB65^&AatlQrld z5N?g(ST1Kr*bM=t!LUm+hhIk28gsy8iCBc)&wUY$~urk+GlOgb&s}AW3bw9 zMF}{VwG$hQv4--k!a?hnra-K<38Pz_b>|#t@z&Dx!9&(OR%9Nw2Bttuu*Tg7j#y*u zr>`}(Af6qwj%J8Wv=-t|mXfR^xT}s^hiw8*SbycBp0p;>bf>JI0!2<+_p_rj);>Jn z&sqao0Lj*AtbWc~hc~P9)`_&s1?$2Vz(wnZvA`v3v*W;J>o{(;E7pT_+N;(nB~js; z^+Yw`y48s;e8c*LbH8alTn0sMS-W#nrdWse1#Vk|M*^wV)?Cy()+s*%cda*KfP2G zK(_S~-<&_OmgNASTIbVupIL9W1D;#YuqMJ52t zYz-oTK-=Ayz;fF&27#5fI0k`W+v%#%LTrzD46e2<hCyeSEk|=;w{5^8V2^FqGa%BoiDTJoD}Ne@vUTVN?6djOU*c@vG8o6(n(~ry z$ku-{w8J)Uu0w*&Rsc9+dq$r>Y8xC69J5^*4kX&{^Gr>$ZKqj}+w$cAPT2T=r1g`w zZ~61EQ?_in@M&8CZiF+odwlPE$yS@%W!tWCK!$A+D`eW%)AjG$W@iCUZAa?^&um5K z0?%#Nivllf`)RC~wslW|SGFoVA70y1_5+`7wI2dsY@?3?uFmZVH|Hk#0e9!ToaP+o zHLam}IM>Su&C@yS5;QO8OIv}t&NaF1y`7WR0{+fl*h7Hx7y94|=U;e{Sn0fA3bY{S z4HcjTI~Qh*T;=?Qad3n4X_gFgUbh9<==?_nu)}!_JKE{okkgECuETSBm-Bn>vE9xO z^C5GOb7uzCNauPyr}sK1@Cp#+e1_X1&N&}He28}r+yyPkd8Y!BokM7XbIyT$<9E$D zlFNAAc`t*1DPOvg-fZk7Zs-8ugZAj5fbFp%jiZFqLyIbuAtEN2@d$^++| zzW@)NeL43>&itF@`eWzne*)RgXZHcGojaWX-Z)1y@A?u5xp2aCyW~66R9(0W!C{Jl_oLa7p?Zh;mu=8QABtno&63r9LBA zlFN(~Xvr>N$-p_6tm?pdmwQ$5?1D=IquWIn$z{CcQiR63>=MssS6n(U9A0&aq?=xI ziDhKC?$VSicEhC~2YAn=@gpG3Wk)9<-6ij9Aj1WJ#{))o$)fY!cX@sn$a0xf2bm9C z#&82Ybosp(v_~$}+3jPOJpGWF?NYWnv?nfOgP=WiDazRM%;hqxKX*B>3V7jiwke9d zbXmmNzH$lWd#6t>Z+k)e?2=AHesKwG1j@}I0?m2AJpv}o4I6sdZlev#P zbGXrT^K(=y2Q0|p+YDHk!;9yGe~w^Y@&j_TEe~x`jx~FM#W_TKco=s`!5-|nq$dYl z_KGKRs+KN?boGQNq-zf60a?3dCCGZmeu8Y6&Tus-#}3Gm#VSFLYsZ6Ya{qdezXh~J z&g^R2A?JwrOu$Dpxd|*3UufB-B9%v3kjU*1ZLKKGOW8)T;RdivM6UyOi|jC9kNA~N z5-GlSg0@$b!Cv@##P1p)qgwt>cv_=8O;ocrCtUk<8RXRM%GIg&4fk#RL86K&f^1foMrz@68R?eixEWe$K9FsG;H|ygO`5)am6FKm z*qFhzbIps8U8U-h1F;fFFa~4ny|(@dISWffBoqX8za=LjvUo&#o+U2TQ138Gwlv3)-8qNCaRVI+{F!kIyFa}utD<>e@+BE z#iNRVmw3qqohw4J0dLW(FyJF{Foet#`O5&lB8GGE6C1e;=Znn)fdwLgN5Dd%7YF>s zCcc{s5OZroTOmTZ?^cQxygLVpgvCIxNaFTeC3Z8og@{Q_psg0`Goh^!Mq6O5$i*A~ zIDMCsEdxd>BMG5;3`Wq z_PfbKJTKklD>h zaqfQdY8)_M&Y1@+kZI3>g>oF{;4gpRDHtH1(q9(I%e|rDKfM$ImdI;dm8G&S$GJ?# z@cJ1jN3e(Ga>^ZGg`B$)SSe5E#pZIS_3h1N>NleDEFAqV&%d#AWlYcQRC&S@9^x9EXy5t zSXSo6I6>BRf_6l@FlZi?cP;|Q)POp^sp0O|5m43Hte>j7lS_w|AMvM7UEmK-t>+5=gWd*z|5`wH44=}MP+ zEK6SivgIeb{u9}95VWUq9+&Z%T)Yr?E_*BBg`CE5zLajgfmbqUH}G0k<}Q9C=d;_l za(H>*o!rEwdM}UFf%ZX$6$C!Y^0fRXX&noEmW{^%Uu1*9fUD~L1K_6izX04-NiSfI z@~MSZJXAhf*HgV64$Vt7afdcnE#?{Rtz!5og^yZ(8kzIdN?O8Kg*F2G)XrJJd=*by zFHlRa0t?j&zEkj5`|d&uP?G24B2}Xaw8d%=pDj_5L&VnuI5`XXm4=OitlW$TSe5mA zkghtv0%WzCybe^iF*?-nAAxjDJp<{6yCNVP72*IJM^r_+m7=q?9=RH_jX@)}&9*|e z3!|~Swc7&OeHVjikGmX6&nGn@2bQY=IjG45$icqlAcqX&Mi^S_TgYLF2GfmPyGBNPb{0au`{7HPEDda;IqZG*Vu4=qf1eGhCDpBWxEi7vN*a50*@db3EH z1Z|7R#SpPm*!lwzq8BZ`OT6TVB~jvI5Hk0P$Rr?I)a4$)6UWWroV&@d#xH!B5I3X$z1x||cj2p?KHa{ynCx+&Sc3u?e1Y8hTXtRsL zgLAkh3M@h9bul~-a6=eRftz9pM|Mk$9|$c)M05adi-|npQ-$I(q>HOZfD94X6UY?4 zHSp}dsKbDmC9*054@6^@d?>!$2cC*GA;2r~>I?8*XAQKyavZ~4lw4N{*e9cl1JSayJFs8=%J6?c zK1l##WKoXgpqxw#9+tNmDH7y;F6t2}oPnb7ofa9_*PvaA^ z97FC&S%9CCB+KeYp`DXMb^+(*pY*H?@+2=@7v){X#cT2i&2wE|<;K1tAM62c$`l+s z2;}njNLdY}yvckSY>*C_nNl{YZ{sn0qXX@)STgW>9z{2U2?} z+tVPgS7USK58g8>^$`=g9`pfB6pm>D$J`yfO^B|x=7XHx-V9LaThO9VJ^T5HR1{~ z@qc^H0zqocIv`kWpAM{2V;LtxRIR^&Q1yK=V7>a8QDcMZHy8+0ck-c?jp_lNc9Xit zUA0a5Rfo1+HGc+ehq_P^*r`(c01;|-XJD_|lLLrSSQMeB<@ zvO2;2a7AUl2d*kN+Vhr*&IVGHJ6Gkl8po3*RUIw_q^qX$febZf0g$PlHU#dg>_fm~ zb#fMvt<0*x6Lot$@Km*G4Lnnu_5-g~9XiSz)tGNv-l{#^oA2;n7lF@e6CLG?8pt=6 zu9^pThnsfZ1l+Y-JAk>`6Pm|cyW1E=e6$i>q0Q6$asvysR~j z8M|2fz7Dh{T2}_n71}t4wUyd2#*iQ_C-+gXrmX^2Y0=Ywby^}Gqhwal#6pt>*fty*8Cadu4q3n4qnxY$0PHa_JzxlqK)1R+}6f$JEdw} zo&$HZEZ(;>w1loerZzMOa9^9it6-LvJ_$v#H4BS8(e~|xhTj?~2t3oO3_<2=Z2%YG zjnW?V;Z|49!z7$k}@7!}3D&)vIxue)^8`$egd& zzXNTNK4BQN#rkcUZi!yp7g(V`YX_{<7c>Qe^hWfvV10T9uvWi%0a&M>P6tBub)5Sq z{R1~%xc-P6XtO?%5p#z=vNE)tdjC?;BJ{321NQ1;=(|z+5{Als_-P7oP_I-L&tml! zY$Z&n=r}=;^$QD5iAU`dmtF>HL6tYzsV{_}xytuS6Ih3|7 zypZ4S8y)HPai@^(FseRe$Iib(cDl`|-}x59S?^83$mx?k4zlmIA&~tfJ+%LPZn@!J zLC6`AJqB{57zz3FMAjO$i|tR`SRHbb#&WQcX}oWITek}g=OVR5@IaU?n!VMr?{e95NO@gDa0q-usIJ#~G!o_O;KA13$kNMK`V}^+*dMLvl|SIw-{0ZcDC}osG~GBJ zvce_P#twJd;yc(pz~F%T#$by+M89vt8;( z_Ha^FW4$yLk5=ryGWH&a|K|-_Yo3@vEECOHWvy7q9=C|USi(&f9s_KXztKEK$bkNMX~7%S}bROL+i^~WN3Fd#Mjz}nSh&q zn*I6dr>HI0$FbfzeHaJ4Rrh4Qy?Qmaa#-)mK_u(Pu@v|pw}KVY^*5sdU&|g&G|+O3 z_10USv)(DoLwiX}or%z1Tf%7H#l|5HFv4iUi6t99ay2uJAP(t+(Ue6Nn$OvEsQH@x z?K0QFoAA@}U)aNLTMrI5%65Y$h_+p!%?{Y^vz1ue3RX|B^=0o#wl>6R+Y&Z*%NEY+ zcWgO1m3y`Xnl9b8l?Hiii{Uu4ZGIfY6I%hc`PBA;Z9cP&XGhO%vsnFwZ5E%sv?Wgh zUfB*2uWh$D&bPL5?C7;~Wmb6S+?v{Z=Q5o82j^rq{n2>~hve>3m(M(0(%6`%%PclYkpn^Rolo*pbRSGi>u|Hb+wDqa2Y&b3~e4j!2W+5oz>+ zx8PL_2=cD>uTfDt#;P1+#g`F(jfxoI*Rf(oU!$Vo7^~?RtJN`9n`5lbje;uXn7^T%QN}FSp&W=&KI7XSn zG0J~f^uImI&c?rv^8c&0oQWIDKfM#H`nN4D4qL*yUsoI_;@1`br!D`lecALsZ21rG z{7+U~FJqnk)jPLZ|7EOYY>u%yJI3nrkFnnY-`g8cLa;${xSjii* zQ)M5>&Wl;HOAyD_btqftc5V)2_fC}k#;|Vx8SHVu1GX^mBHJG{hxvmC1VIiNHxF{u z=!KA@8?*f}O_xB9jb-_9ojB$x-W>nb;czB>T4@?@dM)P9if8`pW1PjG#c9I73`#HY zf|x5t5Z+?^0>DS$BEUPres<_9oH%AbQ3@&Cb5hvz67h*8mx}L0fMsGWClM${&^{sJ z4^D5jc+Qex;)p-6QRE~x3HP}`xTsEx?G$xa0TH4vOYRfx<^$1UDrXfhy0V8uB7y6e zB)YQX3DJ~uIwvl(qpQM`E^%9gvq-9_P9L}k` zv~i{8%Fpa!o_xtnKY5&uEs#r@=`Z&)bCHZ;D@&vc7kHW6!I3SOp`7hXxrL?+mdPv< zB5QM;VN!DL8)X7ZZjxb~U%1TXkT%O%Y`m6zE=oUB7z$IF8BphWqeMm{bpvxj8a zi55IBi_=OMq!-=mqU=Yvxg>9~!ev>H-QJR^>@P)Lw6B;P&mpDCne6tC%*92$E9-G$ z_oTsA(&TqE$V0iC9X*mGIkLwxKkbq&w{l`nWH*}pscgkop2;f#z;hYI#$L#7?BS&h zW`7@~tX`sHL3rLe+-z^H;9yAy5Ug-f}gA^;W1zZi|&_J|`BW&T^c=st!jTqGqwp)v6M) zMuoD?b!rrc8>((`?i0TO4P&8qW$_R15mcRyB~5-ljU!$lFyo z$GJoKv%*f*o+bCGZR{vo^`KwxS0%Vc2UK-Fi&6PFvINzaO&?K(IEQ1ZKGz{h-C>0j z>K&h*S8jg-7Zg71=ci^3?JKRkS>&<`rs=Mzf*izE6-QiGJ7}dFY8c0IQ$3@}Z>h^{ zB}F~usBf!3h*UM6R=TftFkWS;qg<*7D$4E!suuA`jix;xtIf3TOEromKd3Mc{-Y|y z-ao5`+?!uid-mX}jidLtY3`hnyOwERTkQc`nWsf@;rz67d^TU3z}YU)hSJvlS^@SR zpcUp)tL+OsKm85IvP5sp zHkazP+50km466s~MQG&Z`eQE63jIgBL+kr#vmpH>p9SmnIqFqObh@%~&TVbz9i}?#*b24+1s4it~li16kr7(Qc0!g5*#cR<2=gn%vrNS~yC5q?uvX>W5s+2dFu!Wq&5+e*FkQU}^K0B? znfh7GZ!n%MG@Q2&vQd-Wkc|iJhivk28)U1%4Unz3Q?^;j@@=a|LUuXBbl345NuQii zkbQ55LG~-pQT1=hx&x}R$ALfb>7Xx|s6MzS`xufW^u=Nyu|%}v0G5io>~EPU#Wn*)Y1(1A=+5D;5G9C}A{W~X5*f5q zuvoPTSS9jsNbALJP9RLAaFsTSU-@j4s7Z^5i{)IL%_5kV*&?EdZ6cd(ZWj~S*badd zZ(lyF9wAO}()&a%nkibmCNLhh5+llU5V4{GtH+7Hv_!mE#Ni$mtvSsjVmD2FRBUGE zG0}iplIYAHPKYp?;FR#7c2T_Nq%Vtd9MV;BfE`^I1337bVg{?Hh}~SJRB@lix+`+> zS(@0%0cMEZ9MXL;hK)TCiL}Hc@hb2KEk|&G_%SMH>nGz`WPyCcA^A&pPHefnNrT`EXgPL z+9mU`+ugDm9c;f`#YrEK6WQ27S&&V~$}5~$oGeEx#mi4@B~hN^$dcqtHhoquqoX9t z)$Bb*_T-Fi%Z03$DvxszcceEn@5(5)a!<~ndD7%z&LLe+pj|TLJ0er&Wxe~dD|^q9 z)oH8;GB+zcm#5j$d+EfI?kbaWn5QyA0bg~EqxMtZb5-W6KWTym>Mt63p*qS|{M9qg zFF-Y5^+l=}u~>EH0GFt>tQV|;SZ|ezX2}gIg#+BK#&aw?Q~>9`Q?+7|2sMWFcB!|V z(Qeg|YqUotvPh(oe70Aes5V4yy z^*{Zgh+Omi|NeOW`-6vKj?)~+>)(Glti(T#SI5y^$~2DS^i(Uv*Q49u@8$gSNdNoC z3;%CkVn)Rs{OU*l!F5Jn#t)nR^)eA*AMyXmY#n!(l8$Nr^TuNR`YBMEuUD6^^9(%$ zS1wlNGGy^0agZfO9fK@+x8b?8j8da&P&x{Eo+v6^5RNtoQ@V zRND9wvU2_m$SRp^t?I{E$ZAQ4A*-KfFE#vGrsj7iA!{{AfUJG>G-RX3cOe@uW=_+` z*^tf49fxf3GoN-m`UtX9d)DfF?*(L+GdCf-7H9k222ys9{omMo^Z2-`@_#({-pRCO z-*H2dmO`sEnLUX#fyvCINwYFZ+O$%}(gs>AEuSt3s2`C^Jy4Y|hj8JbdLt_^e&~C_dkkA^fW^ z=Zucna1TD$REUSQdq05B$-*7@Jo0Q_KPq=SKG%&Cw)GD_iO-|I@e_Q$^*~~2!#&U7 z^O)y2`o@3!44<2Jdkmk)zUlk;{I5T8+|8Q_;g)gEW$W&D;d9$U;{UjfgyC)Ng!B0O zAHnBI!9yJQ-N9X)!O1}jk$+0?caC~$a6W&X7Cinve7z^w$_DQZ&L9-0<7{|*y)QU} z(7ZqR9w&N6a5gD&X7F|n`GMdjc70ax2rr%;9Q`=H&IvB&y$=Rg5$ERy=Mcp6f`$Bb zevshwF9y5K>g^DDvk*zWq^!$kBA!I|WOuLghS zy{`pdBV;!QTR6~7!QVNRn}hXai(7&=0b5*vIy*hWNtBRG`^`DSpaZWi*ZskTzs1)NgE^eakAi^M{c-SPa@GUEe~~#K3@+oa zKM4-w#fO3~kYad~0h#ir!BQgmSHaDEkIGq4K5p-~3zYSW6avTN5 zh4y5y;XZu*K6pQYcq({1f&WABEzzUwMV*Zc8KK%7;a0yxCxnOUuls^UA z-HWe32YYgwe+kxeVt);;rhNHZa05s9d(cE_@O!LZA3HlG{4%F;2(DWVbWIWyJiM;TK7&4}@2eBhL<>WxI323V(et{3V(8-0)I%eO|bQvpqk& zjjVA&m?raG7%t^BFA95!-4BJ2aGDo~NrHreZvuEpcq$*cH2e!O|A}x9&ghfjat?H5 zILKe03V%+VeL6gjw7n|)(I4^kneYbE{j=e-g!*&gQ5^5{VJA`dh47J|x*HM0DdXFi&*+{cne{4@^^40J(LiV-r zJ)HE7;a`c}n^3Zcubaam3V~a~UHHhY;g3j_uZNG4p}!G+h3xjt@JHm%X)MBSs|mpJ#I zhgIHtEc_=i_{;Du?rf`Azs&4*Nv-+h61Bx8a9~*58F^Q?NW4 z9xUr2{4WCdRCqC;{6ly#=l*o~dJg+c_#zwpG5iVPd^Q{>fX{`O+<~t@g=wPv&taAr z{7ZNR=kV9?Gwk|r;dvA@e-BUL#plBvN$`J!50M#g1{fcCA?zZqUko4Q98QYf#`(QF zx{ZCF99=`1bxL&HQ}{YHI+ut%ExLu$?E}%9_;pq^MovCE+JjJ^6Md1tJ{UbhUOG2A zl)ao6ok%#(kG{qkT@dX+hQ2U5h{(Dqdi%HV^`Ynv!g6tR2M79abTGO6lITQ2c4@Sn z3-2S*U+%)!N2B|QkjtVmqV@7<17*m^qAwG&k4L-m*A>wvM9(Lq{n*Qu(Wl6mpNduz zh)+jXlk2XEhKQ`sL=iFn*{DkH`CRllQtb25hdAsPqK)LbtD~W3@O4eJC)fKIqpy-} zz7)NMP=7i413SAm8X-}yi}vB%zY?9v6?c7fJrQz4lqSW#8Z~pEuSFMd(l;j`X`H+MC4rZj>Y} z_eN(C-0wyA5v2Q~M@XFSM=y}>KZx$&K=(%n5lcUe_T-Fy6y*u$kE4A!`UBCCT%r#~ zm$I{;M0apT4@EDq-NVs8NyeW>PZO4(MK7}5Bhe;O?9u2DLjCh-Uyk=!w1H^-Mf3<8 z;6(`R>{rpX9O3ckTHgD0^e}1kn5;C4smraW=_#cj7)`@Sem+@Fat)@7&M# zRFbo*C*pF1;41fjp&raQDDy%S_DtxI>ikIMilhA-Rcuu|C~Kd`0L`}qAsm#;Uc%q= zc23~${dW5&{@(xQ7w~z&OMk)V!hba2`Ws%uzu$NXuOIk>zv6Sz+mg86vN!)uO}>cF z%+Y_tXZFcPT+hw=2ma1~E5hG})7XF8_d@*Lem_U-$gsDAe#QDG7OuZ#l6P0{PuPz53ZGf?4&FU_D|>kB z$?Sjg?>Mh5cXQkm59Zx>T*E7;2KVyowBSiTduGta&d&>;B9!L`f99`Cf>z%9cO4lfiZb@b|%g6Qrkt3y94>1v|6(pM#T$$iD>lv6mNv zs|nIe!8_T@N#W(}^WEXG?B(R}Qv~9a@N)$B)bJpFofg*ky!;Bjy#O{Z}%lOE};W(%A;cy*?y(HX?KwKLBkpSX#%mn_* z@MbpnRCoXpa$Pu^qu(5!%3rsHFL2S{8s5Rt?+B0MRPGG#XY>2QrR?+j;hSt@{z~G5!&eTwNKa(Rc-xg zV|`VNEwwr~h*W(|ycBh8R%6$uT|x-0m9raXpRowNZ8xWJ$K~_z$Ija|&fa}T{IS#a zv(}%4Nz6KfpV2NmG=}#!<9cGp#>HQl&&G{A&3ZtdSo>~1cQHSs?RRe6{^2$}x8s~d zw9_uL@+b4^&bv15aZCnRU$t9f^3MzK$E@9FU4>%cL?U|i9o!JY>%(UgNdE-^_$>8G>238IzR`f z@LV>3GI+2FUrz-OZilZw1ZTA4>zQC7rVsxwpU2m;!3%Tn^<1!RcYOUhxRn?G8l*VT z--3QN_-F93H{$Ds;H?MZ>&0M*u)Gvpz+vAL?y@hw&I%L2j#3MW-*L?3KD<8b0zx|b z98jil&Xs@+&ongdxpxPCziN33p9^k%6+ScF?5xnhQ9CXnB#ZZ$i_e4a+zFrEJAlNE zmFNI`_O9l{`nxa%>1(g&_;m9MKDXev=rMUx@I}CaXw3P5GkIF@G`J0cm)Bxq$@c~y z=Fq1H_h7u_CBfmu?xn$O!uM=&JMr?jV3;GH6wY3VuhYV>5OwE-@8U$x4-Y1GKOFv= zIQvX^*lc`#E&K_F6<{6?GW(<7$AabHKv1U0uRjHIh>*VpRd)YU@WO8RIxS40Lus^k zFK2h)^Srz0_*dhzrG@ZhW)aWX?OS253sk=_xNPxs@LMP=c8}H=aO;u)At&JKd?KeG+E0?iHcwq z8+F;S7ChF9UpEE~yoY=jpS(G^kyN=Q_%!EtYw!Xo_VwUY;^G^@8#(wlgKrZ4 z+k#v8b$f6Xk@&43WP@)9pX9GQf>YS%oxzR#^_}2)_IX!u1ex{+!Q&VRj`pj_VP}M2 zBA1^TUIW5G{GZ7Y&I)hm{LT)ydn3Ni32)<*9}Ev9^3Dwx@aw!V!9LFqXA_7E!ahEE zVfYl`yeKU5*N4K>+1bV6{U9M;n)6r=Uk``x_UU0^=rs9E{)z zp9j&))dl<2q7w&l5S* zy%uT)PrmaOj($$i3$T*s2CK=r9}T`&Py=e}YBcLnU`g94Bw_jlc$G~06-soJ}hvsrsDp+5TCgyl;?krTNgY~vdKYIqO7 zz7`(F=WYx?z-iwU?$5S2hbv-`$Pvq}Dx+KW5k8#i302y0>v2VY#pIX5#t#jXRJ# zf6#b6S@!UynAvpHumH<9s&2fvW`f7mcS91;1?E#u@#p@era~}N={N08&OT_6(_eftpYMDviFe4;e6si%qPqJMVr<}EB6Ijy zGW+<^B;;Wyu;*1{{CoX#6mLhLMJP9JAmp2_Bsj-b08QhT*K=_kcLd>l=j9yhzi;L! z?>dT88V;3}?#vx9pG&AGuwQtg7^4vuwUa3wpsDEI@%yg0a;1ARD1 z5vEInHX`Mt!LLZetAqQA%xi+Z2=y0(jqUitCTVv4xL-IE#Gor?AL-&xZ*T{iNt*0{`ylb^?ETw2q{FUvwtXeopi}r+Hy?0+ITe z=xGQjL_KyTLhgyK;IQ{czac05Fj~eZe-yQ_&!0vYv9q5=IRgKi=xcl7>xF0zyM8I! zg|vBhqJc<0Iq^Hr_SD2%i1~98f8`?|P29qES0wi0u%AvGN7Q{baRn)Ob>b1W`(ok% zs;eI+)^cJGCY~c_{50`p;`--_GYQMD5|-HL8!uv?H#NS5dm@u|{vX4A$a@e3Sr1UggKH%zWo ze@K7w=*CHXZ{6E!>o;!Qg8NZJ1AZJcdCW1ZkF`HH9=Cpt{b9#dk8VBT*h$^+sAJb} z!efAK+v?5i8GoVM$<3R$9jkxB-Ud+cHNMdhG`EHkk^K_nrK#M==q+ZT+!Ct@{7yrfr+oOtx-a zw|?{5+OYuj1l~8#)S-V0ff^c!Hlc^bADS=HI0ppyg~?-{_@lOZv;KjH*G?X%u;NJI z=raQifn`fMMQUu)LWL(Fa5a+GJIX7V-1M znDT3d%s&2_bQuj@};fggA}jJ7S7Mor0R*OqlF!d=kmdxhrz>9P{c z3mGj!(BE`C?5H&ZjT|z70ZT2*9gb{j$^2)l%O?u}b!5R0;(d=(fWs#ng1KFtD+N8c zHo$AQ1wp3k;JNAMFrg^1fmc((T(kVP^7q|nX)e5j7hY-z4mkMDg9BZMp7-X#g9Z6Z zlLwbh2zOAu>~bm|>e7u~Eu={Bvwrzam1y-#s^PfFHCs1r-l7)O3^+#DZmn1xJJL*p zZIH!ZBv^er56eQ@~MHJjvDwuYP^cr$p>KoWDN*%{!>0Z zX7&1wR{UFu|8f{`c$xv1YPOid{{@NfFasDn&NS@k9Pf z8HVIrE2GC6f>%k4{RN*9KmP+}TeD>=wbL3xGXs9mv%}%bVcM;1g;Kk+)zAM+ymlC| zb5O8fX5Z$y#9ePG+U^_?0j+{5>fx!F`qzdBXD(>6ivb-u0qNG>3}^%rra|L03l(d| zU#RNmD>OQ0G&QC$-lsK}b*%`uS0d~_Ug-+<@hSgiv!Qvo7jfIHI zo`ndNu1SRJiqr9j>I#22{)Te*Nu+*i5~&{+H1*TSQv7;lQqwmrFqAhZbRmM{G*Ii{ zU~a!L33I$~XG0Ke*U~w(vVUO1;K3_a&OIzTacQ*U;!gfMq+jc;f!5B>*3Pc}*{z25 zz3)NebAw>NqXxT_2Zu) zg&#mi|55w{*W6VgD{O^b7LM-`?xB_lyNmE<`@L3#joy{N%N1>!%QGJhgNz`Xb{dWd z3=+^Cku|a}A9J+-EGf$yLRb`4!94(TOc!q`Bc7 zHO=!+$Hc!B1>NX^w(6=C%lT)NWS_y}WNE;#;qUq6bCH=opEP!@!c1buTldX;>y*YB zTU|f%t*2(b^?_ut*W9Dc^aQf&lEHq%#wwI6-^Z0=?8?(<7MsrLv+6E5u`YXOCpG^Mt{vv^isTuvcpgm@8(RUXq8KNB3ju>eku8-Z3a2 zogM6FtOx1rFSxKO8++!WIraU25m#c5+&d>YL^Hq&{k_VdFvo%aGAG#GfVh|!o}Uv$ zkHB>1G?NqfjJ77DotCzav}T**n z-2+_^Snz~$hvKPlnSM0dzNIzSnhjsAxb*V(W5|!}5G-gFt4Y>axH!2e`dPz|qtCx< z@yclXCTTg5e208*#b=b{T%x|tXvc|YM-n7R&TxNev~NpmbX-$({ks-NbC%|#6I!Cz zw3xrzRNw3Y6KXAHbysh1-8a>`Pqf40fz~%7DA%=r*8#brSaeuOt(~ohG`GIKyLI30 z=wt6XGJ2w+DSW*rTCUhB*iZTqPT^{c_>tg8BR^yX&cdqRp6Dg$hxhMmh$6JU+jN0j z(GC*_8&;8=Vxg8?4wYl>+LFffg zCR4 z$>WR|5Q;q9U^j!`?jRV^&4=fA#+JkrVQ{#wI}aU?%7+*+KUHQ^({>Z5kR z9*7+vLBAvZk zDc+Z9vnuxdm5pLq%=r9bJr)d)=FS)n?)x`d_QwR-U;hP+i<2vxV?bV*6kdE8AXm-} zck@K$jY+}wj188$S%K|oVjBte^M5ha(jFzY)c+comV}PKy;e0B#9Z#en~rf5Z1XUYO~| zr8~@oh#TCU!$m}9;Od4AH-HlVxu0kK)qhtx*@h}rNF*1QjNbm{;JOk(jp$X3ra2Co^2+MA+V-qjTC(gf%5 zpaa+%4|cWocj5#8H6M^|4ke4#7>=EdR(CX5ev0;7+G!l?Q+e;Kz}{23Y9d)}Zf%|4 z+}g?iVv6bZz%tuN^C?}1iGIp{o(}?=zjVNi3aN?zN`F4q%csJrPr~x(Y;A`8)M|9` zIq33!&+{7|wi-*8;R`Qw?{l7tX^t&{yS+P|=FU4f*7FIgvJLoJSS43gqZ5xjz(6LW zf3|U^rv(M84qXv@{K4c*kJoj6A3=HFteKvkw(~DL5ojP^H5}8D56seLF=RwBcIPZ7 z=~Uar$(lh+(+2^|H81nP1RwbRY|B2Y=FiO=NpKSHo$iF8>)n?Kke1p`QZqXy+q#H< z?LTPxxt9GrVEfUJ@#}C1ZNIPgKoBmEUAfF&xkAj@tN%w*Lt=RUuEcPGzxw#l%hwdq+F9H1F^IFB)o! zx|*UBnhM4``Qf>M=FzF-@#hEEGE@7T4Ll_X-~ve<*)2o0YY z*}@TMM);AdWNn%OrvqZTYlh)uc|SQlVC+7T{HT03CtH%82FTobz{+(|a2Rem0Vv2& zKql24F?{O5MjI7yL&9|XiT}YArkmCQ{~z}k&B41^IZ4l~S#+SmgCOs{Ser3Cv?N!7 zs*0`-dw3*~Jcyq_CB7uoI6Jv7|JV7bZjDU4hbARn6P z#^1dgjEwmv&!-x#ITSZ}zT@2(F2+RvvtF2QI2*flnKHr2Fo;&@flfaktaPi>7pr1T ze&ID)WgR@sC_2L#*{kArpdSta=46=oou5p1=W_($rRnYn;y&pVLftsuo$(F=dNV+9 znU(Cxy53+M&(P+N)7|+wq5iOE$Hr%R$BcKLneooWp1Ep!+v5U^^Ns252zGuyfW7EK*Ln29&D3?28oPvY59@;!V`slk_qWO!X zeHM2|uZ@p>Ln3&M8ifs#$rV|JKwgWssjw;(#~v-F*rS&m`fC#~X)y{@dxr=fcNU|q zEeuQQ>JUNyIgP~%#y;#amDyC$;_-g?SZ&pccA*eMHOYV6| zKr9elfQ3d(?p;G-ys0i`i;9YP>f-SRB1>npgd8U!+i4~L*V)OXNaMv!_p7}N_wflt z4OKLLX>vGb2mcaJ#*;IDu~&W~mhp0cJ4NKYk|I2tXK!dlv03X;Yt}4Uz4@3$8#is7 zTr|1*i1mx|wcMgiDqZ-mY-{XBD}>jEZEGk0({`;u^?%&5@c#^(GmsXEy6 zExDGooq-e)3Iic8ZfXmGP@Cn)WmQXP^XA}Ooy!M5;VMcG*D(8!>sz*NUcZr0%ht7PCs}%m%(+cu4nI zgtVG5QMdBeQ(pTXX((4BL=cfkQL_p}vbS@e= zet+>xqE(BdH=aEB4nEh}xnFB>9oo%4?D$oD8on`Gh2q~tNun+~=-_C3`W_sxi;NX) z<40erFSR_=+}ylhdSFFoD%Ib4NLM-+Z9jXrbx53cb)r{zOMFtC+k=LWn+nt5|XN}>9z*G07S?^o=~<+`%fbjsLQ>8^qO)^+wD05Hp4sdXz`TQeyPIv0P;JroyO8LUelE6ui6KRIM{fCP~q@t9_EE(w=u8oumwefUyqA7KJ zDwWEl+f(_5a%EXxsZ#43tyL?_O6ewi_Ko%p5AjMV-7vahv@|wQ!&kX)SuI_t<DowjY-qSvNTsCrOi>=F)%u3UY6F$x=vX#W8(T3_snwb`Y}$BK6WoD@ zAq-s`92u__$HuDZ+Qbl=rln`}tst)CYkir~)Y!ymSwWpk=TaT2Na0)pAM+PjG#`>03(u6FZRlv%a)3nbn&lKc|Y>%|p*eI8ll+v~FVs$L7fa1-J zZ9h6NQX1$l^(?8CYtlTU@TH(G_l>4Fb~>Zma>nWwARDOSs>~>rYBxPrE2E{_^75D) zti7RDD|Zjn2K$D9&;<+DZrXOlhRKDQmP{s}YRk6g+wld@AUC-vn`$3esQRxAsadO$ z6{gJ?9t}sE(gls-%1~K=%_ubLCbe46z*udtI8jTdYL$r*fRJr(=&cmXm1?cGNwqa} zt&R4rtkecA?AeMYZFi88BtqL`_TEFa97zU`dB%4wlZu236o%Gh-`P#zxP z`Wq~c_M1kjY>ye&BU&cYrdhQE(51j11I1Ele9*ASqSQosB%2=Sw|{7+aCEAcYZhUk zbbOHhCACcZ=x|@jg5jPRsZ{$$dTW)jUfcxNrSgQpTPzvSv0L{oJk&tcaD`WzGWN;Q zY6((+)Im3jq^_H4sbr*3Y)#ow^2Dr#9|Qsl#yO0UKIGRLdOlMB8y_$r8uF1Y9X%!D z&jM90WerPcRu$r!rXB?fIn7h;8NUOKoRNV6^q!Tz)2??>o9P$Wd^wJT@gdJ3|j79q81-@!e|9N zERsiNXzr^QsY50iyRO{vABW>M)LUjopJV-Qhe)ghu^HFD^$0t+ch zR$^WWH#b3#wCO@t$%F2)8+CkW#EM4|3UvsoLW6?sLHGguX-9`RF=}X_T7x!N-aYQH z2Q?yh?W!z})T+byKifmOy5YgJPD}!qBYFfb9J2nvKu;A4rPkBkHwL~hOs5~yxvUar z-NN*0Xf-d9M%fM@Y`xUTI@2KSge_y(k~=cFdHtrfqmx@3x`&4`c;7&!R;gBpp%;dS z`)ieRxAx;!E_f#xn@B6EK#Q>KQO!;vTs?% zF+P~9Mj<-ZSL(0zf+-aEm7!@25)C@E;pj-EQm&;3M@K9Z!x}_Rps?o&nIVi371w@<12%R;?+4CVF5@R4Yqr)wD;Xvf-N%SUyzM z3R_C42r@w)nNFR=RO~`KwYR7nWg%Xi^bxKu6^Dcc>y~mE=HBRXZGnT$$UyHL;kG+^Ys+RX*rFIH`(RLiIh?!1LGFEc(#GYWvx1)mQHuIQgrGccG7fw zrPaTZV$(fgR#&$|mhJ;zwCr<~10BjtwlWtwS2Xv?@@kp3IVUIL#fYBrlQNG4&kM8NgH=)>dX?WBw{26??HvRb;sq5HDRP~pOU&B1i7i8E1twM zsMLzR-Cl2bw~9yL0XeIa-j6j7J*ki_WVKN#xYP@*r;SbZjeL5IYsxt(TrSac6K7(Pu;vCl~-sO38DkflS0BW~p$ z)FlZh4pO>32ZYCzTsm(kPFpfn!*Uj)Y1M9Sj&u)KX}I8PxtKO;2(cJ@53O0+$&lid zQBMz+R(Qs5T1;3<-*i(>D{Zy9i{)}vxUfh6Gs7yyNuf15LV;>9k40l1fv-zNM&GeI z(PNZJ486)q?#md_2XotCCoXg|`GOrHm9@S~*^y_smer~eU9`njT&6a<49>YmHW6fC zESJbpvN}`glA_Bflo#DKP_|+u1)5|8Nn-mKQtEGUnlnHcToZ`u;cDO5iY9SqJ;cXl zQ#Pk;zB($3bW-9gQ!5arv~j%R?RC(?$R;sNKsa&Wp>vBvLlr_^u1qv7NLj;#4#&h; zaR7wsU1><=qrokNLR!hVj9PqpbkAc+3m4|}D$tfo8`vM(Kno-;PivjK<=O2J%|=Ht!`gj z(Yem(8zo;DWqe_zXjsdU7dvud`=uJjaM(-VXtj6s#q|`f@uA{C-x6Up z!xqRSHd)!I`xFJ!-mPSaVPFZTVC_5La}AIb86)!WbdF+A0}lNxfve$iZ8;RT!A7dv z^ykUp_H?^EkX1gMLJ5KqTmmBqnyA(Ur-P=L_Ry7MI?)DsF|w$ww;#90M-MfYdsa&q z+MQzA53LJLb1}wcwf0T0;!AOtf3b8%waD_#HKsw zIqSHp_6Mf3g%Ax$)q4A!RPuZjEb#JRvDB+)rk16dnP@FQ2fFoCz;cRsDikYJSL+6} zMLXL_+ooJKX)PBtUE?yU$S2f4D*<%iM*9we6HOx+Tt#oK$4y#0i|I^LkAgNL2VCNx z?z%fCHisd98k5O$HPhnhK@c1Pbj>o`P<(LI#>urV5bpWid1^CdYa2fIP^E5iR2Rwc zB9}2tf^{ScsG|VRK+-mE8fa3;Qy9|d^;CptR*a?nLV&^J_J6wdOm z#N@T3!M6uk$h2_&XgkLnoGDh0caG=D9NAF+* zDE-m{JVL*?U>9g)%|_i?k z7F$U!4S7?%)kb*VIGTa6-BTtzx>S*bR|Epx%bLFy?>oTZX=)L4UmfheNE8bUabXS#_DDzIabiiA{|S;XC( z5cig{HDF+o;h$hdqeTZmjN{>%9ySy!!b3!hO@e6GN6aZZeHe^N1jhMnw9hxdXnLq+ zRvI4afd*SXP#IFGbKH?woD8M&*KsevAcOj8u(%Ze*;FY_Glwjj$Fu5E^OK#J@oY0Xi97u6gc0s*v;P1S`q4K zjbt}0eOQH1p3sD2AV)89%Y34MmHGuQD;&kl0i$2h)-4B*2pxIVdp>3d3#u|kb&Vvif*&q_aFr%emrj|>)JR0I)mO-XX!5ZhZPBu}{#{EDY0;!bY4XQiEYN;2L z8fMn5sm)oVrQFm^uLZ(*ZQ@mEelQ?ve-wI#3?Vnc#^$byL{70sP0xZ{lnor(<067- zuhc@41CA`tE|1|(7w`bJDbz3OzD-#K;(n=RmQ~Z0*xJd~$Q`50v~8v=H?N0c73Ldy z;JkX_4W%L8hPM!BV@)oxg@&e7bmZqw$iO)+;6$Je>@7G zK-c*o0H##Qf<@^}ejzR+-?v0mjBkW%(7gHPtQhgQhJLx{{s<~GSt)2##vCJ*oXZB$ zCcxn_3L;`rVc+o#ZrONddtC`fjGy|W3 ze&mw=u-g5_2a0i7oP#oyNv2?8H(vP0x zbu8{;mXe@yezEaFjLKKWJ5^-&3Zzqq$yc!6Yx=K&zOgY@q70S$iYQfZKxpk3w_Sxt zeV17q9`WF$O`%Q~eZ(w99#QdkWW_K{q1F~9Ne~;B13Z1;Xzb5kr#KX;6r~QW%TF2y zVi3t~yOONe<;^0ioI&;_L7+d^_q|h2`7Dfznv4K<{S8v~!(<+O?8W z3w6EJrF%8L_C!_4MVaNP1|_~xveh$QU4e?)-sMPfEHKOp0Z)_G|1H6=!KQaKdCwRn z0Qg~Cya z$Kr<=E*#vZcpDg2G%iT46pKmnMdixSF!B#7Tas(($fXMTLN4E)OJ{P0JWGr-Ia^EV zyYge2<#Jv{qsNdr!;mr!4UP(OiiIM?Cc6R!8IQW>u9)Ksr4?m@Hk*y^srR*D@kZ4Gyf z(?zokv6^h%AnU|z&;_WcV9)7NZ{;p>JU>M@P_Ckwz&c>sjbd#prXg#K^+gs{a2&Lz zPZ3d)8b;=ExJMb9R#HyO%K@!-fHv7Vsf9l1R+InmqE z)}cg4!OOzX5@!q|ofoTD`B#Sd9F9>{ZVa(_Jch{(?OAe3Nv2a`tu)7=%Mdah9UCUb z_*YaNVU?_$0(y~$T&O%9V&!i zxLM95OM8o+HAD(7p{ThfWh1yQLIc^GhS3wYl(udtaZ~XUl;l!|U~M;LvIL`CG?s)X zl@xc2SDsN~Od(xN7pbO3|H}D_x#Lt!Gda?ZkAQ1s^0X@jW1Rt4?5Q&_B)MHbdd+3? zx(-MQ4Na=vyb^#~x3ysbtyZ^2$EuZ~C1bs{b}-baiIbvZU9@C_M~*;7;G6hbJXs>( za;CIiEFRp>BMoPs=<6^=Cq}F2+6|otGsN_8lTw->qeu$fs|+a@j8*cYjAWZt^H~X1 zsvFFC*g!aw9#-dhIyt>Ot+cL^LYlaUl!-ycg8wlHcwOclC{(umCi5xiYlE09>vlZiFF0O~#lRN32N7zA|cVTbh_PFBGed^;X>z#(lyT6kJqh zqtl@(L^{QqjR_Lu?3X!~G!n>D-P%F~G_ZZ7sWPIaZpO8Qy>Dd=^>W)ZYMB~hVB5E++fWyl%lB9wa2#YdEoBs;M1Sif4vLOy*nZ%Gziz)A z6_uztg~yl@W4d{?S{y{SIg{&GfuDS=0v7C}N)dFbN4yJ5E0`rP{;_2$zLO42K)Wrk z_YX-m3%I&QH%|7u)|%$Z$s^d1h74T?LiP(bPi~l8y=8J?)0<)3wN4&$#3T;&Si2~{ z=!ng$H?CRNw0ZKl^*ke_392&JQCKxst0Zs|7*T67T8{;cBil7&TPDFKiePZPg_gRE zRK$x|3YZ219exmQY9vlCJ5l~qYs_WC2P@%ZzlVO-TY%|~f?}a=C7}hPA_VjpFjGQK za|LOX?@{GHQ`_g;r0NXeZZCT!aY4glIcF$%<7TxUg4`nMbjPls9$t^TjJqTrVvSU- zC6hqajr3imD|DHdk_bH#YSvMfyhsGyMw{eXrc<7aOg9Tiagf+`b;| z9Pp;K2l0>y6;+E|YS&hbf~{MXw7Ht?G^B_1z_s*1A#9~OR2*|Q4va8MTDylGn==(H z)Z{(BDviSv>>KPGv$S#4r98v`%qq&muk zI}w<6QA@RQ>WdNF#1OSac6o*^>C7^g(l*zJNts!r0|J?&dhReqa=KU2zSovUInUm+ zt&Q#B;ly?w6SbP-yOrqz{cj8U3zh;g9+yC!+Dc^>x-ofCx-z0viMDl&p@9S;s^Hun zZ>%%Nr5-rhmF6G|N^loV>}|?fre@GWBPX`pgcqzsZ0AirGIQTDf37*mnvG zaI88!fTE@$w>HeAJj+&mBCS33%JJ@Iv_njYEsl-imVHDvqQ#A^0A>U!Lz7L7*M<^O zFXvE_b2gNrW#GaT#R_u~2v<_0@gmWS4&`uKh+;U?;!^XIM}c%fQ$V$D@XuMnhSXP* zaFFVzx)cI~@`KZ)8+7?kahk_dNH)Z18cz&q&^4Zymxq^Fl9RWjB@_@=k2pJNqX{W3 zlqF#hD3Zi<2RKU^KxFP|>a)gPgma3LXv@os0BZJJiCtTdHTrJh%xnXHn)jrsV?aRo1 z4d4#}Ek0o}KTL*=_;Tp<<7e?*tAY+EMpa~vZH+`TiEBHcGiEs#B10IWPMQIsV(4}# zSy#6i+Y?IdTB2p^qHZG55Ehm#e^REeu*-g7IhGy#kNv%G;MwI!PkyPMKBc#CQv;7du&yfyw^ z1z}9Flpt>WMBbsOX0^sDYCe1GYd*PJ&?dgEGIk!6k!+h!Vv~D9#ciJ&!_Vp0guYjqhmjM&8uOhBfIW%~KB9nuBB! znPL{{%^0Y(Ppive?~yfyW6|r>g`6+w4acmHG&5u$269iwRj{p0&iB&JF^jp|W7bx8`hUyX>Ym2hO54&7RH~>gE6cUSV6}?Xz}}{f zn90FVsEGoI|7h{XARCR|x+qnx1}nJS*VAJHB;1^;xS9z|B$ZXw;g~yu#1jF?^eWCM zD7!>)2HZ53!~1TUuEDT&H4;Y#Op?~$-4Qb`B+is}X>)d9B0BY^yE&KGtYa$H3PM5lq( zYuvNF1Tlr>#T6MGl+@vb1;UEDgg`J1@5L@Lq_&`=0XZHK!%05@z|Dyy<{T*Ne5!!) zlq10G3~U%4nK~7QZ;OTD!OZ5Yim{14h?prMG47tu8Nq}wRZ)o`R;D7ol;tNi7s? zMiS|xbvMT}`;<^ahopd}c?u;-R$D5DsITYHfT)}GB^m;U%}TkQ05p>1pEGit8C5Xl zUB#Fd#y~U=P{K=*S)SHB=o>0wotmw{>ZB$QKy?_VRVkhkt~*Z)7S#q9O9fH=zTWpt zQm3CYd1taBr7bfzN-<~9Nl)Go*IKh4JlfXu>{OkXRw016YOHgkwA9p9IGV|oRV_!o zknJ@4P7QO#s>nxsVM0hLF{+&^EThtjS6D-vsH|3FI73SB=-!*~cp4|RF7zBE<%S@G zJv81_O5>18o}2$a$X+!qItFiF?_7iJ0+YutIwp(X;z8p3$PJrTZ(W2p4s>qWbmZ2i zMMvT0@KH^Rj@-Cu5sw^RgPR-Huik>Q^>K0S>aD96ZJAuN6{)c;_rqB)6 zaA{c_HsgFan14cMXgDH8tb&|5YK9l7_OiO<+_0hIVr+dQ7nj%u{|rySkU%~`&ZpFV zt-WP^R})QVJUz~4@jQ*984J5*1FF&HSrm7B6+$|br7ap8cHp@w*d(bWe~MXekQ$XZ zran;Fu!Jrd%i4`o7MRAKa(e5_ z7^JzMuZl%>6&}FROY4kcRi3MRrhXsfSx+P5BYM`pJ4DZLY8hC(x@6I^lIw zdZNWI>=awJNAt?M3pnltwJoDXx{C%3<1xsz^VVVTZ48;PF;+ZuNT4vrFnYSNh^}l@ zOq*kWmh+?nhSQ9lq}?>bZ2oq&kx`2*B;vs$EL_93)V@Ku%4(0lXE_?>4|mp6{&5vB zW$V5MSWN8tGCXp&2W4J#C-_tj{PT3Q#hI71FPNmgJ{Utv0>}k8>s9=aF-Zz#)4U(d0PS1&DQE+72l>Hor6kHZk(cw%GBtNvsg@0;mMYt4P*vPG> zj&4)-sIuR7<)dJ8tg6_E%ifa0#g1rx+F_w?jddxN0ouT4jkYdT8(HE@5NLg((VcQN=qNak{{$F?6l8oysV`F5I5?1z$cT*Q6la|Ko zgkMV&)8aXWv!#9F>tjVb@=BxUL~yDaqpZi?67HC$A*LoYR<_|Z52Ud(NuKD|Ww9{b zv2{Un7=k14naql0@IqTJ#^J4@N;r0kl3yRo5;-Hww4g8$sA$>@jjHv1q;}(c+C5`y)~(*Gj2CmNB?`V_ z-grj6F2?KaThd#9aH$hCZgpnNp}aWjoNVK!XZAB>paKN`qLLZ#|5TNET}eV)Z&gj6 zVoI_^D1E`fIXsiX_WSaIDuf+yu&6di8FSF#7Tcpzqc~KL0O@tB1_j)4cL>o{Jr^4P ztb?dKrP;eX-gRL^Wk*F}prO{cy zL``8Vs*P1RP2Xc#rG!INak37gOt@`$>B4xwDKt+JmzLMm0jRM&onI~}XFg~j2$(XJ z6h_u7QI9h%-B!?)mG(3+S>smXwp{B2>v~9&*UgYnA_x?>#EOYbG{}UU%r`dhXzXdX zBg2!@5KQ%^HG?F^6B2eOK&C`i*b~;gs;g2Pd*zxA%f>wox#Qd4igHSNI0)qMTI@a7 zvRbWT4Id97N3z>XQutA$^o(bpyCO_u&gny5A?2r)p`g1KqNJEQByh^fD`xsSE^Q*0 zN`ojo8`oZn=p~FuYFTWXV{2nGN#8LGlxTzC4@p=SsmG!hlcZ9rED~onu||6qhdp)qGmmzqnB9h8(PLwoTPI< zqJm!NyRyy;ukp}^nx?iHfhUeo4tTQM;A@E-l%{1u0l4zSuReob4M`+hcgKW|JhQOJ zGLSPLaN4{w-r8fOt){+2y|02Vv%o*6Fy+Z zuZ#K_W>KpU%A1l<9}$ykK%Cu!Lr#A%aJXX*6|t!f0VVN9jNt4P>%8G7vul4>Q%rv01%zVDiGpYMp1_| zpybskY_S6kePhf{z|!4yI*ZFlmAPpCh}F3G?WftI>j@rYKHaffl5-Lejmo#CBZ(+? ze3U86s}3>iJr zF-y^t9ClO`gvbGTJ00j35ir_fKCqXXgSX8cIEu^7 zDzIKD{ajR>KtCHloTh>0cvC$bn+mh2ejXVFuzDGDkX({JvEAr6JvC~u`n+e2C$LxD z;+eX?Md2Rlv-sJaU;J40ZlD0Ow{4&faXOr7WQ(i4M?5U! z06-<@l=on)Ib9cJkM*Xf)}}bYbMU5#xEWQ8h;%IegVA++8cpJS<9$bJMQN)^BSRv- zo*|in9yf``UbS-qCAs1WwLvV>W5bzFdMl}W6%bb!lpewiP+RucJUMAg7&>m2;1Ddk zx>GPVG^*K&_Q&BF*+`9L1%S~})Z21&6BYHRl=4KLl}+dn>r^-?Q_ILS>aw$*8yr*X z0<@%5L+4S3j(61@vU8EhNk2?HjtOcj@4EvE{0GtueovsWuE^TuTfL$P`$v>fMkLw zuw^X<>d&-{9|>(aRs0rJM}WK@CO)kRt6EAwu(_G5 z&%{PeNDikp+fqrRrqf1Gk0Ki&*g~OgswszDJ%kfA=NM|I-PTo+{Eh)k$ja3>2)*Pe z5=bB$F<-DK+gA4i3aG*Qt?t&{6cS9a{Ov+jE1%Or`cSbA&&)1QXv8r2Xe~-lg*!nk z7KcKtu>-Po@8~s@H76_RzKK`OT#Ch~AxRAxUBe>QE42wk2L-xH_o(O{QQu9!e5#|W zPb^aF$A$E?!shhJpGqY|aIhy8zrcP)J34Eq>o;mrgs4!rtI)8smY})S=w1klClDfz z;hjL}#5`>ubHvNE?||}h>1k7Wmr<2>ijKw&DdHVCeo>r}8+geq9e1}YjA2w8<{)g0 zm!lrT!3V@ShV`s3c@ah0g#GlynU$Jav!QtmqEO0W%=?<@muRz#dmCoj(m)Q>%Tw2i zDUGfjEA!4|gKSb#b2WHxq}RM$mH}1E)e_JV=NXwir*GPH62@cA_<`?c4T-M9Yk6e} ziHM3tVEw987O~i131B0523yL&k;Be9>MG!r9n1n}5r;NK6Rj+`BifpQpr^kJ!r3`{f!)W zOQr!Ej~K9ERVa4zk!oCDQH6qx*oGCwRx15vx}=*6hsd;~n=qwc;YX7-GYy%n(M!|I z*m!GGW&XL+Y~tPq7j)#t1mw8pO~0Pr%oN&`koK3?AcDLzy|m7vaJ09tXROI(E3C}d zCYLa;9Z{9#xM+-FZOsno)wy`nR&skVr-#y7v3M#89QqN89fO;?9ZI1OuX6Wx zjsQPa22+`tmxr|LGI9zI_?c9|ESFKvBI4o3?xUZm2&(qd!Ok>5D>Wz>!;GX36bV@) z+sPiPp<1Ixdh#`edM>J>qCR6QrEL)Igg}*~;Y_%A6HYW{!Fe4*MNBy{kx{Kzwo^cF zLer{E8LZ06VgEx~b+(Jh(%4olq(Tjzypjm#g^7PEr!bD=z0qWoX+7T#m-#0Wh<`av>%%+k*;LQTg*NGh_G!Kilfc*&*4jg@P@sY$&c zU3<2H<}NMbX?2%S$~3fTV>gf0L&Y;r)?2W8VUxLopWaQ}p_c&8n$}6sQ^fJQws?p~ zS=)KU%1MP9rwNPcT>)Ri&rtiP3^CR#WHt89aCff+}~?S`?*O2#_(fYgU_* zAN39rGeO}0>7wfOJgP!@DnD%L-~otoEk&ukI*p8Mg11v~hZnbb`Jo$YL~AElDIYnr z7qOY{1;-zF#KI=^bHRcI)*WVWdEtWN_1h`*dRT~ETUZ=4De(_KW^94m!UhZZ=8HVr zEm(kY@FRm=Uhrs^(}uN&Em53`>}$$dVZvnl^mXVll^9PEi|uJk>ih)Ck*hasnRGR3 z9x~G%=9TqcDL5gD)wdbRiNLeXk;@`)gBtOIdf4=*d@$|v^I*=}*m8Tm25o$H4)@8v zM$qvli!{^T%V;yUa3?ltF_F^+t+vF!l_Id}M4OkxboDD-!oar_*+|UQgy{_LOKo|* zXjV%OCYlP+w!*kW#fG9xL4m%VO3({ZJ0o56oHsA*hB;1I7-vgD(h?3IE zebLyKz`?ZU95Xn|Xw$-7q#i`Zw8xK2RazW*+6+^MDL6nzq*5dwOPE%73_MUj>7hG=TQi!RzTRF^y)~#<@z5ZohhbwJ7XhQnluxHnK5gpx+}j_^%b|UWnsLam zT3dNB>G*jBvP8Ovv5&h_Ug970Xi~f=p%+KMydse6z`np$gO2y_Qg)OzCV&WJ1#}{s z%!6f#%17%damx<^TaI{ECg{xzF_%qP0=BJ$l(})+RR4Qy;_Ml_4wp*FkJ*&a?pC2S z{Ob;JlX1*uaJ_hyKd&IL=@oTPNejahF|#DTqt`O6JwYX=qU~){w5XgawF>nbG{GL@ zK+DQD4V`SnDUB-PQH~XG>GN0xY$M}H6$#H2ykMUcKWgB}JF#2!X=)`~ah@PvPoUfI zJVDyB-1|Ruc}xUlud%rd1YJO|unK zlUg_`Ud#<~IcATg;yaEM3@FH0;>WY4CIFF8zV&C?SN|BX0jXgTg;k(uK}1=H5O|@& zmeb|h>(avVV(!u9cBMe40YVVZ+CJ8jlkyR57uD5KiO#^eVu%XFgXP|vunlz_H)T3> zkfB14$1c&hfx?xlw$}Obok}iias*Zdc;^SxP!X%j-h=^Q){;g@LD#g2hbz)mYcg&D zg@|rRp{_cV~70SBpeIHH!ytzA&#pFf2vz5k{2r%E%Nh`GGNrCB9itFO+u zFck8vYV3Iu?o2Btfw}9i6Iykq5$zN8=ELbqm1Arr_KlK&hOKO+(5M7t%+^V<%FGDj zxuISW00CEC4Hrbd3XSO#mkjmQd(s&%gQH9exbqA1)<)Ds$mz4zjWw>gs|bYzrQ9=$ zOxW>4ABsRlND5g0JOIP%S=i6!5%%J0n@q_OgKhOJYFbNOppdXWn4*g7C>>s|cu2z* z!?#kO+QM}Ys3nS&f9&K{pQ0w1>^nwgud-)y#J85~%&cbL@wzn}Q{@^K73HnqUFuxK zmNE2QRUe!6cg1_V5zPCHwCKg^#{@L-;v3RvQRVe59zX8mA3;_XrowVMRCnWQ=;W6aue zbZfOzDCh{b-l~*^1LqZQ8dFT!p?Y1Ymc81&Q$;pVX)%MuY0m<-tO6!J0B|k5vfddG z!99^tsX|HH`rPmjqd0BFhoS^e8k3IV-m^Q&)yQ`7cr9n(uupxqhB5gR{CG(m@T>!4 zjI3z~!O!rhX{-2;;#=e8o3X?IRUGYn4{k{28}l$}`DeV7SVgZ6XvqWSO_6GMmk#%` zW>B|e%zC_vS5~MvoSvI@^^FB7h1h_{a0E>Y&DztY|5SpJ=?g^x0mMvF45fI@j@mS* z)^@rj-ImXF2|uag+kYY_GyvvY1)?X5+UWsh#3jd5x#NT@*-88R?{s&~`M6EHT?o{mSjVC$Op z=!VNKPwmp7qax-W`<8-0ZpKrA1 zR&i`>y;ZD?qE`q^&C0AJbQunDkLuEiO11Y?ieuwA;=VMn(pT|b5?kcCg2metB-80G zj+d(}7F~dsC8SL9PS=Y`c?a<#)6<$qi*m&@K10F!HZ%e@4K69Zq@+mee$j#ErdwMv z3r8UX2b#Jn3La=0xBQF(Bp?bvBSxK-;Rz91Ago_-FJlvg7)T^aTEX@)q_Fx&N30Kl z@QsmfZ8gRKMW?1=YFO8hK^+%FBz@9t44V`nZ7*i$*aVE@Aa)zJg(4AT@lYEmReWR88=LF9A`< zXJBGdu?fbg8&vdV%2s1pd3=+cNo13xR^)l!Mtk18R#ax_v5a;Ir@o3quShbbI5I4o zvJR^+80AgOcqAswb!$pS&Ckjy@%G9)OQ&GoOAqG?{|s~<{Mw_j;b!HtKn>W1U{^Is zs-k123Pdw5HM!C{#ip#yRmN6LMplN|&@)9Ai-}GBM<8Rgq2a_~D&-)|@{ZNPCi|{ddkGy&J#7&aQJDyA0N04t zyN>kA7WYO$$XVNLD0e~ege|>hWfOvoEnH_Pg|$ zLSU)%aRMLy9HPy(1Td=?xefO)TadP~0M>aT?SR)y=qX8<#o(k;?HlQ>^;Ig^`qxf% zBRicT91Pa*peS_;l@61pF~E7%T>`v<-N+OKL!qbaJL-5Eigj;Ix1@20iSY@p$ea?Q z#;Y|Y>!H=Plon~0VDX2wX}KS>l!jKYN6OQO)0|Y4-55s3LSi^AZ_ZwDctJvvyuhj; zzC#)BXAp>d8pZZQS~S?qpY02LV=(BgQMkuSrB_tFyO}V1m9>!ryPAvY-9yv8y~`>& zo!aMO15+4qoYcq^^jn zbM`ZIrA0ghk2nK(OL6SA=4C-KX}Y8-*Jh~1{XMKyX5bLG;Do>}reGbXlGNYk*(5er z=~W!rLsrO&p3sn_sFfG|WzZFqZYq>+97a7y0_!&9#EQRcVu7c%;y)G(;IvlQcDk*c z4~|o>{Nur=tO6lpN9;YD)M!I^f0NkaN^Ev`9FOW7tSoytzTnFYseFChw=M^*w&@_1 zDs2A^QdE|uO&gC~e-w^%U$FYXBM!u2{IQFbZu1_iTp^fxyb@PxC`8rxvfkcd6iTTR20T`S&ETmsD^2vNe(D9{f((aap|2?c z>l=1Fj}@at3l2lDQBNL(V7raYL4|P4hCEqGrp`1T=+)rH9VVK(hOxOwyg8LpG1+B< z;5sQAw*qxL3iXmN((PneS0v|NtI_DA8|x6&nGCDBms{f1uuhDkX9=26Ky{eH6g`}B zEX-*_M!mx?83lsONO#Ha2=CbUY-Q{_K>>~l0UAf0 zD3v}vP(8D6TA`yip_uujxtXMh6kQ(fi52e&Js*Q!u^0)JX7{{b)(MrU>vT-bi)?6w z`ZEztuYMew7Aw%n6+2T?E;Bkt-4xq2&l4Sot9b1i-f%TGhC>hVK%aW~6W+RPCKZ>$ z;)T>#aXGbyqX(55ZC3??hD-T`I_S~g#6100*0v5s>w>8<)RCXM9fEjEoldu65LTHw zGu9OotFYlri5gEkY1@-5YlXRxvlM%Ogwy4M7A#A!Iq0Dmp5+9N%UR$$(te($t8yF) zMLlpmhy{X~Yc%FwKsE%u6|J>HRU14M&m zT5#rg-v}bIBa&Vin%r7E_E<4JR4S&s3AsjV4_N#MN@ znV7;$(qq-LlrhxqqMKGzPE$2p!b~U1F@xMk(P@T)ubznI`ZY7n5-+o zjDH2|_&<0T+jO-stQ*%f6MFf_F?kb;X~{h8sx)m8K4A>fX{x()$8m$y*fL-Rh7;7g zKomMsRuoG+ zo|dzCP>SFg&hDn!jAsHK^vLa4ZasGl=!0ya9LPKxx59eUY>`xp8dk!&uu+|%=Z`}@A!PM!!`yZ*KE5Ng`Z$FI+uCMV9C zrQkHZiVG3nk`1WBjuNy|iG4ll7T&4jWk7NVcW~$ZWxJcWb9yD^Qq82d3RFW;E>3uR zW&4lY+dtpkTs~djtXh#0`6vPNKk|MHxQdXQ_VI@H`g%6Gm@W^pPuN&TlFb$JC|{|Q z8OpJQBfa8Gnk8ao`E&(o_&N*7fmM(b`MfGt2U^+2-q3ufZq6~tvwQs_b%Tdpfse_A zG>fg@5p=g5=Q8Re$Q?=IP?W+MLA1^{ULiQVIQaojr?cK`MiqvGAuc7ss(H6K@10eJ zV6+okCCpV1>&^Nn%Y(CgktrDowJ3`uBjwH8-|l?3cQVs|hs+4pF39#$m*8{-)44yH zQlO)#&&rFu3|tb}X8kG~^KT-Q$2n6d5AF_s#ZEwQ;?SeQl@I_wUn}3PEC-jnp(?dK zbi?c2p=pZZVoXPmHKqh7Ktv7##AS~VE_SM;A~_T?!SxR~d~&3Ncg^q=NF!KIp@zNK za*!SuZ6)@=%>n^=07P1W9x~_L)Xsd75+>MRVpgc+WNM_|?a{gDq7|2D^c4Y*OyMrA zyhykmhGPlcgc^E)vSh=0CpGXT>@aW7o{%Bw-m~Z>Ex0ffbxCmWRB-Tg7C0!(FhJmj zgmNA=`G<42&$ytiKYhOYvij4{cXto(pC3N`X|Vcvb#r(BWp(@Xr^)^5_V{%1r^V&N zr_~c4eYyJ+3>b9LT%MloqEgon^56ZV{k`Sue*aI?hr3UXZx77P<1NGGAYzkMX0C0sx}k+hUyyt33X3+MCZq!2v*G z{o(wI(xdd>+S<(-HOi>Hi#o?e5UW;W*iBj7#EB|I=O7{euG@n4lo(mkbyKceDDDZJ zkwCAG2NtVH+bStdh(MR(>OEXChT~tbk?)7J2E}@}Gg;nF>!93r!rw1oLe7mFnHSx%hlg2|E(H7f(E!<>MFNI>nD(U7%#dION zmI((aHerep9iXg<VZtZh|XIXDvRB#C`a5dF0o*WJE*ECVgFG(YXVsdBAol`CLkU}2}q^Ai4rObApfqK~;T8^k|?>c!wX{g4@0 z8xR!CLNUhGGl~v}X2pc0P!Y=K$R8cPjy~uG$csRgWkUcKAMk}0;;E2Rh6vYNr^}7w!6FPkUFj|vlb#LEEt|0rj#X@4BH5nK|_zMKCvky{#p@TdFYkt0&#hMKwe)m zxDhQSGZzH4lpFaA-c6#KTtN+50;o-QBQ05;O-jF14}!5sC+@6EF>yJJsfsmf1x+tB zU(9Ez(6j?T-1J-ad2-M*d1&pLjo_)LBGx52{kx1$8!qnol_^#IbR&wVDfe?@Z<9Eg zisT?_q)<*)WZQeH$l|}7{XF}{j3c(mu1F@T>$>s`NXD^ZchpC^+9G~%XbacN&78Y# zLTbQB5%LmvrPovfZVao>=ewh(Ga{*mgonf?(hBN4nx;Le<-n1s9q`T zO+xiENe+%sOo_K%P1{9e$mT&Weca|fNLdj-!K4DOkYMywPpq@KaI*q6394HDT*PgB zQAG)A|IMN(R>e`qcSI4+Bg`4&nlyt&7TI$|cq?xv%oDsG-E(rHUv?px2vinGvA_}g zE%*og$W-m)&%`s~NX#KK3Wb6$&WDm^43KDP7qMsdUHvl!5mTfvAvvE81Pw12WvmK< ziD%xuF!ZbX+A4D1rE7H@ zr2$egVbBQPZ|0=?IGB>OzRwLD4HfnD{g*EC2*M^V-L}|?9F7CbhLvg%M|&1KfzfL& zq_|0AJ60dMXf5jr4mQ^Az82b0ECp9Vi9QOZM!8)%Y#Y`juKVUyHbH4qv_yV!_A4^O zIE0C2X#*VasuY{o#^cO_k7N))t=NRcSwWIH&e|<;))b38GNZX|aiicfl7tNEegmI! zgw-&O1?9mi96`y>ZEp4bHgmJ=p=5{%E!(OwnhS#2*fUI1nk}jZfxyimV|ViKjfRgI zdQMZ#ksZ*BA~$EcjjG;$Bpx`!QUtv1J?dCs9gLAB8#|0_h(cH{J$3Uaf);Alu&=tB zHgh||@i8#TGqZj)Y9wEWyugC~JgbI(G>OzyX&+U^}#+FwuIw*EcW{1)wfu}cCQ ze}b1(u1URm=6)pdXcd&5)_&yhn~z(#xg)=yY>_LSg9FuDcN;n*+yY*$NAa~_4Mo;$ z;)YJ9oN`a7QVm0qiU6w|x+_T=gF09$Im{jp>8M|aQ8OfuJr}EDB$C&yB~Wbd5|u%; zO|v)@>D-%CYiaV#MXO-5aR8?pvK_rD)l}QD27f8muk6PIT9Pu8q|A<(%yur7@RFV% zI|JF4EZ$03m@dj#%Jf_5nCGYJ0o=_-Og?k76ZQl)#@D|0mLEnI@TUO#1Q;m*XWie# z9Tj^`=dt^JNAXF-XS`8ZAlVS%DQIJYo^^*@^sxGJ;Tz&xTQ@-+eMA{`RZyZ!x?n&= z%|vRfbKKZZWgTg*OXz8^d?DCnN7uGC@b2SRJ5rkNu4l1^{J}lo|$9<_i>un2jehdJ#~ca*mr8 z3RM>Ct5UNmdTmk?!U?YJiFSaV3@Py=Y2oZMi{lmbbucPsO~DXcAyc8KnK+JNs(?YU zaaaje=ghKHHI5nH*zSBXT8yT}ZSAS*MVrUsb!U3f&!jOg`cdZRVpGv52&optAlE&_ zBCuufcKLekr=-i8?ZY-tlKP<>7YKn=*Tkv2{M|P$N;7jelFAHb>W@RO61XU8#>2!d z+eiD#ZPn#af38gG1s)YLNTT*loeH4PyLfHf#S0)MHBwBG;9w@sWTU}AjNFhyx5tpL)enOaQ6KYK1%zX}=E&c9sTnY+B2tLTCeaqc13}fQ-a(aZxBLHR9^!7vL&On@ z^2WnHIyB`iuM7oR{*y8!j~j{6#M_;+vIt}rRsoqIGK(iA*UrXOOSPObYFeQMsm*c- zd}N%>j67hC8hH&#NnAB{;3fyljUn;R^&fU&W7i=~Yf)t{N^4#O@)y>;H?@0uJ5{Bl zN|zKZy4^Qj(ifcFkoDDEdjn%=3UGF*f!Qm(Tz#)Yrn2FrFjmy*Gl$EeQ(di2_ZOX9 zy2YCU-(3$y$PxaWFYuTk#-AdZ^LFa~A60>RFRH$to?)k3n3C^s4TFAWr^uS zO2`ZDA@ZY;rwXP7iwfr|1;?)cfnL+~KjsgnvPTDfababr{SHY>@105_A!@Rz)Z3KM ztxa1-=`OEp2}uu?p3wqWYypFLVamY}u}951BQPMFcIcPgE!REunuX~-93fQXpt%t{0zpAU!VExCbS6Vd@1F&M z@q!@`uDV}H?Sn{PZ>;jtR!ynx~Bsx!iV6WA%a)PtdM40 zu;xlbc}5k(>^$v|7Xe(k{EZNbi$f_k@ASZ>xiX6i@pX*~T8a^pthk!mqDF`gD@(4x z+Qd(kdQ4JBMAk$XZCvnFKSN@S9UiE9y#+&lCRV6HOYLUaP{c%1hXAVUs5pSI%VPWn zxk$k8`fjm}o3M572TyWP6O2R#S<sssRL_=7fZKA5%^-hmpcsnfxFe z%~(dKwHr)6r7wQQ|-n7PsB^OSTS`vhp@6 ze#vB7iNL?FHR%`BZOPGG&p5YJYPZm7qD<)3-{XFjZBgI_{yg|=t_j64toO6K3A|~Y zVi5*bXNI^Q3S)um$R}05+nNHsNyI?$lF+!aBshJFMNW@TqBN>Ag7l`%t4!1Hpj2&6 z!Rku1s4_DhF!Z}e`JH`>mWv}v_Zj|#N@cKhs%8~UJYfogcM@G*d*+@SDD{t`Kz-Y4 zo25cviy-&36qFFO(_zB6!!r@)zWU*II_jxaO`oNjlSH%~)+Cnt8d&T}PFfr_>0(8w zLa6@xE)d0aQV0euHNk?rkdMJb83LjoYoL)(@qICs)0P4*h@yIXDdD~SvAUwv`%k-~ zwCOq~uP7vE29h4Z&zXc6K>pzm9y zX-nluSGFuiE9}Qh0yhjqSdVTXB2B0vpqMKZWD}7NHKrSFp=B3-55;7Ok`=vl;4kMR zAoSZ)+`&ZK(ESal32P2?%XbvS6-tm;9V`6H7 zCZFX61ven1Oiemq4Bw3tgqbt^^!fSAdz@urA94c#SqVagj{b7EuAmW_)FF7B>|?SW zY?s|DT`)*CbN>w1GctDa|Cp>h{VR$P_CQVm{YIWK`Ob@1af8VDGfR9fd!WXP|5Sq zP(4b0d|c!y2Ob2VQNI_gRY$UL&_^`S`Mx?H_q%22VzY^v5uBpyVH$RBgjXfec&Xt{6`RB%BC>TWhh360E4`Oq_&m4=dx-zeWVm zLHc^H5k`yzK6gROeg!vz$tMxFYgS9UA{tQyH(VH&jkya`5}Y|OG0)*al%~fG3QU>U z7fU-4r7wiXcd|~T3qk<75k3w|Iuu7DJQgmoG36%A**kK_s$T1@519SU1EH~Ml_hn! z2FvrkWH23iwt}itwlHxn$~FDInpXse=mGx1=_$)4Bc)Gr=`2Yo=?89~NFcJtsXa?b zb9So0FPCL#27^%+Fvt1w5HJ=6xtPeVV#EkVDGzX9*}m@z2gQlgc5;vr!jsjF(;!9E zuwD$`8Q0O-eeh80Yd}fF(g2G9SL_s-l(qw0UCc(PmGY zcHnhF1;`yeXhA@7B+%12@NT5>PicZDvQ$s366ZesrKbhxO9J$6m z)C}lXM?nFc&>Do2&iOeke_-GpU*SKT?#Eun~ey)TC zv36wmlro~DZbnKcagH`Qn-Ur2QXs|+aOE(iWPzFw!oXFyuphcuv2S^U5}Bbn$U;tO z4tQ`ERoq|`!N0p7MCAvrxonnA7>lu|63;&LIK7}z!yML@o|sO;+9qJlm5Q>fP>8G3 z;03(zu6)ZjOUEqZlF|shr^I_G_6FOiuT_MdcSZJvXn>Yr2Ko2 zM2r}T7|cx%B61NiRQGGx6}VgzFrdBSC=F!t-z7_Ty#TT8r{Ci}siweiCuP7+84;?f zUvxaU$Xe=F0ypYRc60idT_;GPU(v7Sh!a5>Hgg7^uyKr_H#^#0LoX4)3D-;T1x^p8 zMs?^Gt%5RVcY`BanVH8$t(>!gh1tE3#B@{33G*vvacBj1P6$Z-Nh9B(pY`| zv^+;3TNdLr%RKO5Vl8r%QerMGLjQxJO`Vjsq$zV^o|!(>V6<|*z#d_DzPbiMhIt0( zo#T=^{dadi-e9lBQxy@Cfz|JDeYvuaAJHyYY*e{?)8rx{zW{H3buI;1Kr(N(Ls*JUL= zW?b$qi()Eoktpa_V4wE2de16(`$%=|Iqr_Cwd;i8OJ{qtjhf& zyT9mqLK8>d9sS;)AvCIP@zkg5Xqzl4E7j`)k}?UaV5*AN?Qh3|b8aV3B@oOul)%tA zFb%Cofy)8GBqTB$*`rCyGd~1H#;W`f`r2g?nk!=g$?Xq!&+l(mue#r%RQ>+mes}K> zJ?gvrdu(&p>7KIb`(W6|y{Q*sFI(_qX;VmRUFO1KDaV3|^iJBT4c zAGWS@B{_2XtpvB4oB$5WP6}io`PFA-9%mznBN$Ufh2EZI=(N&|beothQY) z*JqqP@|zrrvyRopMcHvDxrVRHF+a zatX-weZxRV323FF7Yco(vj941ow1xx*=*>ClfR+y5|^bEB4np_SW0KfWOkA<6}(r8+(E6gs0<8g}#+!eEnRG2nALuq4&M+XY! zeN`>w^xzIat{5__a%w~hAju_CtXHih+p=mSFJ81%M$ov*Z3xdo9T%rwtq}!wk`k0{ zI;~pa5jOo8YJr+7x}H)zdT@b;1?Wz5`gf&6OZd2v-~4}4_&H|vvpTm;dLTc5v6bf8jUXZIqmF&Pst_gU|(}kWcpDBA@6X$;$ zYQ#v+8sc2`R3n2q%J@ba8%t9B#g2vjyT8NXRxu0VYkqXP^b_RdxoAB=6eg^T1gth% zCCloM6<9WQvep?uP;Iw38)~CLH0vq~kpdWXoi|^)DqzaW3~v4?C14~?D4QHf2@?ZJ z2MAP1^}AsmGcc|&_xoWLs@kl@TL^oM1r{{rOVdlbyVjS8CS0)yD)0+VdUa_VlXMRdXjrG*n&|k!HM!^&Uefsk366O0lgiBjm2JfWESTDEk@8 zT)iJ8CjvqHjss*B8ga*kwWBTl-xT}bCBR&^z%yH}%Yd-u(!)t)f^DS5)4AG620>el z`qy5%aUby<_xLa-YKuTOfl{1=y#!-)b^iJvSmYWuiUgTv* z2;%dPZ&7mH%>HIro_I>sN$H6kS!VjbTPeLON_d!`<+p5ueWT}+cOuWRj8W{ zPlwDAGG=7iq-b|It&LMiE7)46ez)>B{gx$#AqkJkvWBr>I>U1XnbDm`_k%2DNLs@p zis4|0#j@GYdCCJPbE%6ed=Dpyu-XAu4Lp85?>>vg`nq87=H27gUQnV0 z{Dn{35s5QKrvoY+(lpzp^RVz56&FYcNeTi^_Rz#M`5tU|6u|a1sk%}5dWg7I2qUrw zk-8<)H>Xb*-Aw#m4P5tz3du*$UQLFvnYEMb%Yu^1JCz0xAWz|$zGPFt;1<9ch;YNd z0w|I7r@~s#$UhB<+h$df5a$@)-!Kh={x4I8gmaX~ur%JLYX>G|c=+Ngu~2bXFv;si z3nkho9$?LOF)h4m#e4-gP_y7I&lk~a(4WsQ8QSm+s$xp^ThwB@I4rcE?jM5qWGLRc zwXp9zNOurgUbJ?`4rA%$(wxSN{&mNueR|NjLftOagB#Au#@g6D?E@e zfjH@6rdwjh#HA4e=v>XpvRf}5js8>pj%|b8r_9-eY2;@1vUPKJ`>91gyc|q2Sn9i_ zp?;b=wi~CPAcl_1!drxMVdwdRIx1THCtXnA)WU}s)MZ2FzXD)HC+r*=4JU^za96Ne z@Bpip??46uQj@d5Xt)^y{gO$lH~*R;QRO{I!V(;-Mt0Gm=>>c36cR6Y6HAhDpc09{ za%Ec$7x=(E^_RF$M1p;m3ILMG*Dh=m0jdkzii7yM)c(4#L@8e}xZzrB2m^_+X}k$Y zYtS0JNFb>dCV>it1;cxy&mh42KxFzieuuriLXs{DmSHbq0b?9fsMk03e{BhSBicblTbY}09@3>wio9(eo1Vz z?lUH+FFHB^H{`|G(Hls*mQ3tdxa?^{0`@r(BtUpOFxs)Q4vN{w$*IP^QPf0t^@2m9 z6uPxvD!eI0+nT8+0yr>GDZSN3@JJS=Dur8o`HnXiTM{WZN4jOqqyw}ASEXCa+sr&HN8cP6eGwv0JpON#lA z=V-}{gu&hnx9d=@YyK8@^SXXvkMvaqJIy$d5}<)}dVeq%q#_W^q=CT78b0YZEIE@) zV#^6CO2n+#>Etrna>kb*&;=f;2I=O3hyjOM=3jecxN)a*$*ZGdslkfUbtCB>0=l&D zs9q{e0n$omt1oVbt~nmOQ)Y*nYwUg@$Agt92!J*(cB%I}tFTn=9`Ih4~_)Zf!>PnXhIIno7$?)d@w++OO~Z zbAx4(A}c|txgXwHK~>^0x@qj~9SO5I9Re}SnvjN0gEv(Xps+LgK-aRcP50qT3vnjCDKP!va+60>;<^Dy>VxhV4e`sk}kEqA&7WS6OzD&WB+S*?Uhm?^76H z7#q+d((W$)xE?^h@2H5^{>?9TSQP%^4Hj)XIZh)(2^a#I$bdze$>pItofP z&s7(gxE3y@=?wjG&aS@V(P2RxZt9XCNE^Azl zOVL_5up!e;6Ad^Mv&;1?KZc}+P+`Oh_$M$npTwS}LiaT%t0Wp0HwQf&FmXLwFI=5b zh5}0RaWfh~oyCtRDf!vk%6tc>q2Zj+RLyp;@0vmeS64ViWsHMEomPgpjaERDqFja2 z;mL*Wg)AyByj0dCHvpcXXqyvR|=m(;c zYH6JmCI-H#6t0HVkF*XXwjykaAT?Q|x{$e^l`MoCfG57){`$@PS1n}@+uLDjkR7nw zD%!-OKQ%XxKj;LHzxicV`~{umH-CYbMV7y6{rCUg+T(ZI+n5G_NnN2$DDSJ1hPo*D z<5-XqWYtViqu6&ORIt%@O&?0GfNVDpTtJU&au!5W*5ilcDe2C+gs4q!Schd73x{}S zP7D&KDV@nh6O|Iex#%*V8;ac`0SJ&)oUeX{^LDm4N6{QNm-Zyx4@5XT9YLUFmWmuA z5TviVf@Y=ilHaClzLaMy^z`>+&M}rIe3@6>I$;M<5&(KsvBU=O%!RD$Y_#d@Bhf?- zJ;Ol03VqftQF1%bL^4KiR5x=ehRTz4FbZgc>VbRWyCgp2PnII;ZUHExGngQNVTVK$ zpk&yG_%@D!n(lhuk7HHByCVr?!EYgErZ3B-7sD(~2Z#+KulSu*!)yhKvU$NjOIOlu z*;D2`Va2AeL?VWR-#i#Yg2CBF96;UCN9h2$KmtBPW~Kv~Y144C?UW2D@HgM8DKWw* zu`H$S6!OgITHgqEK!8T&-l!gffT9YvNpv||3T1K;*%}Fx?c!q-GSCXs-8$-oo=k_p zp@XmM78krNh-^=KZ2h^j_4M$(0yQdlP)PxiRRa#|C??y~fE5N?TeH}s8Ik{K~(+7n=& zhJawnMLTkesOQ?nt|?pA?O3#grHtZMj$EZh&Svg)1m~$wR!C&mt7~=}f)~b`UCDOz z_d9Nu(1YS0Hk|5FRSf@wKV$5BI*tzLjY;;_F3Z@EnuiOcq)j5t7h^C&8C&u*L~)RT z@krQKZqCiL7{y(J)cBg)(RHy?(S0?8E3WsYk3>%Zd4nO~cv_RyFgj9| zT22bzGDDQJDa%AMfQ=Wf`bZmv%RqshV-)tZT8e3ujFYR$qnebDY)sL-mo)2$J^mEa zQ@l#4$fIGE5QTg>0M%xs*|_O4+F(8nd`oxKgVPc@=uF0aC!ey|;Hp!|W`dIm8x7|i zR;qhdOO5HVi+2Zw`SYZeH!_qO{VW+vjS+1=8I#mweM`HW+z;MzLB|~ACg!{Fvq{z) z>RFboH=7*zcY-3{BK4_B6=N1=2P^9akih0`@Z7SD{;^263S6k!wV+UnjE>LWOwB+P ze&Fy;_@IZU+(MCwC>R|Q7GuBUD5}baH%h%oQDssn{O=7YD7!q!DNpaqg#g?+K`sOW zAlqsxI5j|VrH!zi{;t*J{y(@5U~|?nXwm#D+M`E*xz-__iQ_M5Suw-<^0vi}nz#FsI$SZ&0wk#Q%r}bJGJgprz)VkIX|dPC=hDu#&r>@= zyXt9%4L(h3Rgtecb6p;aeYHp#$_7fDKDh`E&FCFZ2@WZOyG3ui9T8B2GZPBQh^SRyy@570Q0kI9KjjF_w@>%G2P<5D} z;!h(AjgAjm3oN`PK72280vrk$EsZDM{hQBsTFB}Q=@F!hqH?dpy-yZtT;)XX5Jv;+ z18^-%Zpw1RjCSQ4GP*~7rBZdE2wu?1EmMO=TI~s!kIkR3Kyk$5AEuQCQrtbMH%e6e zRaWQ~b8K2x%yG7kd`hr&BTVK~HXA#dCvUkdRi&bSOMT{|#S(=Iw9re2dY|8PWG9)> z^D=%OSt;e7TJ*YVw9hU|#{oXQbZrD#6QUbOOGw6OiWXGp1wMWg+3KaR#v0T47~Pj? zhJV*S>g*hK4v%1;9~{DbTYU?I6NSc0=5=Vn5F1WrJ0-vZrxB`P^JMz7X>Sgj;;TS_ zq+!BKyyY&u-~$UutqRh5%s|o6G^BN`HW`H*g_JMpb~5iH=>;z5`?46tLZd>J7kk-F zxsHMxYd53=YoB}RH7%fJ5?87}Th0)h>2@pIPU_IwQ$-T!kc&Z|f@eOK^XTlf+wD%& z&=Hf~Iy{M*5-`Pm(PYH%#%LTrHaMk=x3jE-;<3_MAwxtLQWy0^sgVv6rD*xk`CN8X zQA)^%>AC1v1q|~%*b=33zH=FP>Eb; z#JFir2;o1#y%1+bw@%Jy&3lpK0t_^!>dABALyQDE4;~nHm~JWP?By=Zx=soaKf(q? zW5+=%&X7U7ks8XL$t1C}jy%;;p#X#^%!2q}5j%)EQ9WwUd!-u)O1g2vd7+u?uu^{T zZIl-}Mtx%X3zQw_l$NvkG{D~urMO~^!rj`nn+9fzcc@H$Vx=+Y7{{r4ljkXgFvS1B zgu|@8ojuLjwv!DtV?&;by^^SS_uR|XDLMKZVO%U>EQ?0s#gnV3VTl%se+%FjI4Gey zx#&fSb$i3+!ha;dYo$`nW37yHFvczT339d&Jq-va{f?<)l5wZlnG`1Yn7pj3H#n7d zIwN0}cr?yxk4A|kiY2_EWWkr~o15#$)z#hYhvn7X^X=2>Vaq-8C&?p!@}h(NKglJ} z$ZA`YrcC?*pM9?tDptrY$edh|UFXiE21RwHLm2AgIomO42;ZCv>GL39_>)ZReJ>X- zjA0$-NLh|gilU9#gvBZ;gDaubYE-A)mFl#h$#r9a{$KDU_((k01Mc53tBnsqvYzjf zOuDoA;)jxjP+V9nzXAVNF2CVKXCePOdr@`{+@-exDU@%pHoDabEY&J=Yl`TUoa}}` zL{h8~L3K37)xfrpXcO8d6|~@nW`|Zj3C+?*?zuq03>@BcVRDe&>yfzn>7@SyI+v@hrmMEnhk9OO~TdC%Ry7jt>^SS%aD~I?M3iKdFl`Gvn zS^&w}nI!E%$#b<8I5J8&0+(LF_r&4$_bu3PsCtU+#!jRZyxm1Mo=tiJ6)F^B#`c1x zW857xl6SsJ)Gtr!X(N+G3Fa0LYSJ1M<7+O-{mSj?CDRo>DowH|LWpT+s9S~Nq>e{& z-Q2b$i(?$gNf;mR8FOMhaQlzj+dtpkTs~djtXd(suRdQswAQi*{CYvz2#(X{A(9|i zf=hG8l7&^kZ;{$gvUI+eMsA+3gctEIqzUb^NOIVdUh*)MV=vymX%&W!dwa57za%yuC?JBL=&Z0go3a@tazCGP7%!}jaW|Ww$OoHZo z(l@P8P!k{*OXmVy3ubyio!Tje5!DwG5U*Vf!tz9+YypW&AXDXKP6vlrNbTm$(U7Cy z`6p445)(*6-c5oX28O^&3=3z4;J?M?OYZA9IWn|zp~y;V%-F&;E;NNb0|v=OE?GSd zE_(WXn}Z|cY1q5o>TC4PwUaP|sCk)M-5tD`%E0%=OiN%~RX_}QYhzN_f(cOLbPFYA zFOd#qKy)L({jnfsmKxHnoJj(8>;#umV~g_PAS(Yf$#YjjwF6I4T3?V&C;k&(FW!>1 zip{idXJemg)LI0GoE+Iy#A{GglDBW%??UMqK(JIE{iuOVth_G9SdG{X!$Uwr>gAkU zgST)>skX+&^GjcUrFrgi7g|82ykR>;3L@p!z-dju8aC`MX+(ElzN{!!uC|N&lC$gA zFt7@36@LwJpw}0nqEaJPD_or6F-Gy{Q&@XnF`E4G4XKnxCjeaNaRJB|bjs`ibn9Qh zA($nZp}p2#9E6;mWTR2&Z&FLEJ!&F`Uw#k7Bk0lg68X>YpwL2f{P2vU-yK+mgM;4g z`GHsKB|ns5WU$XGALsi~r>-&qZ3gd*oie8&wrE6bWvv9M@Gpf{_zGNO5jHRT!WK#p z1=qO}sFBo)*0{WyyF6*>K!xoyu1Puy+^lrasTJzYs&9Z%lKR(OZ3fH=kwpn^Hz+wN zoqGpX^ZrP){v93layBz?5Xh50-BHZKUy(!*l-Hs$kp!pY>urC#^R5klCPZcVzvi75 zy=<Xw;u@gLqQWOq5 z2r0j*$y6jgnTF@>C@EH|cvtkH5QjZX8nViLTV;Jhas{tbSaYFkhCwz2n<03`-YK`} z0N6GAXwxJ`@7#^Vn+gx)#&$b&PrYS7zI_^8Kgvz}4TJ7?)18l=!zKCtNUZ9La{Dv# zc`VD5K!rLkgPTvyM3w4QJ}5PV;t|6~7*KZJ=qnwHQNm#KqSpY1NF!GVhA`;;IvZ0t z%a_pnkTMTd6Ky`<`N3Br$4l7>6dTy25)URUwEZtYpi zG3YfVrve5?d#}{!R2yCGjdDGRP8as09jvZdZ%c_6#V_?9gaMY<@Ix(0hm8vbrf6$) z&_GwCI>lM^F7r2F_rryj&Hge0-ciRMK0Nfi2m4S-ReXXbCIwJ&ti}Jx*h=WY{Y(xxzCT)jP0M?$N!x5e^!{UO|0BEvhad`r7mj@pfxTK7A_=gIMs&{(8Jc($_o5c=0fSP^ zPhvL8S} zFoOy!C8xfHtZE0&uF7)tW()q`)N~;Q)C}vDJ{50J?Y>kr-v<|Udj`e&)Jzsd8&oNz zV#o$t7nustDwL&TLceh=C%GT(G~8miDq^m^&ax}kqVj{X^KuM(sN^fK>E>QWFu-^f zX$TphfTIycE_oCi>;A_VbjIXcOpx)u21Ai|7C&>!K7 ziQdw+weptk(H34z#NQERQt<~sQms`dbtG-z_IMHB2fiCXEos&;ifwJ<(nqnvl3))m zLPCLdC4K=HZ?Z6@GLP!XJ#X%g5+S%CybRtK3~&vVR@cPxl2JgI=o)UbkPz*SxsLEA zCot{E9SY2uapb7;)S#V3^NNFW*eYDDc!e6_%y>1uLml=PQGu zIB5EG=-O`56McK#QjP)HwKg-WsCcb;w%LnQ7J1K925IPR1dg($0W~5s7z@c%5Ve4d zS5Zr+2y8x(v5-e~jYrYn{XgEk7Xz|kl3^o=)|Jf;!nvNkj6!+Bs_hI|D$nwOBCi_@ zBn8X;dIKxNefU|K)1Ke_aQfSztz}c*St9KwKPOglT(2v~^u@PgZWvb%N4s9Yg5AN} z8e+~htFKcdizOBas;M5Lf*W_$+aV^<`5A%Cm}hU+KWPO4OCl0d zSe^01_v^O+TAFce9YVA>Iv5Qanuhe|eJ2L^GLu?oh> zEZmR{8f4!|`Q`wt(^sVER&&Z?o&7_OU5s!S9qm4Kw}RQu=d$L&2L;rbA_`H(f^kA_ zYl@+LF`lZsi8)@VF);1}ueRjZ)5&}YzP9yzeZRcFySdp$n2rDc@#gZ=BeHAx(sXi) zmJIy$`u_66hlg$c>l^-SNB-TGe|O~HyRTXw?ppr~$-2+bS)Le}o@2z11|=cmV~%i9mvx1Y9=h{46_f4}|9&FWU) zd4Blx;qvJcf5(w<0Q`Wz$fZrLx9B1L;lt`te?q3)d_FuoK1I^d*7@pbaryX@yer$i7)%X|KdqiV zJaA!(cmg}$;obeOihHlVk>B-20Gy~Hi@06=La=WlgY)5Au1SyQarJ})mT6Y}x1znB zJAe3&|5Buv7yR%4Boo`W`lDcYda3;{8(!*=4AM)TO)u>>UfSLCQn&F^chgIIjhFWR z<)0cP_T}->p+p@1_AmHBVPlvt@Vvgge||CyzE?up=HVAe+Sz=;aW*jU8~!F}xQ1F_ zCK=)6MUs~yT!n~+p9wwVZCoGVC~KqRK-NbG+HM~GrMHcJr2TCZnZJ2_xU!u!I3=GN zpDatl+e%;?Hjvq+ek`ce`1pnORXh_Mh0eDJ{%c}1h#EA*0eiHVte_YG$KdJVItFCc zczy!efzrD8MP7ziJ-rX&##Jrcj6wx7ydh*t7dF0I49^)aLKH!e1jKZ^dg8IXK(nMP zd*hpyzN0*(5BbH;u=`th{9*O;lFq~Bm&+?dK6ny2H~n{M1;Quif&-o@d-a8gH ziM@fXNVR`{GaJ4;8!yn3gnt2hIYF!RbG%~{J%ASFk#+HI#UAYk|E@g1Ao|6rj)mG; z@zL>*3nU57AW2%MgMio^}(qvi)QYB_-c#0zP`Kx1#aE19JAu?yU>JDbmC>gNv-UDvlCL_U3UfA{bNf)tqr zS;fDCEAcMpcPP_>V|oy#1>&u^C1(jH1hQHd#!TZI31AuCMCCl$GqUh8fiO(aixZEy2y`xH>=wf)Vn9W-7$;A=^e z@nlZng+x642V-u*EMS-Lpg!LL9RQ>)!S^j9$?EFq`tEk?{_^Ul)zhiruaQkljGVLPP?0XZ5C zF9Dbxbxgy-fad&sCj3=}X;yOr+Z*~%LekFPgQTp8^YNpOFZPg|frzGaj*HoAF`JTc z--6$?xoB5I*Tl$gX`q?&|CX{@VRvjinWI<{JQYhe0Yo5EZPLfy6lxvrlz4x8d7Qkj)F>mLwVLEs=;e- z8r4H{roK*6HXXk(b~=y__dh7DfYcnhU!Vg5$6qPrMhG6yP^Y915o=Qv>1TY%dAPF` z2&+IF(o2$JTenYlpC4Po$K~}ATwS8qcg%?ZXi@hP1rB1=|5si%j{=uUwf154-LK?Fg>Ivv z1@*A$ve^B5XkXO|E|JqOFUea1=lthKWl`T%q~7{3Dvrd&GsDBSuz86_CvK#O(dau> zeI*Tuq`O}q`%gEs6=-`48qV|0)7ICk`~K72>?<_dPfwq>B>ABagK73|IRyY9kO@~v zXBWlKueQiB`*&Z&Y_|XJe_mbvWLy+#@@)05&(NS`xIQjxyk0$>-`yVH++F7MdNkr0{=5xU9AAH<6ps!ZFK(pTQl6`1022>cish6I{Tyqdwt>Z8ksth_Cpv>}pAIf~7sJ zU?yLQ7K>T2JJ{x*;eft@3|X$^rTh8A)zyIaF2U=2RPDteFv1h~Fc0xa-EaE-#%7w?q0(-(cSSbe!K zk7nf&U!T2MrgT+=xOCXg5h}r?K3{O8@a+N{P5EGrLoU$2+J$opVX6`{8?AjOyRxrL z^+FqC_HQNBsRwjnJABR4rxJ@_FUG_>;3aTkTkt0OQ zXHNs-U>$jKjueO~A{7EN(8EupemWs_u=c_76#1{x7o(g^x3S2<#Z-#_T6`Hf0s1vk zm6zz5;)yw!bhr8v<&I0XCv(75DQ!RoC4U&XUWZIs(0&XXzrlRu&Uv>G_cgpk6!-1t zw!55Z!nI8mo!zMtC`{W(bwQcIKxVb-o4(;zd@qr?Rp*gb%h6b4mmKGlXyatBl*(lxG02poA zLf|{_ou60Wx3Bh*W(5Lr90V|j=cPxK6B>DR%_bP*=FZN*oPeW{^Q1x>^Z>{Rt2-Y^ ztOqkNcaLS6Y}3HMV97>O3Q90K(!Re3z&kL}N+BP1TYu+auRSp+fZGgE8GoKqxWEZz zUUrLFyju>Yr|*Kr1oMn@!2SZ%zCXWQ!iD2KWd(WU9K^8z)v9N9y zF7g<3?OAXsGiRdS$R!d44tbO_TtrG|Z|3kl#b#p;l-WsSh#O}*b;ocwG1`D<`v`|N zS?+WPo_iRlFcFEmJ`0Wj60)2?OfSr|{0_X3+k7>*(Zd22#K6X?1PUgjD=4@IfX&Pp z^U)D%lve%#BpAGjadG8{uLAv>q|Tq^uz+LH(})>|@;+4^5MK4b0C}){*8sdO=DaXQ zn4{S6lGH%0eRc+KuP%wlZQ~#V5EO*66tP%CV=R`eAb!Rtn+0Nr0ndSLpFp?R^^wSP2!S)g431<(i+qlt z7sWmb(0GRShkmH(s*AzKkTu@{360?h0DYH~GoZ0rQN_Gad&)O4^R0=*=2AtL;c@1C z0gtjo+n8}|b}-1)qxYADv@X(XBjaOS z>C)dc4UgmPw1)vJHG7ij219Q9@|*YHT)k>JJoTO0!oxeQ_usUxUddSr0A5gsfJVKH z;LwxecyT+h$56E4(8$?1lcZ*Ypq9hHy!Ju7+)m7MrYdiSzv-a@wxYMzN6;40o33M* ziIQ%)7a|aV^xgeQ{(Ny>9gcDDjT#Uhe@q=K`8887$Ph8HVCh%ahz$*IT zsL-`(ImN1w3Tf(5T4`F#%f~*2=YHd{<3YlX=COxymt-)Ax!}B=c4#RXBBXT1O_wIr zgwk;^ozD;&%Fkfxdrhh`a?#ljVeXPk9y#KI5%h0Xmk*hH_RcOC@)wk&gysndl9V;u zuTbYQTky3)R6$k;TLd|&Oo%Nu)j6M`@JdXO`1vtBsk74HlQ_svpq8(F0W2vf;p&Ss z!^GV}Rq5a`U^t`EQqWCc?>?0C^J%)m>cH-xu#xt|BC|+Z18&g?p~YB%(nZq`@#FhA zgZT^@3N_9VJdL;~AzG#XBq=!D{I6?6L04b17z{s9Lho6^%6D?4oy!!jxDToHpL1L|qd;g>korT8fN( z?gqr<%}|Lx4p$l%uTK+3n*-z+FL9 z$R6M+9N$mRk1hX2|7u{P%|q`<7A5YHsd!VzJQZq0*K_%qkP{Ki`R~e^D6&3($IBwidlu?&-xn>Y%-Z1yK|`)u&{cnBL?gY)75-$cY-g-673;<98xgNH|9bk zbDKG`O!R#tw_Sgm7O{<_K9P73^Fw7ReBW(YkQscJ@p34JaIMpKQ^1T5oCYi^WYeM8 zEqCll);#}gOfr>$@Gk!kb0aeB6;Yf;x;tutd#ZRV<5NZ& zy2eg}%81vxyh2$)!!!b5v?XBsIe?#uE6?!^!}vI)h4KYlX@X;C=6^0vprP9n(RRxN zvoz?iFD5Ohy@9I%VDLRYqKtY$Z_bg1Y)U!@0ed??Ek{-?Ts%OMwTrzBZHkXrfmz{j zqJbaAug0c}nhD5c7d5@mGfhJT^n$0-6*ms$X$OrZ41J0RxeNnBNd}RvV=+U5(%V|R zZw1R7u!K5%W3gYIlChFSGz7YZP6C!g_KRQVL2SA%PA^haSjci z#kcnB23#R%8pi!;M8A~QCEjIS4+FC9kKqGL5cXid6=zEQNhq@-<9 z-bAT0Z_$|;vA$p&ai_sGYG0EqPhtdxgHeuf)xk0o4`V>nxe(N_O6gLUPuYs&5Tj

(pC z*a=QxCWpKx7x}AH(Wa*(<_CxsRSM%U2qG#-1-6_<;)n>s4IQ~NjhlmiCo}}CN3qD! zy9{S2X93~zJ8;@oBqo>ZWf}5t&BD`CfEesIDXGq9WqGo9&=+r+g?{hs7d%@Ir7R&- z4sbKL8@zgi+^6PH2pATCqxGu*JZ$6Ao`Tk~ZN|&`10G=TDe1xy5(sOrq9VyWN(7Lz z%J8^q%dm2_NInQeFHaB*DH;VbtzOT1>0t-S3Vo(&!2ZWQTDAV0p6w~qM)1n>4NA}Q z-;q+ouP>2T`xvPyKfS@jkJne1Op{l?nhwWeIQbd#DV}d|TYAlrKEGM5?oBOwz8PHq z4DwuiX@Ga?7tc!04?eK(b#6i!r6j$yRdatamcQ_PgAsgBr~*-JS?m{?MW2@UT>9(& zZ1u@sJKL~7c@KWO@|FY%1Z4D2=t2goo6BGQ>abPQ!j+uiJDO_6E;iPQ9N=nG)kdY{ z1`=w^;HZd5z$mnJrstbCcQ;R$pOA7(=#CzEXX*xNKlr!cVmV%&sjx@eP_^;0rF`50 z)3uY6Q*%h`1ji3|zuY44qrh2zNBJ0FT>UPNgS(TJyu&ToN343xtA=8@+HCq^1sV*r z5&b$k6l*=Cb1EqAv%j~|WMbYMm$#W*^x*+{Y7hLs$6p_>o^Ft0q|3+Eakr-J7c8D9 zp^wGhpVy~#i@di_ycqS+KnSKH7kr=-gaw4;60@QRe*!x(-v=BiZQP5onQ(x<4V{`L zxb9c04`nfUMUU_KMxJcFKf|RwB+T1Zu8|$5T;TrW?TICj=`%UdEnd-`Ew)!*?{Opc zf3>bZZvPkk3;!1BZ`*J)j*%(0{06^4$l?Da5Bq;_f&2XO@!F>g6jT6$n;>8C38{PV z)Zh9`2HvuXa%yibZ?1jqzFDFTYsej6yv}?MJHNPnaHuoi59Og9T#^WR+MnTrTvHPo zoIDvHqo6euHQEZPv# z(a=5_k4~w+@8{lUxSq+0P(1`sa`Qt-Ga_5jN)prs?YDChq~hMqkcsUPLJtBR_Nfvugd&J>&@%zxh}&%yQx~ zA41kAz|Y6&=jtS+zf0LDBE>QXb#$0TzDXz^wpgs{^lZ3SqCcWr$Tz?r{S5yTUs8XS zr{hzkyy0JiHrIIP%_~NIaVl2;+u!cqrdY=(B35Dl<$rk=eMCG zQ^(P-375yix`(Mm%s_azNw-iD}qqY3GRZ z=*kEaRB+FaphemT(9ny*bQB~y?5Abf%N%D0qcmX4D6lP&wjN@NDBbM3G7=IsJGBUq zXPg+shkU}pCi$SK{mB!f%TA`t!>#wnxS0GC?HD6p9>sh-%O_zd2qF{)J03)AXf#k* zEWQF2i3E;CDwFXy3t{Qn3MK|GLVGL+nUQ!naS(OUM?k1qMkuFbaJ&Rg*z5*0()VT# zd5YZyULM)-WnbZq84cpus2o^XDq$Jp@J0t29|yP7+AF8!Y?IhO87Ab9gAqjB#O#5@ z!V_YquEEM!1u}0cR-qJ=Xxd4V5wO$M&#N2%fob)1^j)_=7AQ^bK!5yWC!bhI96g@u zH$Z94WiI#*lSLph$P5*nPJW$z9WtpsaVVIHWQsvBg(vFCyZt7$X++D05(E|6k*Yck zq-Fc>j;?X6v1T%k)3f<;VIAVLl@BKrZxN;2L4-sUUKX$}D?c6Yms)RQ3J!9hU`odsfHT}iT_4|4$@9vKV4 zN0glDaM#eKJcGp1nRLxrX$>G8Up}r>f99(qRd8L%;3If3N@(FM9A&me6J{NY?QQMj zheMzD&Ih>t1<%pH|9h+aJi3_u$Pz4d{aUyL^GkyZw4Fe~+tal-5x{NzU$Agm{|#Z^ zEgIvvxdg6gi-g4X8!Ous1rbta1VK-z}uXGL=e&3ZKO1>@X6dxfoGB0o~fyZLbt zUlAcmh3FPu?HeVl93|c#nst~*VL6RP&H~}6evoEKv{UP$Y%kC&!ky1XS;)nHa1?neESmi8(KJ->)M8z@9Ri1{;DI9{ z<#s`w#k)%FHC9)SFD!u3z+j;Zr-tCTSWb!0gyZ@n94&GoZ=j7O#e`G=Mf!9aOLDes z>Km1}Sz_~cVv~GeRQ8{5#M!310k0=gmBVwSB3H?Fu#o_ORgBl5Snh!AKW!jjLNz9q z9DIRsl{BmWUI@7p-ylRHYo!<_b4R^GL?1GHgnyoI*yT<;Cm^?2hlSGx8AB^ACmxCo zMOe17oGP)V%FJ^5#-1@jg;<487%L3|+HvE9$B55Db`|_h45M%{qz>yrVLOvVs{wi; zaXj2f-5eB&HVoIYSsH0msfQ*D)|u~33}$%P7CUiH#}dlDoA{U zCMl9L4eG-mqgxzGLQ`jV5K+Z8scY`+sqs7x)sS4I zdXRw+Xa<-LE}Y^Fkcn@7H&_Ue$WKsi;1GglLivgfxcT@_KrlrKcLCyhs;>eXaWeM; zdkDi#Dm0-ee6PUKSlaRP!U&d;{q&aZ-fJ!eTjGDiCD!twwoMfAFhpZAIQEdU&e_8 z&!AY8FI-O}i_L7`5vf2j80Ki`8V9&_@BBy9V8971&8hnDt@s;gI{gjahV-`)YSYP! z-28A-2*M}Ef?)l|LSqAb|72c#jvMGZOg!aoa@NJ0VbC?^ieT5JaVLUXL@tQtt8g0U zHKgIknvX$Hl($?GsL`~+A6|T?PRZhW5CeL-wlrusm7`n1of#`FL10xtN3rVa7-dL2 zL>%{RKpMEGj!o82bUX3e$&X^VPj2Hid}lE7ml8YopYT!&j@&jqn2pRD2d^3NivEI@;W%a#1+E6gG5 zmvS-;s99w&UW=-Oc`LjB4}UCTVv?epH>AZ6d`bfgPhT$obFGY}!mtBAPnix7=mdQv zJwo+zxh@fmjEZ7Jw--Dx#3Ah8-dCOh=R@=OtBrFsOc29}b&<_l7Tec+x1v#4NaH{q5*wgwu9cJ5!_{ zVOi2avhne2)LKU)#aX(h40z>*qcdwX@L0#Xp#idF8wVtn8~~CzdIEUros5u&2g=o* zW4OJ8{04fv1wj#Y<(wg?6T&q%1!T{pKzWmpV{{Ro>gs7I5bye7p?G~x@~qVdRO8DGRn>MTho)FsHtMxzz-{J}0K zapB)Qq+X*7*g6%BHlet!5IA*mOh%`x_uZvc8Dm&OIB-_1N>OM1tU$Q|7<@{#BOS{3 zxf=o=_o}BS^3;(MO^vFj-&^OCw%@5CpUi^;ATLqE>rE)DkU-~}y1$hKRnwY5pLUpu z`@&~7d?T2HMRa%ix{jg>2k@BFkOxBsVDK%W^m-5IqAnsvgrx|A`gspcihAcN%{MXL z;R}a@_0G$3n^*><^aZmQNnS8ed690H)S5-rp9&wshD&=z6J82|MG^v3m(v?VaG{gP zF>g){_NC%hJb{u`gE3OBo`=*|<+h#{z~{O|t2Z`6!ym|RE|9cC z?dGNEpsj}TJc_=7{f+$}?~*(2r0#!>{iDmO@4uXt$(RO)0>y@J^3>E4qi-_E&bJ7! zcTpvfyO#H|D|(%&o>&XNs-TWSa2EzuKBk8_eUIjVw%o+bZMnH`pd1LCi~y(>^D{FH z@ThF9rclP2E6;mt2$RSUxY!#dg#~NNOM@!Ewlr9Sut3kBzr07pJZ}TY)1QiJvq7T- z{otwV4Md;(ZO@lD_i9{ejYgi3A}(cc%Yjg=h5%Dlo@ne#s6WpELnxnYLkoa3cDAny zL_K)!riO_d#!}OyIm+`6(5zAwR~y(ycIL5^tGeBOchueO9_KHqWA3PqxlSWwF3RFn z%+%0eU#m?l9!8e`yoU%>I=+(zWu!+a#HWnk5eN`~2QIw~F!5RlG0 z!`cn2^$6;xK)N;hFscM2i4(xit1;of2sR6V;EyRO^sGo8X)K3<(zICUVt|)i1Tz+# zpF%lT`RJbqBbr`*C~l`T-mx59QTA)Z#`Z9q#Xf|n^K5WE7~pEeR(ge$!o3ZbaC}3) zHIoB^A`e9$huY>&{{%{<^FU2k`gR`D#6c_vCq?QP(r-+NbZMP1SrZPWCOt!8)uU64 ztrkipA!kQGOJMp{#R;JhSNIMO(&y`sPkEeXciS~?g@bmA+Q5$nW=INnH$8tDF9qF8 zm70*PMgI$IU>_qHFdysC1EG2lIEbRVvxUW^bTE9ouD^_Qb~X|l^ZxclyjUp zLMZj;IbiRUv*aL^`qg_`TO4dg0^?x>n${AYRN6b3S-257o4b~kFG4O<+L{DS)3JWv zU>^BV)&|$uG5X*t^H%}C#!*1NA&|oA(>37$0U)LOd_=$&SYSGy>_ngnZs)1ghBq6f zD^acZmw8PvZ>2U+8x#y)Pv>0HsEavsSk3w|#l#TX6DtYB`}$1qS9OQn*X_@)?nUBi;loEV=?&{5BOz}4j_ zc!N~cX zuj+sb_H|AV7sN{_%lHAk21R=T#PZ;fzdCrJ9>&ivyl&XpoL-*WIRhX)M6B;V=|{jz zns|kKwzqJ}5D4J+3==Y8=%g9&DD%V=DD5hAZ)!!D3$A9fih9hRSYCts0!)~WKvX_c zPp7J<47tGv1kx8Uy`+ZM?bxxCYAaBUZKKMb{V9Ou$*pBze`s9A!0#y8L^uSyfbL{w zM%4AhgrSG#xT;DsLtw^9#XipP2SBiBS(mLkKSk&kPlbtY_!c9p^Tj+HX2#|LU|t6m zb7dBQC|EVP{&bBy!TB3V$YzA#xY{q&eCkbQ$6x_@0NS;p{2FVh9)fdZ>Q*|d-XKUc zRwEi2R-Fe2cW~No$|?;~DS<1v@`o@-0ZTt&YJ2%9PFN|FpWC9U7o0+ke~4#24*=|Q z>&?!g`W!*cm$=91W|xTXsbzoyU;GkWnSuM1{%wtfUz@^g5w!qB8!27^A|h=)4A z1v+mSeR{(A9qKm~-Bf8aaPb&h)*I;xgZw?t1z;~Ui@K8uzTnv`lzTQE8Dd1+S~ zHgQZ6dJs^O^Uc8$2qgZ=>j38CB$McGI6UauGQXD!@BA?UCA*Z8=@r94erK|l_mE!z zui89+3+aPh8vNEsn-La!YPef{D_o9}zYdm~?kD=00Dgl?N`+NTZu8OSfsrav`x4d` z28SXR<~jp$E`N`w@MHT4MDmETUr+Z$l#@N9c{IlB(L(J0^5GJVvhrYHTrZw9HlYbc z8;rI7reFfuMI&0!i1xxw>|AOClZWFA`*v(5Sn^V-$N%PG3Lh7^7&+A)F&EALiWoT{>VuGQEt8}(wOy5dQeWLws=fm?@KPCC4X!vPsw1)(;Ccj1tT zUE#QNQAIHgX!3$w5;hP}{#nx!q0Ogka0Ays%p9m_2dIH}8MVw90*o~#{BUzv!)iCpmhC0f(Q-5hOVB-gd(N!0^H?U zv1^>9TC1^pUP4LRg5{U>JCQH>IVNAHxB^tyBzAc3B@GMNp$eZypetqudP`}ZjlHl1 zUlEg)Dhiau5f@vt&Z~wyR@DLJ{D~Bd!2^bQg#cO>A`R?@xn7o0CtqS;c^ufdW>}k$ ztl$7cXl)$GZzO58whrpRpzwS+xkGic^J);cgDpN&QHNA!M!DCV}tqtrkB+R!l~g3Rc$z! z1(sTk?%`HX)KXTQU!jw&1n-Q5t3jZ6(o0ob9!)%gjEVDZsmaqH*P_!3=xa`}^1*#2&cpBU#6uuFvzmXZ(0kQ#TWe0$(7WG21+2nOo z6&g-G4X5eYYW?KxB1fl)F(KLf6p#ejh4li8%}*g6lPaLdP^nLtS`R|8GJlJd-8FnQ zY6g{*Sz}>u*uBX1@yfxEVVM75Tz4RAZ5?x8?#7CJaDhJ)*(kjpWLKiL7(*d z8<;1s9LhvWqUQ>vGA7TGNiMu(_n4uezFUK@%#)wO2Pvr7v5?XxTqUgx{nBwhUfK~> z@5600o=_eiu9O03kNl{dL^gBb3`^{|9Ub;bLU4_(#*(kbIH94+&22=!d%}w2Gb%OZ%Gn<j67H**X~t{_*<(BQemt2h*t>2zK98~pZM;*yvh_tq6XBDFBx%c-9pJDp zfw1URq2YiNT50NrBT|X;vkwWS!SQPc5?TjI|0A3mZ)(ei_%bX0qTlwTKaL^W&iV1G zkLer4xJmTIas*m0pW8@IV6Ewct_#cU&m5nIfLbi5x15ZSnY@sS?E12=gMbc}mI2*g z4rje|%Nj9lZ$G2yQ;l(=cZo0neK4^VZ z2Ob5CJC$f^t_hdYs|w!!HENZhw)duyjWW>1!CyGwy!c}avln(9E3jZ|D0M}%)MnrX z%!~P8HvB6vg*1;pamZ!y+Pck^gpfd{HX3#ingqqnlwH=`kV=u%+1$X&g>H zvAhY+&MWf`We$Rw`5=0)T9;eB?ntJu3$5B|8KdJ1ahxmTslIU~z4eYN4j1R-(#FJp zbYQhzT_N}P5qVk!lklT1QrGM&@@D&aumj(({;b5(pP*3iDcJ0gmC;a9Ycb)wkPh<4 zhX@pM7DEF+t3I>6?RFiylWY}Qy%C>ddI7SFG92+Zr<4=6angq3Y zSi?FVs~0Y&g!XSCD9;)U$Khq`Z!gc^0unD{q<})CZyMGQNPO_*!~EluY6d@#XTW}D zA!cU~v&!nhZ{ws0VEa`B$_s3`@Fpb@nbF~p9tQi*T-O*Ajy&d)7TL5$(o)z$OUYI1w?>(-O}Vz`CE{TSx> z^7bbiWhPyljuWp1vm?FQ2L-(X8Gq+66*_vFzEldo7gd@9+2CqW)9h16p#Tv=?!JFw zqoP1iXhn*6EJv8|hVTmotsI}tva=CUWlW!c2R9L*x=D#6Ux#CA+Yf@64lsLvE`3jz zhN6feQh~jr;H9YBEW9+so_eAa`a?O1YLnzQ!ou97*V%%-LQ8NrWxEKA{MZ>FvyKC{ zeHT^(Xa(`B@6<;Wb-FPj`Glt<0K)z;KnxwH-n)Joz!$+?2*|#W9|#rLh2~+>?+V^@ zs8gyyi}^-p#A!p1v@%q9C20itl;K zqjkNE&a85tB&hkxc!aO*M_|2M44e#a?-FC;sS_~DtIAVh(+z-Nxf?Li0Et$FRIdw_ z7PeM?D@W3fkp!|XxMss3!A_`A+Eqh(z|4(C-&3u_3+8 z0?B;+sa_tJ4R3hr?bEaNS0S2rZsQ9Z_G(DAqoRbMr(Q35hA-0?7Ix6kGOKyKgP?Sl z)K75;A|Y}ON}{fjV+xk|RF2I~zLJ5Y520-=hJD!0i7yicp((LX|a7di?eA2~WY5>^&Wd`nvsq(SmC%m#@z+`lsU`&EyU~xwjzg-+%Z+3j?(Nfd8o;-#@H=zP@{Y{KKm)_#n^W zR+(II?KgL~pIRU8p5NbK{Y!dMmRC1-k1MR|>iOXjopZMNBN`ncA~0Vp-%Q3(*)WJ~ z3PIu92e0lW~o=>;$;flM%6a6oLn#{-V;wXUf zhe(JX_s^zidN>@vJ~^I%NlZ@1{V^6J$G5xZ>xA|_!ah#N^F?EoAF;w4^!dS}hVMof z=i9faruL0Y`l`kM*9G`M=%+d89xlz@qa?W1*}^J70~ zC${XT{h-Y0)7AYF4Zc1s2?TBpRaZ}tm^PfA{s^h@5&gkF_=xDaxS0H~1e5-)l>n|! zcRwvbm0XJ)-*T~Bwzi(H@0XYk|Ne|3a?53JesnbT7jBqHy1mh>qSw*!L2x$d*^1S64q_q|2wLhwJySaDe6* zO-SMS7H@p;x0k5-w&+in7c+7isIbf9`Cy5Lm4oqUg!Q&C@FV&&EuZ**?+J$02mJ3j zIv3569tgkYa{l8Sjf^J!9-11R@HLqD{obOz{q>t)U$wrr{^1{5zse+&DU`2s;5JVD zbUf&RIM7*_Es%hP=x=m|C12m(T;F274(uU}X0#jZ|7ZjN#9ID>CLh>>Yd)U>e|T&Jh#FAn2QUIzE=}O5kFGgRSNDmwODd#7A=ckE^Hado*VPH3F+qPMD#{ z19r2*pY$vKH<;t`#Td0*&k0=6JbWlqFvURI+q(~!s3xmVTUU3tk560I_jeyZVk$i$ zTPY7n3Bw3#J{%4jl(|MwkHEB7N(4)iEGqk5-rOwzZS`=sdR$(z7@{&Z!td(lS$Nua z-+jje5q@`hdwWL)v>lK2_m9uF!g_(6Z+h@xzXm1&BX!$EdH5H%zg+&$Z@ygLlEK-7 zWed&D(cG)`Uoin81o}P)6I!LW1i z17*$W;20G;|GLC~_}BA^VP!=@GX3qHBd83iq(Q1qKm`iC`z_A!fYxCk-ml;bC%rc$ zfiW6MoR6{pS?@j zw~eur-8_FVcGLRftAFGV@1H;Z^Tl|&JUu-@!s7YA06fa%`z9G$%H>ZnX&=8`OsQU@ zcIb~_Rbx2MxKdd-mdgQwakr477^a8jit}xzGky{7-irSvjCun&*Wj;NVvUNCKfbNUEs{ z-xiqzB1liai=_HmL1n?!H5A1;fGhrdcm1LD$9(({QoX_Nk`#7IoV>jG133d#>;O^Ysmy*8!gQK#^_v`I}Z7uj|Nf`*YD{;1B*? zW#&<|na85e6cP9F?%~Vj6P`SL{Bn1TtzUlgo-CRIt!C3A)r1=u{gRLhr#O30l|T`SfhDefjVSQilJoka;#-T+Ggw3&&-b&15(f&5$o-HmyLmaK&; zo#LpKe?!YWg|Y_q^yj-9$X@EEP{Yn4`YT0j`;VfFnKr1RWHjtyzwqCSw0D+>lP-s` z+{7(EUw`NtjxDIv?U?0CRQ zS(ar>iGNyBOEy^#?UvM%I+oO(?v^d{6AakINf_3E^G6^8;$+#BlVY+=mQYM8%Q7rW zF^n_IWZ7&c%chtNnR2my!-Q>x-H{zGO5Xf=X1|J=bn4+x&P5yd3Q zsT7kW5yd3QsT9LN>sbpU^OcF~(IwHc${Ec6#r!DNsio#gEHAFrg_G?OXsKmf#svpv zQ%s$$D=vRO_%2JQXCM+oE0>jN_0a`S;~sd*}op=gUApD16EASDrwTvsH(V>+Wq;!yv1`M@F;+d;+$H=1$aY&x!Q~g1AUiv|JMi5O zw^c})OfQwpV}2WyG=o*sWR`3775+v~=R`F{IAEZmoZ6Fw{i$)VVDZ8wK(j7o=yT9j zO%VFjbX9+Cdi%iEt<8zn=7a{XN5F-IiYSm&@G`w&5cp{w~GP6HUU92svOV_UE>_CXxwXhInpI*}6Cf!Hb}dAS}=O=@VVn*3#b6 zx(sq;cPk6fzOK#zPzT}s!#2Ov%;Ak5S@DITUa{|mAMbsM)+>?B&ZcSEXzbW56KGgL zI-0r>R0behd7#QJ&~JYD3i-hHPPi`YP`Gt%dk6RBrx*(-*0yJKKtlZ)FCof`tpgnd z2z6}l@8R5pYLM-L_B?gMhMsJjh3V7%+d8)5yHw(+rCn43NR{D!o<)(ax8z@>&?d*l zenpEovfUb|!jV~LQ2KzSxrVa{7YGTo*=S`N@1CAQIIqZ7RISzuU9-C7uL@&oW6q`v^$Db7mlDan4h7RI}8+{|(kn8@wTHjPe}F=(SZ7fWUAR}hxnV|4c) z?t-!Za;f>L`7klMy-O`^BAl04iFD+`y|}q2{5ZU}IeO;k>;-9C5?jWNJzINHbW`cr z6C2fa3tlt?ZtS@DPiC&GS6s+`FlDX7%b;Ih)`-X*@ZUufFQU zoKY$CVauRohIaZ8;7K@*?IC>#`-iO$p_Ki|R31%H&lhAnwTen*hK9vO<0i1R?Xht- z)!nyaCmX##Q;B8JAG86&`%Mox9opM>7=FJ~boArMYnwR4OuJ_v+SJvFj{srpG%jA4 z!;S!EHG{FKGs&vJs*-+Q(@c#w6b;vUWJWU+rFmR3oUhF?h54&Pk)2Asa8-Jg&`fvY zKo=C6Yc@P(bd4lB7ndiwT-38N z??h=;+wTYIf$bOx(O%&d#h1~N@ZO-hdbWiFsox&kXsuOktJ`6%aLkcKKb8q}zLv0H zK~fnlb5pr;<+U+ncXf54AEr`pm|_dj)3KesK5V>RzeD5g=-U>liMShRW7ig(MVLKE zI-Ke`OeN;@REiId^wbR7^k$3-758=c z;7~VCUAP6;DW4OzI;FN?0J1g4LeA+r^wIOcL5yx^M9aGCPT0jny=K zQ?k_NgaX&WWfBADK_ZsWcV?-VqjN{{sy>|1%912J5C~6tTUu7?|NZ&t;&66lc|2Df zNyAxRKClvv%Dgzm$3%joWcW%dtPc%Ex435B50`wLL$_o27aOY!R>m`3VnMFl{PY?g zml23&XNrxrZ00z{u-jX0f9#6%&9b`fJm&A*wPS>nHzu#n?yX&eI805;(m9-%(;$q4z1>`^5=b7S&d|~!z%)4%XJs~EMP*@XNd0|Fp zagG}FnQWfFD5#lIi3gjlJkI1<`##=JfeY1I$K*TP>YL(M9wOU7MiRQ_Rl^ zxpIG|f|qggpdM_>w8$yFXNQb?v`q$Ae-iQLWC&1J4r?%Y(x1nfS=JaB*vtkQvE2EG z2Web~;f5AQL{z645p`CQ6=QlHr(DEkhupQiGW&$rszT?FS!<94e@vv?8b7LTAhF8C zm_2%C+Z0WE%1z2BR$B0fRhWPbMJ6D#Rz_dW7r5j!JvzL7Ad_uJ3fF5k4&c@lx668I z#OI-u`l@1L~rY=*X#o#!DjEyp3Qqs^F5C%B%l5%+U@X>G0BP=sQruw^pq+ z*g(8;_Qrv|ekV;Pksh1K520M%?G|Y{GP#aUWM?us07G|#9Dlf;cfQ%l>n*r{ju8$^ zMP7}Np0ugUoLQ>vxQ;#%5uM{G%gyNuJ##xLg6-HI`K(iv; z1uuqKm~cX;3B&s+*y>DXa3O`8Z!emW3B-aK3oVRbDCV3SO1x;4;1#1Hk3m_R`B6-d zjFpQ@2qE5ctSrx5xur;B3+GyZMqLha*X3Vt`tJCL%!; z{Z(Lr>)+pnGHV|PO9XF2N}EDYe;tP&?Z$AXFe2asOHcrExfW*%l|$4#w8E(w=TZ~b zQ+)Nw&O7lCD}p_GaD(QJA1JKVqfOQ3Nx6T zv4ykUe#|3!-4&xT?g`*e^PSt*Yu;Au?<6Qcv6wEqAi2`k}Qg zhOY4xW?`)QBd&2C5yFDFSFG2H4W_q@r7g>qZTwcnzmp*grs@j?W9}-;g#z{{m98OZ zysoayk^*(XE5NJ_S-;@J*K+-e|H$&iTs}P2WE|c+^ezF!9g!^U z^#Ix5LvqhG5>CXW5URl5fiBsoGNUrrE9&e6#vvwPG_x8i<})M1yz2`2Z~zuXpYENU z@Y7wXZkRA%ke`4c1^pVq$oPKYlV1!B=O=Pig2sMe5Sd<%c4|l6#l@l*F@$s4< zSOUIUgyVGPdj#~Qpf8EZXM8*2mwNF`&-f(b8LlMnInZ~5z9E(_ZZ|6Q0Qj1e&)SFa zClSBZi?5W=2JkICZ1tA()Rpn=h+hmnIusXYi{pg|wmXY-CTTFd5-dwL7 z(k4ke2wJ?%zV6iJQSjXjzSoe4!4WMoe4P2w#^mR75--}GEvt#&?Zq>1#veNp1iM^( z$D+oOMQk%h-b!apuNLpiuhcG#t(gCdc+o*@UuN2PpDmml1jla;f@aW|mN=gcc>T20 z$Iuc&)BftG>DDy1ZhRW$L!PCv-i*9WLR)h4xrfdzmw3dRp@SfkaT|- z?axNuI>c+aE6anZV0k5Zv3Os1&EMJ4=yobu2dm^!_Tv3Kj!3-A!>7RnRqMi+=j%0d zn)&!?3RTjqJ4OBpFO4s&=?%muZqxSX_f69_{JQdO61FGXwtbrBUD=lHr&$(XUiT@| zXxp9J7gX=cI-Dsj5!1uh@r}sz>q%wC`WEu>;~Rkc;|BZM18>G!1oeht7j1K~*K>6o zA^kbfuLFGx=k~)j`#2<1XVOyt&h+c3jYvBL+AfjnXw;cBKI`zBwqkVoKGN)wc)PY) zl3Uau^Mgs3jL|co8@cO`nlaDW!lc_E>E_1A+qmJ^wyFH4yw^c~O60gP(9jSasBV>M z)zY1W%y>Fe9?JCXpahBQFA-)$hIy8z*=l}8JeR<^baq8vc4D*fKbsQN#2;EJ3 z;(UlxKZDaQu&do0nRw=7;whi;-=0U_NzfCT&hABxJfmkE_w{C;iMIv8%aC)0$f-Y6 z^KW&Z$MmG}VVHUoZ~n9ydu3g4OHJ+x*%`8oq#psj6=BnMjGTwVbOr~D%%=ul{26Wc z2JZ&W<%y9?{&?LozZCcqpc&hlGL;d}w&Kq%M%II&?0A|-5q~!__4|-X15u)nNn`B6 z_@F}jHhPfEIipkSeCwj%zdXY-`t^_i{s1!CbwBppA}j1)AFHX|_g~e%OhX<%&3GxF z`0g*7`4x3H?)K=UJqp_M;4^hWof&`kaio!aFt1>5|Cw-azwD+UxD0PyP^zB=uRJFZ z@gUyXpmmn;2Y72_=_TZiK$8-c3=@buvJbArnjlFqD!~*19g_9I&ufxa`XFNV2t#rR zU_^sP()b~4YVpBuSFs7ZOYn)WdZL{RkQ) zm@;X00o|=sg0uvC4*`5kV+rn(V2a=#jU`wMU!7-~+icW;5k3fx4+&S2;149&OR!IZ zRtviQ9{-r=Nbm$+H5@}vDuLiByypg`8xMXvm}U5BB@sM>SJNWl|b+VOJL}EB@q135*T_x2?Rf~1cqKz0>O_hfuWa_K=4ybVCZEf z5d6#%7PUt zfuRN^5G=9;h8mSXaDgQ-v{(rQ7g_>COO!xxktHy+R0#wZTLMD~B@isL1csI?f#6b0 zV5mt61eaL?L(NJcXt4x_T9rW1W(f?nD}i9GB`~y32?SSI0z>PSK=6J`U}%F92sT;* zLz|R9u-OtA>Q(~52P}c1tx6!+ZV3z}l|ayE2@Iu_Krm=@?f^~ScS5UOyG zYDDme1XBbr;f?Y#=I6qYB)AAZXvig?Ydp&PMwI(k!P{#}F|QrYHiOqz<`wO=gW8~E zRJPC)b^4rdB-3iV)_OI8opH(AYnK~5@hD1*OA?enA&rkf&O0JYlWxQg3Pb;g07f-v zN;!n&qavxFU<&V>V2d{g3*J3TqKr^(;F{P?ANbsLrSGY+t=|=5{+{CH>yp`pcLT(~x?&pdJ6{c~d~ao56WAUOtptL{EPB{1})5(s$s6*aF@&T9j^C4B^|73y~aYheYWaMZeF$51pi_>*k)W&tw?bznemNOk7t^l zCbw#)v1-Lk(_g`iRtF;#1EvYG8U7D#l?1<#V2U94!#NEUF>k_)iXmu|V2WTJ-e}`s zOqVbu2^w%*gqe_lCxX>@d&&D#NtPloysjZRxqVKqZ5)o;@2ETdT%CPhf&AC;o`dmY z)g`kGlJRZqJFAz!x?-P>DDSBO(OApCNYi{HUFody+Ipoqor!+^O4`;_8n3rj7%AU4st;s07=(cOmK- zq3$GjR)R?a&42$jHvb7k9uxW?0p-3G4u%5$D+ZS})dUy5ZI+Q=Qyv0lSQmV>c9wxh zG@gJ;NIV0~Y>ptK0SWuB*?*1A!8DvCQc-yxO%Yx#;Z?JQ1qrv!5+22iK6~ z5<5t6KVBSq)y*=Xy7v=2h!<;pf^XmjOYJNJ-;(%#g2yzzW|jfk%UkC^2lR&$l_Yop zuMUt5C2_$DEuVmQx0GN?#+2)Xp_gDo$k02>&08UTp=7F*w&w(JD#MepKq0fLHvY8Ikv=!rM!ryeXg8S>}b1p)R<6V%D(K z1=mklB`PQr8=L=mn}5mKWD?1lCEF?mO%fC(m?Ge{!)Td}0MBGzOaIOAQmdn)WRl_FbX5#BxmUTt{Mvj~zBOcC&sY*YvGwhBWpf$|RcyiP7t ze^_{v1Tzv$5%7X-R4#ePg`t*y&l z7B;Z*sDjycPlaK!*Q8>$PDK+NanD!jK~(w)Q87vIQwgRBUc(zL4tXCJhF${0P$^?u zF0WxYwJK35?Up;F@(GUM)!Z5Sgc1mDwFHK4Qv$(JOJL{@B@i651cpAX1cJ|60z-Ex zf#7aSVCV}~l_f9M^^*Y; zG|-!S>ViV0ON4J^2={oc!gawu_cJ3;Kc|5=f2K^VftA9?!MfB|Gl6wWQ z!CG8LHjmdh<_)?uc4>SHBl57xrLbDMD60>9;~-urKya4?2MG8(%xFg@Z%Pu)c2H_S4yRO2rOSgt{WOYsT|1D9#MQI>*V!wXFbNTtDC zg_7YFVdx_;3~p6gizJOAwErRi=HZPL{KadxL?sFM1J`JUkYSH7^b!~brvPJ)2}6>A zKZT7-;E!Fm<3+^~^x=&%n6i2`SG8gsZSMy=$+RutH2#(-Y0z-eU z1cJY?1cv@v2?Q@%0z+FqC!z@C_q7~!?FjQ2^14(QQUqi=C#b{UbW1#h;1}*<(p2r| z@vA<(9GVC(!i(sO0j|*C`vHDF34pH^=a|#PEg~zA%O_awP(#KKR>TQ3C(o zq&_vlA_fjBMynB@v1V8poNq{gOwZrKFaP%%Sp$B`d*z_wOurJrzYFo&P+r50j^2f! zu_rCc{9wg|a@5KXl#C6uS~5f$RK!JRB_vr_fh<_IbKeJ)iUitT1^{EN|0fDyzUk7OFe}hM-zWlqc`qTvH)dayd z;0Z(+{&9~^e*Sp{eY8FE@4Wgr|Guli-vN2o13!icBQH4Q=#w)wguEAIU2*0o_=*U?Ci%sx?$2cfPN3~*H8{qKZPTX&iweZcYQR!7d)JF z{?y&4FU7BL4Nf|L@NRIHr`f|v=ih79N6XJ&FB@FSj~~z)oc#BCIO!9&hGf(j@x@Y>HPJaK1y%*aMJlpHJ{#ao5M-x?@RR2^xZN1Ua!2g&%tvI z|H9zv3gzQ%ZGCEj9O&DCvx-Q{>AaHW)0f=taMBYVPWil!rH__> zmxoLFJe=uyU&`>4e!{~^=LIBvRKJ&F_`|XKde|;Q7Y6rKs4w0*GV+dt{tv*vj|kHq zPu}6^%%9gF^wIoz0m9&<^HAL2)St)U1}B}zWd>2&G)^hS6$ z3{LuD52yT1G5pz>eI^!$$uWL^y@Gw{Mbk(1xjTlx6qA=Y-|#OC9;qPj!C3l7J)HXU z@1N-ahN$q<7!%=)`Zr zkfkwo!B1cx;s8jk>l-YeEdA#o5clbr?k)W_5Qv-g6Xj#Nvh>$MCvMU|RfF4$zySi2 zA90{~G=B`Y2|+`=^=*oAy>(8V3A_1~eh+$E2IaA-=UvzZVAN?QtXnTCn z!=-*boaH%k-0_pnzbmJYra$>5haZH?0L?w&myft|)CCvU1p%5@gihShnID>$#V-Lr z@jZw)_FC%o_m!X%=M>HL4ek3H_yK^aJ&6OwQx|lAAA7P0ow$%g{?|Rc2mHkS`h9q@ za?Xs_ydnl{ss@XLo4DbpY}2S43_XU$TK+0??2=}mx_DO z;uwBu41aG7?~LK87(N!m_XEfO3C*%LI1;0OK8D|~xaI#wjQ*_{{^v3NAI9h}#^}EU z-g`*bs~5mN3(mox;{(0{3KG8%_!_LY7limS;E0y~dOq62Wx&}V*}jOc1%5di^zM*< z1MuD(o&0X#O=0_NgrFV3KZOBeSID0Q{&CFb%R{^fyyq764^W?v0{;_q2>yLa;-3JH zzAWvJ`F{rZyAJDqm-H_JU*OTd3Va~!P^3RX9P2~QPsINW_}f8m5Ao-K--!H|hWHD> zzk>oNL;UZ7{{;H43-N_8L<90;OQSx=VYq+#5)eF;|8GE_zS-GxIq*wh-^P&M3jEeL zY5QoTJ%O{q@M%PQ-30s{s2`4(l(!xD_0T63;(LMr2hf{ByafDq*x$&%3HaMFpPTZ1 z4*2_S((mq2-hIH$lR)5`z}Fnc9E<{y{@;MV8v}APXvCifjv|s3dDI1e4g8N_KQ1vz z|2gnR&;Gvx{vG7c1f(}&Jmfh8i%5ID1Nai)7l-(JfM136rzy`G;P)I4xeloz{2YYP@^Dh9O^y=d};OBiA#E^ay@IUz?)|erF z6!;&&o<^U~0skwspFJV{1nJ;6_#?pAp**ZFmhUOx>%H>+C2%|m{?mwB{a?WU80Fmr zocjMi;NL;{wuboM0l)PvhQBWOC*X&Xzv(}3MP<19s0}Uz(&N>~QsBWs_;>-%hy3NF zqdvw%`Ky5cpJT3lbO8UVhwlXbZs=$1`C;JaLq7n~_Xq+vf^ORv@WZfILrA|5_&2@r z;VZ!Z68nceA^ktqN8A55LEqrn>ra9I5%e|f`M(3-_gno*mxXfzQnE z%an8ZslMz-rtyW$U}m_`SRW^xnL#cZ0NVFrE8nqXKyj0}!zvPFK(JzoeW zV0wIqjb%5+NKfD^b4bv>j?{EHKQ=az#rF;IX*kBdH<-frU9T%JIn|3Im; zJduRa3gg*gW?~RuiZl108*-jrdr- zro|WK@C{%XVL0dtgKCv6Sy-Ll2hL2yD9LF@87t)SNV+B2v%|?8MZv}q3$@F+;k^jq z!*}{`8ehsw7l-$yr)J9dxjw2NRyaVLjPET?Px3=`tJVg&0!o!Dl>%C*kS~H&zno`; zxNqo%U$*1-^7NyA?xTC=`+cC%?vN^<>05~p<*pnX9=<$NoVo65rRG$PJNiWrT0#nwIvKRgByB-peOfqA%uJ^1<1bIR%;Nuw(Nx z?CjPRZ=%vy0Xl5@P&PmI{$zEfljcZhm|ky(=m}O_#DGJ^g*LoY2X)=chLEL%X@Dv0y8BSRR@T%-x}_*}Gw!6h2mIinO(B zQ?NZ-PGw50Vl=qy7)wSRfgzYKz#awV~;DN|_Ta9R;V zNDtHMg)j(&Bry)HWYTTx=?R`Hh$JD0rG{gUnE{nIrB%DNEa$w#@3{SnuPkNld#1Sj9+& z&5R+!l2@5by#$>T*-TLk!ThAn(;$?-DOcQj96|o(@Kq$O5&r- zQiu`<5oQtQ7PR2Q%QSBbzP7D!+=OH-X_2aEVFPaI=-bwkDdVf$L(^rb-hvg^B)(@G zw2WkjrpGXtqDO?`%+OFVyU$|9%+wfDC}R{uzbV>uTwoYB=wX7g7BdJMxLItT27D)Y zuuK)-57+#Bn|=>4e6ZA(=iT_1e07Q@h+v$owK|_wz@{`P|B}YHD})k>e`XQ;k6D8`dA%{dyGCn*8?A59@ug#Y z@4TiaSmzbk=to^ln7Q@e1U~Gy%wuTRA;N@7`{c)Kf}ddzY92%TI3i4#wCfkw2G^`M zT#knAiSQY_yt)N{ZiWYo;X@gG?+qb0hLPc8^8Noh CZ>z=t literal 118589 zcmeFa349b)wm*KWtGd&jwX+bi0Zl?!1B69HbhJqzfFL9R5dk%_83Z-58TSZ?5zs-v z1&E@8insydDC1yIaYh|=g8F6zbS5G$BhGuF2{EH6zwbG>ZdEljsBh-?|Nq|mbUsww zdzO37_nv$1ty|UI#jQg}m1vqK)SpXq6-?C#(I3p(?V518guA~82$K0lf+ZKwq2pK| zdALCmEv@l601h3Z$}5D2Dm8S9{zW4YjX*R4(FjB%5RE`I0?`OWBM^;1Gy>5GL?aN5 zKr{l;2t*?gjX*R4(FjB%5RE`I0?`OWBM^;1Gy>5GL?aN5Kr{l;2t*?gjX*R4(FjB% z5RE`I0?`OWBM^;1Gy>5GL?aN5Kr{l;2t*?gjX*R4(FjB%5RE`I0?`OWBM^;1Gy>5G zL?aN5Kr{l;2t*?gjX*R4(FjB%5RE`I0?`OWBM^;1Gy>5GL?aN5Kr{l;2t*?gjX*R4 z(FjB%5RE`I0?`OWBM^;1Gy>5GL?aN5Kr{l;2t*?gjX*R4(FjB%5RE`I0?`OWBM^;1 zGy>5GL?aN5Kr{l;2t*?gjX*R4(FjB%5RE`I0?`OWBM^;1Gy>5GL?iJ3Rs^cNB5?6S zA+B2T%a2R`8*TnOe*T?p{xf;=h5i?`z^fr4MufI@+7%MU!^5<|YoH$(-*h}_dc*Kg*;FYHq9wJ?s8Dva+^ni#XgG5P>i(UI%C4TA z6WK#=+rx#>cEO@6xmxS<*0$uT?CNDj1$&frvZ&BZsy^PM10)jLy6Qjf7!mqsp2S67 zWxphblM!cEPV4tT(V>t0JH0R1?Yuihz5j)_Bkn3e&lrd5;o2hq`>E}cMjg!R)TA3m zf05@e85ug341e0h30b8^zYRs-?ePtd9Wka!xN3`Dst>jrJ-*vNJoLy|g-O)wLIfyp zF_QvSF(Tl9A;9B@@idW-X?}Rf?vTp}lxP^uWL>r;O5_f2`E;&r%m0GiD+72($#JZF zJ?r_S-`(2dgJ7ssa;g{YP<0v|ctAF|L~@C3FR4*!P`s3e*3s5b_H!!y9HZf(Yt0-0 zifKTFTnvUL$ZSXecUz=GYIua+qoGjE*Qzq7oZqdbvmRC(1!$bF)2xtt0p(eApsZGJ8+^OD4~@u^D7?u3;VtcSNr|pwp?{D^-4Bli?7QyHG0mdl{FWW2 z*TqFSd$hoamZMfr4oVNT8=gPEWxk1Mc+0h>RalfU$jz$BYqYHfTRg&PwauRMTh17( zqN8k%-5S}FJ@P8%*_96z(U?zQ{QA-F=B8EzL#^yP7&^w>tO{2|c*v(>6}s7y9yOyjJfsbP zo@aPy=unhylxZl9EyFeqdwAIQUj{>yxo4RbKYmH`SFLqJT0R*2YG{hS;d>FN@r?+* zFjsmT5xUE&Jz~~^p$auJ6*TV^ol#jeOx3e0wZ2&uRl|P#lGX*9o3DlZn49)|rMk)| z|IVI&Zz{fhON2SiGcF!8`bo2g$STZp1Ga9(EXypGI0GdD)J&7oN&=Xp51N$SOUd7n zs@kNZ%~6XQ-ttMFlF$tP+-z@@*rOKfRrO%2FEA13*uYwx;{y9|jt^vA`yg6Ryw<&C=T}XO24bvKe4P<>Bck0LF>TYN zB_%Z|pO~G=Se3M3sA$UYmi&IQ2LpGC0FHWEW8}O%Q9rA8c*`TEwwH21TU;Uz`we|& zhwm1(X2g4|vJ*#EZ941`D~h()$CR`!Ik$t>%kzmVFTe6#TsfXE`tB3a=*nAP)b5jy zTm7X!wtuL|x96@4&l=JrR%>;g(=%Rc)h6GVli2tQBp#OZghZSCa*ok>3wTETT^D|z zv+1x)TUMm+%da&0Ei3wd|B9kF>w`szhgLOA)K_f}hJKv87QE7;vp#`(FRA(+;TZUWQIdc?i73K2 zyH*tW8b*e`5s0-I-Eny6hjP?hD~63~nU(kZmdpBW_}-oO`jKH{r%s_Uu-X=*t^RtAn6E&i-x1L}$T8%JVtQ;RoLd^RhuNh4}# zyW-EMlE1LmCTyKrnGrmFn_%d!(_mQXu$K?-C+55DI~e-d zVaGTe&~vX$K}`O+=O5x9+lDr2?sgSfmBc}mX4PyXTk$3Cl2VMb*H5!87@F15?lI-B zn@SEJLJI%kx{>*D6L)uv_KW^mBJ4@M~AoUFxQUZh(mzmbI=hT zS5Mzw#s9*=r|XAtv}Wput)f#}ec$43#aR_?u=6yIE(R|EUV3rv*B(#%imIKhyzaFv zX^*+0ywPY#Z)2K2aYVgKlh<`)d!u_sz1Abv@;s*bZw{Tu4|{cYkGQ?#w<;UK&>PA2 zh_+>H4->ZhwEFJFn}Mw@VG9{!KWsG|JOg+ifu7gF^MbblybOz%PWD3aXdCa~QC}a0 zp5MWPy-*tT(i}Y43*~^9WAS?JFpfTkmR-Rrl%g7DKRk%ISR5NzN(V7%(5N5`;yFz|k@sTCnAE?&?!O;CRm|4t)bPA+A!=5Ja}N7^UB#~f<4 z8g`_Q@eP3{QNHD{zjsD`useCZfM+nCS!m1I_Rt1MJCGzulKGIh2{UOBo=vRPx51xJ zl0Zk|F9m-y^E)?q!SO>gr$HR98myhAb!)rVV6EjvX|NsWo!X!Q9qA;Jhf+jAEP@4Z zcexH2jatBkL*OXHj>P}M!Yu}N@#@}#=HzN~h1}toPa{Jw@l_@_X>4n5Ahq(5ZM%;c zL$vRV=Dgz63c8kS>ymo7KhoA|{bc>0dsA^8F^sed*A{x#64}d`=3|ar9vOPt_EMPT z@RC+6@4ZL2#E*Rs_s&M{uF!YoS-1ld_1eH&n}2w&Y8YK})e0?F>^Q_A(u(ccBMNqf zUauJ)s&HZ5b|qJi#x9#y@b;A*n`_)do5l1J-1)Yt$f!uhs^Mx#!`a=Cj8&i$>RM9A zJ=24H&gxz*{l)>(@N7 z|6!rVRY&3QHQIGs?kBH(fdaeB{HE55K$8x`xIcy8jN;Bs$Hp5?$I23m)0%3=bf^zD z*ND!D16@agdR9GQ>)cc`G_6@&({|5jJX?`hJ9^p>w`Uj-gU8rnan%hbX?wJ@|G6iC z2<9V_3Qq=5$|$$1U_542XunjrU1?=nV}Yw|_wDtrrYc=SGy^@ZZO}iuajU;adc&EY zR`d`}uhvxI{BliU4{yDG^y^~L^rxEcpNqi>7$0(@CmIE38}&$9jGQzCud*=R|teDReEI zxGMZg?_sqfoTEa!!0kymD9`xF+e-JsBlB*ZvDN zT0sT!$*O7MAbDS5Msn}c)dekpIN zT9YHSb?&q_%uH?aVjun4m3gL(JlLRnMY2KrI=aW|fNRL7bL9GBqX>o)9M_Nw9q8_G zpu@;x*P7%?f2(uB4es`dO#Y*Lio~&5I3d zBYMQ6jW_T3mu_U(^F_4(lo1Vvk{o@(ldqPcF4^DT9CCNaoAs;u;-&y%a+Nm^W!L(= zsV(9+-yc1yopH!Fb;=t@hPoe{^5qnfx8ZVX>%*L;Pbz4yC$Ehsk3%rzbL`w`9Q;Y~ z^?^#dqww>ZsSUa-id4Jp5s*>tUt3-81)xAXtd$j>Amd>SBz3_})>T87=Rc~!uUetD<*7to&V{O$9 zmd3u4#tf{_d z&i?8T=1?rXFJOj`NTYt5`p*7&xqtMWbdUzU7d&{9TxRNd@o7sAKP|_n2Q_%w%>ACY zAQ-CVn*2;?HrKIQ;)y@44@xRO(~<47YkQzivYr7gr9&3vvm^CPI|qG{&-R}=KdT}b z`iiY*Rp?#Q_e{M-Z%Wej9>Jz(@5oy!P;S0MeLmoYrQxB3Vi^(9^v+IK*I?5VKwZfe z_Hk_YRlNorlCzdMGX9d|jKAc__^aoGG74AE#R%wGHH78|m%F=f&xTvHe*3p=SWw-= zs26!t7l@`y>jkczlkUh}D!P|9JbFu6`$rat?!l(=I}Y69?e1>4xcyt(u*3bx-M!5o zYN2{j6V?m$sX|)rqSoHn=Wl++=>A~6-tnH`TiXgdKC(dTdtd=YL_0gChX{4L<8NQ3 zHeKcN3cvWs$c>SQvLV>U)C0B+hqha&oimMA*&rinMX z4$+;8`h??wB5`E@lEuh#$;rV;$hO%x)AOZZ(>qq{NjKA2?glMCJ!)6m6Qd;SlWI3? zRW0`~d#Whdw1wKG(EW+hNm)Lrb}b|umLPZg$qc}(o%;ZIYb-DOZ}#-)+n~YIuPxrH z*4#obI7=)J#VI$icKMi=7Cci`o|o7A`g;4WU99Md%l0i=yDV$*C5wQ8v6R=YU4#*T zMbuBhJQ~rWKKoEOE`$d;e=fe|w&(30NZ!ij>(GNv_|#MNO!CW@?*~8QWd5>j@Z(S7 zuUtlv5KZ^orjV{`?Omuhou|8c zE?bhdRD5C(Z2HIT%9_khjI)czq-)3Ino`8DS723Zx6)OuJp+qcyP@7SxT>`P^{zMy zQ12pEwe~>03yvPBcSi11Yp|fjR;_C7?qAf}8AtcP%$&EjEo$xQe|5*@s41VY;lF;z z;4n@Pe;;Ix#HsbAwu-u#`pf8&Yt}BGxOmNCHGXRJl4~zsBu8)Aq!EI%9N*Y(8cU-d|bAjl%X1)>lX#U;>YbnF|Ix}VYzM3h^vT7b(HnBzvOu+1} zl-{c|=ayApJoldJHFMvu-ZwX6;cCQY-<;Km%37Se1SaC#IgmAHL`$Zb$E#a=Vf>n0 zrQL7%B-=N+!$uJdT`$M3TI9haf3h*L@$HP2tpfsc5CIv*IE<#LhuSf|YFyH&b$9Kd zwW_Gm)xF1_gp7Mz&nz{1c1C7YGs0A>l?&^Gil07YRqMdOs@Ag#i@$c|I&&v>>e`}# z^{FMw!_kCmbQ)hkp0^-N;VWdvj23L^+sh|q4D!drYK}&ps2~^ zq7~8w$$)@?^O*q;&I1FnIG=?)khxia+^hhn7uM3`->EAO>~r7gY9l{umRGLuj8ATW z9><-oe^M`S!WudiHs$)0b$X~HGGyUJOzdJ*lOZm{rWE*0J8Ht2`rg}pE}4Bs~L4vcGy&(LhLKjB@5q zn7d}~zPbBv(O*`)2X4;1W$i6@W<7GKL3?Z4+uOWDc5QoLKJHhNa_Y}7q8*WJyZ7ct z4&9^0VqdjyzUxqfE6a+v+;2#&tXtMDz&I^bttQ^$vaW>d7txi_D}o|byTsyyk zABU@j9JTCf`iwy|Ek{J9Ej^dVlt(7mF}X_GmDjC|+S0A6Wf8{Po|(ar+zCLt#@>0r zCeO8HpQ={M--|W&D9ANA1*^-`4z8N%SDj|2mzy)aJmTyswPyIa|Hc{KwLXhxxXk{A zYZtaNXLxBu42jmve)9A)oA*6xmURx~&cRh7Fa_tXfk$!fR`}T*`bLIY2~|946hv+= zMqZ8(?M&64%pQk7HY)w_S*m7zcBR0muRQ1+6UvKo8&WWv&bBf+oA;Ru$eY}G*V5g` z$*8ibi<sEoKRaKVQe4hmsmcv4FK?lj_KA6)A-81&lCduJ4!rbfYEvL7gH{2) zQm<<5FNAAV>lv7Va#tMuT65=A77WR$Q2o!S9h{ws7^r-$-by{7y@AYkLWcYFu*_A~ z3$d#ADbx?S^44Mg$!laPY{|7}<Ie9{Jht`}D;{!JQHXf>lTr{5TZf`&AbJNZT^YM9%($!P&>keEcHdSS%N*>+^A>7* zIxf9-$K^|x7A?fRiL^uUlC|twSZh=izMsTnV#Yf36|V^?m2_=T`ZsRPTRID4ELZZb z{U}~Co*6ubAH$j*5f>tI5h5Z-rz_t_No{!@dm~Jn)}a!MlP>(dz!hK$L0#Yw*OPp@Dm9_8y zG$g9*TG3uvJZ~*6?f!rIhOASoHxl77DwfvL-GIXV{2Y8&N2jzt7jYBOuK;ybrp zymieD*JfSUE#{NV5_~J@#>=X-K2#=E=uYkGXA)|7%N z;N=wL-r1=&qhRf_DYs3jeH8j?)~vyO*_0YDEcy#PI42dTzI8YI7LHHiPCW(gm_5b_ zZ;9tD8}-1Q!*Rb(@sn}cyKLz;x@TWo)P`*ERX77r1L$c2-)}Q#WT-g>&lz0)%8ZJY z80#&KK+KZt+KEB+jaSUmq7=(Y*76m&#;T_p6D+MswHZb6SOFV3oABLOex8tAn^{v{ zJF&(M%TkhAGqFb1GiooXRhc7lb4oI6Z!3!3b8#&_164Unb70aQpEU;#IV9*AuPT9( z;~hP@BSRPH=5w>W#rRxlacf5$xj6E0bi$Ees75fka(Ao8zp{49ZM$33{Zp`VH1fzM z*M7RVyfzn41=Pqrf{{D0C~v-&J7xZcxd-NYb7{@;Rh#}8PMO} z&sgKi@f_D`BxO`Q%JWH%hK=NPMl$g>Jo}rAYbC77IgnMG*q8EN)Gvx@+CIEtTzk>I zxZ&MxdWox16r9_TR-(6RljwfSIDEYC(LH)W&%N(%ODY)pwL2fj(2Tts5BpK8I@4=T%Oh zbM^Fjy`~EMh0A8lzI<*a3&QzVRL#6b>IaMPSyLcK)GTfk{y*gh;sovYSQCeGdqc{UUfTi(Oy6C#K!7Pl!(V^iF(t z0+zYg&z(ANHhcXvDQMvE;s`b-1&81mjw2i+^ykf-ItvZL`SUNIWA^np{|V8Qw zIBTEatZm0Bh{O5k!b5w|`bM0zS(-6JLs^K=bww$0>K??|U4T;ySfh#6&*Qlb`{;SX zlPJrW_9!70Uad^)=haHx@o*CqzOLZM7D*+qHrC~>@M`6HtoRhVaW9jtTeUx6cjEa<}652e3&LgQX!by>!hP)gYAEo zTE0%z_#&#l(Gh2nY>uT z5`4Fg_y*D_u5NueRqMGrf~s$G6{kR(W}M4mBNwH%^c7f2ClBd-o`u_JATUa0}le=4$Y4uU06;%Q{+# zZZ4~*fAh$mBCYr@84g@$Law=b(fXrbi59Lxs!|)C#qQaVyZSKBLWuPu61$72I&uc- zxnhn%#hpvu&aDQ=Lvg9a5kn_U7;-wf&mfKBtHASqh!*XQCB{r3@Ffwy*7!99!gnnZ zOARt%_*$T5EMvms>)aN^-Q2|MyOks>n23*^J^gBA3aj~JubMko7^|2_@m)@8_cD})K^@9IJ=7}B=I8N3nlHmn-&SN0t~ILJLrU&0ICh92>upFVlAXeD{(?r zCOs<4#NVOxi^aHRphdD)s>kVHiNshiMEvJubXq*>1KH>}GCG);GpMQoKw=STrWiE* z@z?2KE*=8TWQepn0Xm^AF_%y^9n6GrMBYJUcWPb=W&Z-4Hozdcj}`bNYzY|KBm=3O zSO+rkangJTr+1a6$14)|k*JAIp4%Z0;&jMt*jFTu2bowwrv-F+2q(%rTEA0AK}|b@ zfVlMum{_T+iE*Z6j01xQWf0_Pl(2(6qRk7?FrL)9f!Ag@PR1Cc97Vd(<`a_4;f!iS zS!_IQ%!VYzSc|3}IxPgrql>oRkjAsd9I)EFP4(_>N`N+ZP(8&%1J>qJ2vwaf4`yBb z7leiwLsEIIeFD`Wn3Xu_?;C_wEmVJ|VYomuo`sIq`$k9~MhGUO)ar=h>+PdpbtYEd zDx5vrL3&=H)0;R6&-+yUn5r>`d*;>ig!c`ycKI=|c=4}$4>I5L%%rMT>U)KW{X~?- z-b%#O10dp7QFR(!UEMlR1Vp^?Aqc?jL4_Qdc;hP&2_#4$6XHi4hDbH6F8>K;f?(F* zpuf?GkLq$d)U?ss5U`Cule^JWRkqy+h}Fl7rLzQFt&U>tpKU^E1I7R&wi_{eQmeR&aaw^Ro=)db>$8wr z2?I!D_$Kh&x~M?_aR{**ml=CdXhWB;3L~j}V<#g-&z=L#ulRXWtT#52F$*FUWB# zL7R0Ee-E`7z8b7rbV;i_NFAF*%=1gp#&yqxgvVn*jU<8Vo^c6hVwe?Gn)nE5jv^VY z#|bltynsmUqNL3*>U#yJ9MG}2ET1EMAA;;FNtgFl6NT>pxWi1PLd9$X^CD*QJ|gKm z&_3@SxW}-WzI4#HnJr6H%Um$;aVQmne%w^*V=5(`1Ey~VPOnOd>v|4KHMoz1`xldY zGjs15C*r;a7rj745C}O}{oAR(D`_pwsm0b40n_S;l5ts0fw%*QuRo++!KWx#9UKDY zxsvIc&u-=+*DAq{rR{r>hyCnL!_3-5el}K-74~s8nkC%_QqEqw@F!CWV3|&#WmI34XWr6M=4ql2j+x&2h|`1Uy+plpo>0|Z~bb*(UDA;Noyp> z08OIn4X_>nhWk)zbws)U>SoD1s6U2&yFZuo2cTaE?YWcaByOpd$8ho_yGT17Kx5va zUZ$}0e4_6mZAmW#?VTyKRF*yi3i$Z0!W;@8chdM4V6_k{72YZLh+18cpfI!$JG&S& zO+pK~2-*#xCA1@9(2lb9yO5IW7M2YKMiCnzH^W|nhe057uUUr^^pkThw&_%=VD?rOiy&;C}dPxveBBqFoCqZ4scr+>2rN+R}Lit^pfkX;Q@ zt80T!OCNWWmE+_i2Lq%r*$&>4IG`&?Is&9WYbn%#jBVd*+fEn=0W;UwOp*LKb!s=Y zroVG=XmwL8r!2UFys*>Xliuwh6G)kj{n248Hi3+NHw}&!u%XW^L*G%$5707h7f#xD zGy;cFi)Zx5Nb0(0cKmH5{DFk}J+s&Q?}nv!U<01?SeItIN_bp$Mvv7UT#@n&weN!7 z3o!$SRyUJIoyVw)MoyA|(9ICKXym3R=v2ngjpYE5${@P4m{CAY(4L1;^mh1DQP5)x z9bA#}4WSsTeGH7l(N?Q_+(I#yy^Ms{1lKatOmaJ8httU#hE6Fy8qzzd+4eZ`%q+O)H zL2CGu(Oyc?zNEt=rMEiGig^jPVj3*kDTFZ$3qtqh$NzmM_g=`Y5 zcB)~glD%rBJGdgH2Rfn^8X1WGXm%rfst<+i=07Yql0HIif>8gva&v~mV8UcbxTgah zT#+USXBiu;goa!kFSA@pNe80;EHw)N=2xIoO9|juZmE?ftWf4BIL)V2QKMR;4$|S= zi{D$OYq{yAM02nteG_Q^Zm2(p3gf-YVKAXlxmn@hij?bUz@OkTk-^G~Rl$0K-K-@y z!=x5%;9es773#qzmhS~^kHj-h<7t1U4F3zO9fbQ)+>d#d11WJ6%?|#;`!52J5Pz4- zE~mkiYzm48C$))v*D~gDzXR?N(0H5$i~8sA_yS0TLMt>^W^*MW1t(i%&_b$pa2S?3 z-+_%z2{#%W7vB0*M#XFBz9B{;smv|%7*vDs{Sse~g`i38l6&Jquyy%l(9rZ=bQ|E# zgt~Bd#aVN2!PzBTiHNq|kH*3C9r4G^L6!da#=B0J_Y^U&l5B>}e+X6Y6U?LrHRzD= zHPay8`Y~zYZyFA*jwtUs7Lek@P$XRuM_bFqakRDcZY72;cg{XaaP2i^Bo`gi>L%dq z-AP7Xqv|WLAZ@-zHUkKscLqscXDlG&$zl;y+0C1_8{79=Hd7=R)G%=w**H@xr^fhu z1&3DmM_5VX4xFix)&ZFJPp6)r#k5xDKhHsxC&y3+2CfaDb5d_=-fD~D*dI_oWMP!nm z56(okcr&RkVvB@Ot6K=QRCc_G4QQpJEdf{weg>WJ^HBNJDRViY>9rX=Jaxif7PZZM zmMosLE1WA-(jU>D;AsLpU)aoj5cIN=D zHj=8VsUzl^WyHdaHe)?F^f#U-7*SGxMg^*wNm%#3CB4eWL1t6H&4mb7=t)CNKEP>z z9db7w@j$56^@km4;ja~7EI||Z4`ku5wBl|g@;YkrHq(mNh)-TDq-cB8FNZs}uZ zgWH~IEQANab?f-86mnuQ1U_0Fzp$Ai{!Mb>*2pORRpQX<=(C6f?!AWrF>neVJuo16^UYO@KC1*0JvLsmp`dRjH^IRbNnev$dZ>Zt zFF52~x&#+w=fmMFfg=^v2;2;!LlmmPEWaZhOdpp7GtUGwl4*b`ak#a?jBs#8d;x)( z#bybR+`!WcBmqguV+4SsHH!hg4mz<9MeizZd64LT5I{+P3)+8)O>1Kvv1>d5F0Eo5 zyEzVEHr%-mu1H!M|9I3#faNVqd^IJhEd6UEAdjtbF$L#ulf zGhIg5~xg$4s~`SyBNSOuC`oEWEXE(E+(>z&%#|?1a-5Q zwu{T0F5>@5bK!cIS_h?@|FGO#&u$DXbqRb$xZafyAi1C&+5Ji+H@7(5BrT+1J;6Qc z#XT8_Exrua6YQdxT=4vVf_rj#xQmWZKdC2Ahq;(a!Fr2bl(LJzST5dT7YoTn1@-qW z*GG{3Cfvnh+XcW1LDzLo>-QXP%wCgx`w9AQOMN6)I8gbFCMDS*JW0#Qe~3|zf)TCm z3S0$cAVQ3iKI(MDHVb0Y9;52dG!hj*wy>@W4pir#pg+;3%@mM$;?E`^9dtGDa#ZN1 zT!QJKR{)+DHyd(PI_NY-JA-zBd+{XW0M<#jfr+F~$jy0rBi0Bqpw$gVd+Fjly#kzJ zmW%V)#dM-?qI8k;5^@>ZUQyiQ!Bm^eZ9*oXK*$px~4M2NJ;k z7Qh{7U_L66N!kg1B*5nxU_JoJym+1g7Ka0*y_gf=-xa`@A_Jto7$NxC5$sE=`d&u_ z->?AgWq{KxfO{F>!f=39?9krJEM*!I{A*-@PyHl7j-WlnE73qZuKSUpGJ-RZlrn05lA+&CQ^9IO|gmj$%0{nKK6`Lcz?z697I! zL!6IGkOCNkkV=60Mg_PBEP(k&A*taxhne)WS>5IUNK(2~@g(W)1V|FMP5^1AWX=L7 zKvFOPo)tbx`lEq%fdk+s3*ZF|upqEYwv5$;(wtBZB3T0p88>(@m1I z!vT&afD0S|N8s*HMsNWGtgrwsV1T!T18hD4U?Q4x1aFKCus6$32k?X;{tM;cdI!L- zEr9D8;Cc(-dIq>N9N@j|(4HjEDS#Uz18n$7fP=y#xPt(`=>WJaQAO}g2KZMC;F}Ea zSUA7|P5mo1?DM4Ke15T%gpa62eaIhG0jII$F;h5Er40>3UDS{0JGdQi*F!+v!O1RnQC?@ zhj=l#E153}?p7r}@!dBh&QvXB=AHUYxyy$aH&+^zVUfgbPuk2UdeMe51;(uii?W82oY+ zD}ZI75Tw)>1zwum z#wPc}WI|uUxLqTe>z;XW6QC_U-01L71ulEo;q;J59gD9b14pziP$^;LUt4aDu$!1{ zAb-WOOW%Z7$p5VDwmR*`U&0aPTh!rf@>i%!%y7X+rG`u`)?qhMMPc#5jH} z#kiDBN|Y-slcj9(vT&2vM>2U~n90W|Ll&_~iITpqBT+75lgq+Q(l>EVLRlMT@-B+y zi)>P&9Dv-GCSPQeFNT}!0P#sCe-~!5FQx2194PO!O#XvSeim+$zF~Zl$xxWd+0^j_ z=$T&vuA}b?Cw)K>PS9x@Xr&@Pf&9`J_0>let*CqXqlz>1e^LW{-~b(b`5FX`7(S{X z$KC=pQMfLWQw(Yv|2P>eLrr~DK_4iv0lJBZ)G~fCHMxeHkfK(1F*LnLD7&uFDUZ&k z4?W_S5TlmWQdq6VQmbXP$*k6mx=o*&pb4uz2DK!%wwcw??U;K>X*ZLkNGpm~*Fg68 z>D6wI&&_PFmQ+7ydwp3g0aplbE~UWdY~V$x*=t6#jy|e2BQ=Yn9cNG{=uZNQ|A-pr z!5h9Rj{~1SkV*dTSsv^DN0`ZOhQnmqGt~GzqZM;fh9Ulb3e06}4p?FCZkWT90sO_! zB>?i~qch7kTe8%%NOu2;;D(X4t!z!&|B}EhBHgX5dxXPsh+w?OYNOfkXpic{d#sk$ z4m2-Yk`99AJfQF2@YMgn(JwoIA3C@q{xb60V#Ke4F?KT-t&{j;+7@<0NgO0B6WnP~ z;vd!2wU1F)Cb%i~m%?{CW0}bGO+?eCTa)w)M89U!-+_+%4a~Xq1e_ZgG5vzUFXDc0 zvA;Fh!SgzaxN}x3?RYrHfN4R|_8p5U86su_MYo-YKs7-4Tg-T^?s~M4a5}K$T6}6K z;Yj*nq6?^#l75BgJ8XIneaaZWnx;`6ObIa$2l5$pGpl0AVgC;12<(7>N1#`Xomut> z^mA}UOcxq~k!*lW%QaNPVAu^xoeko@pa#{9<0i&20m|N4l1n%$6b^dC$2e*n25hsp zJGdg|0y0o%;TXuK?+nB7h_gX_1;wMDadc+oXQ3?DzxtR0;vjYC!)@(zhtda@(&v`a zSjr3^1ZpL1wUm5V2ia2EKvL?=O6I(Li)@cz&Ml-=N4JSy`rH*<3dC{*!tU;PuRRzd zzLmOrEjO;=#*3}S*K*^R!W!S=Y@GIAtCVJ)44k5s&M=R@V)? zH}6;qoRl1d6Yp;bilqAnka;>;f0J9zB>D!@m-LfFbEZoA51{QH>OMygGbjRQ!Cxkw zblTC;FAuWf$@;lv;K^-eHeq7r8A{nDveF3s1=q3GOW2=fk4H7@EowXIF6KUMao;B0 znH!i3pQi3|>eY4hXuk+q++7X6L229ibk)`&P0Kn?La#@F2B9zqC$ z5bWXw5p^uT>UR+DPIJCOkah6}Oo4y3qeF1#D zj8eqN%Wn)8m-8?#K7$mE!PL5j*+cv)IDqipnFm6Icgy@)o4c=f7}j@ z?rg$`{<+hbNmWlr9PdL404{F>{JZttTdBRd4UgSN7C$3h`ok!|VeE7=(4v?K7MSAK zU>afyhQtgV-Dd_N^Sg1vUk;upp8Ed4l?p4xH0K(Dr!z|P{($d#iYcc$1FZt)S&SZP zlfW>)Nc072@;g+MNVfvI?gp~SZJUc6Ha$0_!OD8FSIPGF zS@zbGqc>sCT|j=B{DMfjW78fcse#mTbd7H^;BA4~wWruSdhwX;k^8(`2{zjT8{cy_ zQ+Ra3_cnZ)KPtS)rTY6eAt(d_cMrn%Hc3VkSxxx{kmC0VDFo?rEkA!b@yRelTmcD$=hL4Mp4omi2joL=3)7ti01vQq|-YgYWyn^FU$Lb zj;XUCb%1%Zm%i=bp2akJn&5!tdk2!SN|NZ5)U3f{dnHM7NMe>lf`=_=xybgB{3dgK z;F?}V;w%FHH%^>Fj^f{;FT4CVhJ0HmbD-tGylC0yITY_Iw|{Xs zU_f6g$wv-}4QQ`}D^k9qQT~=q1CQ9qpf2{RC`rjs<+KO_j>0jA5!>_+4z5Ufkouag zUqN>9_c#u%Zo6e#N~S8)4=U5yx}E;E=^O`FB)&|j&&I-ut1O!xiJr@GJ)0fg2D3?N zblH&fdgaD|BKIKZFo=Mu1wM=AoWUH-{z`waL*Ir~uL(EX1>%#g0DliN8zi%aaW6FA!BuPk zqa{k3HFz6z7n$A17dhI--r_JD0+;jAXV__v-Lia(%|=?8da`vp7Dp`WJ=ywG;h;Zu zSf@s8y|2@H%6Syx@djOx=x-nnt!`qx0zID1z6G;M%cy(f`S%uIhPx?-`bn{wESMXP(P^`&p2JAOr^$eTEUWOex2p!O?I;++-yZ8v+p|1Chn%8rA>|c z&1fR-(j@Y~v&oHwoFDxD-AID00dy+wd=If)8|Mhs9@`;LWO^FN1K;Fu$`TExAi?%H zyx+Xeh_nQQa3p?6=-Rjm-4q@cev!o%NUm-Il;j({9E$uL&6GKYJ7Bb9Pz7Q2v( z%w#-UkRvdQT}-oFNP1zoi=j{tk0D%CIbEd8re561#;7OK&FhvMDS4LM@MG>f-38Ek zC)~}`k=)$vbd%VR-0a|w)uN7m;F``UB1=Bp!){=N{ptk z`$}vR^g_}{%CD# zSnrJ@LcKRCA9eNKD5_iUjUvK&Zxj*Md!vZ3-Wx@P_1-9o2;Lh-yz6mC$Q{Oeqn^P; zDll$zFGF}@7gWv`#vN|b5wY{G!CS3rnb73>uI^+lmv1Hp$5_gQ;oC&SGA2B+^X9?8 za&F`GZO3CY<1QwAKKf3cakrbg7wcO}ZGP>p1`+S0?>`zVnDF~9A+^=4mgY+$;$9}w zeI9CapL-^-XZWro;(jJ_e6JAk0Q(8}-a|i(HB5Ajz3%F1!dT1La(#oy&pNi&$@ez8 zZPc-(v#*JW2iaOz8KHHsVy1+*80K?~N8GcJH8Miauz52=&oW^~=sC7%MraEYW`wpf zVMb^hw=pC1JQHSwwmT#Af-^$DF(X88f-!!}ZPI)`ijXAQ_&n6Xmu0wOXQJmjxt<|I zCy6ZkO<}Z&R&NTE8){CD`@rU|yD`f=oiIeY%e@$;aH@QsHkl@d9v2r<&iz0_8_9^5ty zE}yL!BHL_VyR7o&W4C+jW_7spKu8ehR z^InGri3s-*7pdL})j(ee@j3+97ahMRI1{<6AkE(s(i=$RpiR`Mz8S6Lph?Y7L32>3 z2`%EZjA8zfmKA)bc)6X(48(MPa|U* zpFsV7(jDnt_!R1IQs0lnRBc9mKk*Yh8IPiVGx7bN&Q$M3ZI{F>+=Tie;{Vh0pO2$H zg!BivcRz&sN~#xo=aJ0}($Do&Qrnja$4vKXe8|yhE46*hz4T$!%c-8_O(gxhsqMEh zO9{hoseU+SBGr?rel+GL)H^q9z>{lq?jJ}>KbE=*4=t>B_6DoVkUE@VAH-=MogTm` zPy$eHy$nGLyvNm>QO!(zgt(cg(hmVCWBZ6MF9B!fIM|mH^n5A7&(D$6BCu(rYSQHu zR5LTsb7|rl+e8VNT`t8b@T?{7vXAH~2)I=5@)4?CIz0*IIXFpX=0dCbif!%zQaWHs zGX02v)c?#@>I`Nei1@j6>RX_StJGuBm6Gx$(6J!!78?|tmtRx3+J8i$r%&+JjnS2m z%e7~Mm+Em?H00Vd!MhPr?gqLWsbgJ@IfAzY9zUMNiz`?u2K}I-dyjE6R=3fQcT%qc zyMXpI+HOj0w>|^tdd{3X7vCC|AqY%mQBzPz@;W3O7Y8tf6h>1ATt+Rwpq>jfCS-t^)}?V&&5?ADvnr1vMJ zv02(UNDO)bq_&-=iCb?aqcmsFk29~?LiGNI6kZ$zj+@tp-UA87UfGdv$OOF=QhQD! zZz2Z08d970YwikOsvGD{?}tQ$`B%hv zl_rvj{OTA0rB2X;9Nt_2=q$qZ+s_y%-eDIIm9S}uIk;OeZvtL{Mi8yO^5BHO_5oZ) zf5uG!Z}9bV$Ds*dN)UYErc?L^UoRd(8We~nwuWaX`s%?80WtMN%o`x^LO`mUs#{TE zV|XFpMo1Hl^A3RJ>x8;7m<)+ndXuVAM0Jmk_iM$}`S^c*L*>0LgWhdgv$$kj^ELXRbgat6VhV{IHcNaVW*?Lw+2@y&xaegW!! zzIV_rqIwG7I%pH9p2l|$+Qp=w&NmL)M5?#t`vz?i0nOms25mBd$l|*O?GmcD7v2W} zC|;X>B?031(q2IV;oD7FT1h=He7&d#Gs$SINO+FAwsH4U@PyFDqVi8+xF^bl}x1FO05@Y z^T6V_vDVR77&91aHGey_3!G%$^c>kJ*9MRw?{U+R$ohr=&aUA(+>8;-rrzXSMmDcr z0d6)0kvsPo>Cgm^F^+%-2 z7WnRpG(jzrlh75ar1TOta_UNI95AJLka_(@pHvqBwQ+WVyrjEHf`GJT6;v{MnZ4wG z|BUFJDqM!$Epp&ivG6FoF}A{andfBwE3TAna$=5y$1x7(@L+IkmlO`4g9OBgiIR%Z zii@NCpm^yCR^$g#ve;?lPPZh;4K<1}Y81Ve9XHv*gLxi7_lQ)o6ARJ{A0`=Rk{DK! z#-B1J6T&-?sN#?$E1YkBJ0{7FnBX^EGHp^FHV~6EM(jqen0#Q8!;?-eP7x(L4yT(= zBY64*GwzEpp(rZml)`*6?O`A2J!R*8_AH>mjJ5lLU7}5p4F55M>} zc8n$sd9s>iDQ079mN};5&s!D4qGMhw++8wHaOgJk7k+3p#>?YW+^7p`DK@3FOq$CP zDf?6+#5#LqWg+zk?-)^6Bx>Qfq>=dq71KmVpp(MG=3J(nZcgY&iX}rI!yu@@Q6Jzl z&8c{Tkv0+!FOTUm+1pwy>JBb~=B3o>3luml74}I7g)8C6nX{YA6#eBPZudk|Q}Vn0`A&DX_^764DfV zsZMpY;}KA6R=5jXTAa?qx~fJ)tsNO^?Z|Xw3v6edX5~25csTb_VNTWDz~z?bhQk#) zc$#qu%Nd^|;^uyjXNDRP`zjtD4jvXqrc7dsF41QtUoy_D(}rz$y~5;y>vv{T&^*dDIQ@l z4jW^&W}B-+qTB~KGaVstu0b+__AbflK{!rYXdG#7`9zW<__#m79y@$A?Ue@Wj2e_o zH7Hr(gOdI82E~HlTslsBFB86`(8debLL|E*w0Ox5Z37&uzv?KwIM$t0EQ|ko&Zw++ zc8W&X+|HY0e&P+6x~8ix;eP9s%cb)=g?Sfwb+pEmd^eTlu+^Nt!3JLQHW5gF##Lqv$1 zLwe#hMXh70GW}1umdhPEzP_UzgwHWr%N*Nq?pKDo&&{+(h{hqyk?z@+1P?}>ITJSi z_|E~@D=lnr)ZS9WvJj@&!H!HAKL9uCu|Y>?>l0EW*P7E#%lKHvoVn&ghBqL|jU3J1 zd}p`u^iJOYQ%Ac5~*?2J_FVXr@UP;L{{*`6S2(9FK~QhV6mwwm16 zN4yO=Rl=$z(5;q0Pk2hkgm3Zf8);5(bt7#ixVn)x$+%M{?1^g%r7J%Ll6wG^u4Y%& zG$)d#xysM5M=sVdV}4r$d!nDPRJK~s5ZRkjqS76iNmmS&G8ty9&1<5W&d6kY3}~p# z>qd^v#iz<39K+XM4POV_q`cz@*saW4kn-Dv*o4D_5C8Ghj(-${WD(QATZBUsr9{#n z{Xc&t3vt=NuR>Wl*V6tyMA;BQy8y)*vMQV5CpaKi;Lycfv7Rcc6`?c|7vX0tIMAMo z(Oexz#if!<#SN0H+WZb=1CDqR_vDZ3SGi(S&%>EG2XOd=?>NXp97M)1b}DM%Dl@$x z8Gr-e468r6x8rw2I9#I8;*~;aHV#Kb4&ou?7dXJ8;=j0B*7pwl&>RQ2R8(?x92GMq zmkRo?a5TZsw+YDrkOP@jO2yS&y-;DK|3GH5lv2^_PHH>ZDLu&2GAf#J7y_S{t*6?L zQb2_l&7^>8DQu*S3bH2!%H&39g1T(pnvOns(2(s zDyZ{NiAN!$c#(=CxGIG7KkJ;siltQi1_#Bs)anc=RI@@E70XS9vh`HEPYS4b)Dlqb zX(^yWnJlwR4hMHKD~zo~aiy#-KtUc-#I<6M$nBf6TJs*y8rW%`=(wKvwK!5KVNMj1 zfWV+vt5J}9R5zoLD6GEr1*-@LjSH28!zKExr;_lvMBnvPR^osKDhL~~=bv(hr$cMun7GN!tuo>{4z^ z#T2euZAt29Rv8tOxq2ZLq!>PB27z-aD~zQ=TC)5otd7OQG5eL&jqwR~vW7E3R0-dY z7%Ad*wAgWqJr@J0nmP0)M;Q6Z&Pk0Y4Vgw@uTmf2OS?Z+_J z#Fk5`=(LQ&YDw(|)&=F?Bqc}Zu(MJs9t!tM(jm+$qe4o@D>Nj%fTiVBNNI%ro*uI! z8kS|{K@(P@MvUaY+cNzJF)wkCN~uU+9ySUj-Nn)}Dx}oHOwzYlT26(Oo-P{UE1KP9 zM?g-O0H8V_u9_i1N>Y_^iI9+vtO2_)k5V4 zoxS65T%|P;N!!7-Z8>s!LPYLQDnx2#TXGDOl_6pM`U$Qs<~h4)Y1q{i7o{ex|@i=*R>Mf4Gqdy8mv4Q+9y< zJB`A-dCpVO7l-sswf<5-#Q;k{wSiJV#UM*SwR5C^igPUi)q+w$MUf>?9arTkQU0$N zs0g@3k+l%GM6shj#H!;bD7~CSZzo~jLqn~^k~ji51mV9>js_LMbB@GXgtx&EMa7V5Dkn|NcK9&k;+}e#OEk{N;T+6wybX55> z#>){(>(XmCw?@+e)0SGXkC;e~wpP_{YlYO&A6x1`WmRpdqiuvs!B1w-|GMUm5IR!A zj+YgYh~pF~96x0-Qkw|=!n2?Lw~50%V@j#;uLzqwwzN!1Pu0BKsbKHWZ2%5YGA&T!}n9+EDA)*Jrs;mD(Gd^r-D;zBeS5}tVq&uOJw^Z9B@U&60VM;g5F&n zu1lPqtWZkDH(VV@MGKB_-Cu&?e}!(?MI}<=SYz>%f%=6Cj%)Q#wv4zvu#lZz`b&(T zO4o{#W1gq2!b3$I=m!<=t){A#%r}920EZ!BMa_DuJ&XfSr>J-o2cBBpx}GWd%S+<-ZTmwIpC)<%5XX2mf97mRQ7q5o}u_P4^ij)Xm)wUlkQ)@91!)|N(S;E236 zNyA5JI|lhR9`8~r{)z*SQ|+hFjbQvJnkB#E=Ll~jUj^IsDX2KIuaUBV%i3qSQ z*AX8ISbl7CRs(tyYa1LGXe#I}s*WHMStg}a&r<7JQbuE z-cPc0A6qJ?Vl(Sr_y<$B114vAAI!LN{OjK-II>eNW*-$j!oO%?0~%`sx=8i>t8Ij#w|Qp{~p&?2Drq$0%cN_ z|5~ps+>RyVR9&!OICOt5ivO?t{9DG*(Z5s0;(vmlNEqowjC*k)Yp8JHr2vjq;^&TL zB+GVNYpnl!!TbNWd8DpUKO)_fSV$cSV~63FSc;@;TZ-i8UyQ8-@xN&4Uu^CGVt4p| zUN|Blrnltyd4iQvkt3_^QFM~k&L{@T>JSv?%jzf;^oA+N!cLZ?Vi^@A4G%jhcyVC! zL4{JVH&t2SN(<#dRLO^96HM<0A&A(#QPC@08VhnXv#fnFIjCe-DHZqOAVBscA?}q@ zfnI5Ko2=4XtZHR-1&YYq(ie*ZvAPon`2SEOoS*28@<8?6ME=l39tWZXUQn0tT z^d76uI1pGWMxSIs0dYj$0l=}d4R>revnFcz=-3KYn$WwZDkYXG6!TT8uYfO;yNA_Du&~bouQiV z{}lHv@NpgGz31%MYuS+&B z%zS6(tXHWi{CdYeJLh}MH{X2oo-;GTg-1vXy^HV|0^bUTK<_|6;M?yQ0=*9f1in3G z2=sV=P}pD|;`(#Vu#4VS-mB#4TXSB(E59d8;`z=ip8#Kot7uLgz89O%@d(WK_v4}A z=&!l29G36AItm>wJ>E1WV)_14JRs5IWmZDs`%53wBznBhN=SU?JyQtvL3n?FM@W2U zI^7K9O;g{&v)WS^K=-?Sg*#2)U37}rSMZ>S(~CU7*FkzwJT=%e`c`lAx{FR}2$+?? zDBP@pz(jl2F~ZN{L6*_`V>}ghwv0{ztvdP$>X9>> zuT%_-6L}b`MtD#q=y>Ww$K8hu7JTM-;HF!dM zvo1#xBRauL^zX}uArNofI7G3;M=d*of}<(s=OTC!FODFFe;D*z9D1Xse=(px4f>l& zpTn66htI_Ouo5}9fqooxo{hK)emDF*h+mwMn_VXS_u@dr9uR*5zgC#wx8jL-qcy@; zbJSeGNR~DHfg}F_@bve7) z^^!i~q}+%trp=_~|4*+KYQdVphNBG!+%n`d3!?F$)h^U-T!QU)`H3 z@IrTeenEC&200s8{s#79{QSsQDF;t)av?Y563=o!fI%(g z$u+UWuf`)+yb}H{f8dxdxffNgK9w6TNf&+j&OD2F-yIRX-a&k*t@ey<1 z8|ic}A2wqEjsrLb;B$l1V6fl+v;#kl_n#7GKaWQo`*nTZao+Ul?AO_Mi{m@{a6as- z#qoUy9u_tCVZY5jn-BYDaeU7?F#Bcp$$Z!+^Wi~8_RH*h#qph|0ND@o3>*7l9?N1s zY>rKt_}LGe<4Fc)Kg_NMU9hUp^$`L)-&vGBLgl9j?zEt2!pER=(b8()^Fx6o8vu4BFtIHve z*}V1Ye3fx9jM+O>JsfFfe@&P!b|H;@W~JOpm^|!L`Eb`qCH=n(X1}@uedO83$9|Q4 zDj)7JV88kf^f_l6{ukvFJF_1>`6}_UAAQWBvmfP`*2?%r9{WvxP_3jd2D9JfcQ!uk zGx?ZLX=g8EG1PA{ToaTuv7h969Q#Qwy9u8CB>PA{>>CBo z_s=@8;Mq_901x{~_K|$J${=*ngZ(0B{(@(}$Ucz|`$Rt59~ExTdmCOO-o^a&|L>}m zxL@42L49xE^mH+nFuY46FCWHKyUAB=b@RRRk@LanEsb>F4rIHpPJ4Cg3*N+uYt)wE zYwk_|hT6#Y>xS>RCZj(3_S5P+-o$idl?lVHSAEIz8zNC4+Oz_fR#hNTD30YF71&9u ztNq5!H5VMdLajI+ITr$YwSbGN3dknM%L!nYuHt^f{KJvuMiX}K(0+aDchc!c)Awn8 z{#uJGRTG!Z?2DH&GhQqfH~)vGOZh}HUQB25grDH zR<{iAzPYcDF>d2egZ_y`j0ri;QQz|XH9Cg3ze)_n%v7=*1II&ZliyHt{)qb3;m9(Z zAqbCh3U&NFqd?Cl6UFI#p{xZ* zS?UTu;v?V>binCq0e{|%A5YFA4wK1emE|1WAaR<^d$Z7C5E-5%|Wx3$IF+E3MtM3k{6$B62L?>9cVzy0NUok$cT zju_PgzF)8F@Rt{uro6IBqUMx;4WO8T^lEri~Z^}2)nBG5HJUEjqn9|Gw z%rU5XH?&;Q+7^#Dr=abI_{G%u+`6WCdwp+P6MmYS;?(@IzWR&Ride%HqBTdZ>R*@o z8`>}5fFyOQgRN?L9m2zZO&vnET^+B7Hv$2dHP=fi;6PXXspofUb4*0lYfq*kON=2n z_*L)n1KKIgGaP-eJ|16JA8+F$a$pHhJ?;A~R?Y+U^;h?cZX7kMAN&4wmiVyt>!P1v zPQ;l3Au%6~oXHul2UmT45FZEL>MtYyW-D@G=gysxrABY9%YVEI?IBeTS04)XC5O@` z>T?jUe!RrjWhgok74?lDi!KbRL&qe#Zy zxT^~P#Ihi@qWQu>sWDpHzh34yiXA6Ily}wmTP($dZH<0HiU;Q?>d|TpHXk^7QD3PE z%Fn_&6j!zxDD0fjsJoU|k#1W^t=PwwOG-`3rkdj$`L@1aRYWkn~GhgcoW&NLfW)n;ks=IX)L(IEk#Vw z_qbI_9xd_fLZ<(jXS1y}djI6eojPUPqt~hB!%{A_q%ZpZ9!+bFn)*q0BGlza z)Xl9s2L{#Dk(~qW8g-x*o{I7}5{s%;!^AdgQeqg5p}MSfU8*-<-$vBBL6xmj7sVK( zZ4q>gk4Mm3fR2vzvH1GZZFrAFj}Y@jw5I)v-8~!CB{7v7R(n`Qhh~n4PuTv-A9#iXD)y1uOYEl(rYUOZ9?(wDm z>x5xsZxx15mKoIYCrUxS&B>dZ7*_QYLBFC+^YT)EbGYIikNS;a%tKKW5O&p4hQ3II z49Ory{Y}(=eZ#H0L;B7w0}}^~w=ZE4?*iXh7jZ8&lbNnoqFIbNv*&R1{G2*8{M1uV z)%1qqMR8sedB6X9wJKJ>-ub6?)T#D5b+GPAVds*7`i3tR-In55^ds+XyeCvc?uY~} zNl<=PJQP=lV%NPV#Q23sAj~jsk3OgKOqcs;3h$Agjg6J^|GB#SxH=f?J{43}u285? zDO>5JGbrK%8;cF9Q0)`%hG_iTV11NgP2+FG#(sH7;X9Z3SqWuU4aQ z#Yg?D8bv4dBjx^VAuR37i&B2HDCJbpF-0q+onDmk{c04hH>nS&ZizLhOSY?h@Gjb}#^GJK zT}{N+ZfxsOYg$zrh}TW1Tj5qo9`+TC{b zrkIffPD&?-I6!jy^5?=Nx6;o!HM=6z<6)8; z>U&|58`p26ziPNU)MI@rTG{V?<&1vs|5WyScd<)z`@KJqK2CbZrWo?3sy$jwRhb?b_kunjx1)o*ynZWd=G!$%^$UDyY}+K^=jpW zTKPl}qAvQ!p!iV}Vs5bzmp&0!vvulmM7exeT{z6&H%Xkm9ecHmBhfF!FKxnPx3;w} z{zkPt*3{CZ&Wov1ojRwrp{=t%eo1Hi(oXf^w@;{NyqH>hTu=a3&C20j{bA!qPT`D#<$Ns zqploVeFo}5pe93A{n^zvB`2e5W#kQhsE~cXlITu`PQ0d~NIqDJ_>-RpE zj$eEwENo^0vFKwRdJ3U)(vNAOV(k9LLHpARGr(}6yK&+47b&{&1$o~fP_c% z7uo-$Bk<7t7c+@T)Bbbd$t8XKmCbPd`7&ouZkzCJ%$_Dgn8R4nxl;xxT=!QWLR%eT z`M87NmjLx8gweUVJ$iqrmj7>X217zq?=^-+;{K&fTNhJUxs36YLj2~S zzKD@FG(lBA9&HGfyua~+e%wp|Pe(EFXul*>c7H09$%*Lw4WSZq@0la-`*o6AA?EoI zE37e~Nf5HGOO-aA{phoiqAJQf(hw>WPnyB}8H~kp7gteA zzqyF$Vicm?QO42o_}!EXEJakvdRY2<40^(WpLHm~8lSeoi`6LnRHo)-KmchSSA?fc z4+k`z(F<62a(jm7o!LQ0^JU@6J1_nIgbf?2wOIbzqVW^aPiVgmzjg|Fb$AMi`9v@e z3+LBAEiaEAwGb}VERDXOzu{%T_hCIub0CqGoZ(sDpEn_0^O8$pSaHIK9?P6y5beZy)E=xD+1(92k@nY{D zUwvg|Onp2*9L%6~Nj;6f{wGZ=zm=-@M*RA@k$6*6sbR9c)G*g@K&0^#DnAUwA1=k? zp<48{h~K7OSy@!a@~y(n53K525r6Hx`W_;FFC(^==Qme%LizQnQ2G9#i{W=zb)WKI zck2yV8NX_Uzo>kCh8R(WiOsjLY^k5s>T#C3z0mrO%p^t#=~O)4)EAG}e`=%! z>nSHroH&%a`KI26n>yO@EpZ7xrsC()_D#*Hn@XGaWB906{GhZUHG*;Q9{j#BrdA($ zS-n=*Q4VeW9(5jvwLU%us76zDkKohEX;phtomW)nwW{-v&-UHAd2YXY(jQi zkl>SIXy=EgQrnhvrUj$p z?I%*Hcsd2C7>Scr8zi~+Uh4I^kX}E$r*Zvv$pXF9Wv5dm>XeF)jEt;J?JlWvjT*Klm1< z3*`%|{dm*JtS*#yU>q0m&^G+yO^g1%?W#EU(=C3x;BBqS2 z*T&f%eP3@{O>I{f4L9jU@hB$4%ZsYmicbOSUTC}YO=|h+)SbP(sZcwCk@NPomq0>< zpR*&E?~(e?T@w6Ky7tNS>QEiamOsLujhob=BWlHj)LMOX;lYUCFq>*fe~ui{C!(Kw z?|kZ1Z#o`NHKh(&+*6TrjFGwDLj5S>%i8J5=!q|Dp3~8q<}YV|ufeF_8of*Hhw>+% zeD*!FV0Uc)U(*2PjaQlO2g-W_GNY zna&L+-&#r*ib7@7J-JL#wvX6AL@yHXEjzBS>#A#sT@~9@w{fbNE=}!&pO`7NC8qPm zN{KRZiBFM}M*jAf@-k{}XdMkS8XqF2a`kLHjVlG4+NnelZD4unLIh^+ymY0z@{ z;sMRQuQZ{35_BkX8Rhtf{V+LA&n9zBT*u6e28l0t`5ENDS4iWxE-2rbT+z#CX0$M} znVi?5GBYhiL*dd@{6PeUk-(-aEeh!}xu#11YLsS#siwvXlc-0fLUP>8>Zqa7E+WSY zg=D@sIx&{Xmh#Ebi41b90+-F?lAhf~L(iK@Yy(?v3?-+O?IU$`G?~v&=SN3%#$qAB zP#u26PWERO;B~6+=?`q=*!9K@93gsnNtXEAt(-^+jRBZ*N?)5 z!dA+5junz*g7hHns>)HAsB0y%1PF>HXzPKQkX>}5I%ZLJy;1@erA|Qr(+onYYoQ|A zY^1uJ64>^}d7kRG*nrmXeyh)F@uJ^$!egg}DpJ*DTv!BUZ;XHk&k%mBYwgxkCZN);vpw zC=}F(qzz~&tUM-vG$X;Cx$Hqk%;+{jM`$y!6@Fi43avyq3nuJ_536$!o0v~xw*^vC zH(*j%%358t{4UnAf)TOxKr&G(CbcSB29!w{JKG?GTMZ|*q%9>Tr)&iQjjcP!a+4mb zPa$n(pxZa$0H@HhcyAf#*@@iRg7iC6NIm5KW9qCo!xGX7&&2&=ooe&YAmb#4P)6%pq0r% zhPK+%R8}r9wlETbWC5`Q<0K@(axhKT4|R5DQkf#^2U?lVtuC#rV+W8hgsB8Vf*dzn zDU6D-NTZESfFHk5)8RMOz=@WxCc%blY`k299k!vN9!-Z4`=`gpM0e5jZ=qhiKog*&Drf6wMFazZvCSJJ?Z{fuSn4F+lQHK=Af zNYBoS`eJvJ=%h(nDHRrW zsxqFf&n&BhPItEnU&`uiuT>Zq_1d*LW@o25?Db-l8DsDpL^qhjOs2ms=1@S?0QxRAw=){yv*9ot?vIv!$$y zVr3KC>LR8zX@cE@cFJIMuNXFAInp2@B8Bw}ZGyIxRk5c^Ez`vgBld*w1RaKnRE%BL z7K%9?Be-_qvnP-evBi}0m2@gPt@GlHhQod}Eeo(%sxzM)n?z%)0f$cg&{m;GC0Ec< z+Ce*U6g*WS%`qQY1`llIOwv?j2f@hE&+WoG(QGp7?dzM~uO}rsu7NQO;SaiMTSHQS zc^&E*H2U^z+ZkBds!}0?>0rR_{tib>7Z#ty0z*?=@)^sIJ9MNY$P$JI?BoYj?;IKxg3yrwwMq`qB8|)$h zFt(-=sNeK;P}2@d`h!v;>f4YsGRm&b4IS1L0&v+vfN?nMo7S$sL*kS8wKj|ctqV#D z^V{Wh6vVNE!4g5DW_n_R>7Bqta(omkH$|iw0xUWZm7CV-(;9NIgbRbC`?ypauuNofh+ius z(xJY64Y+dCuvGyD+jON@nwimSj0gEkTO<8obb@5`FmNoz1u871jvh+pr;`Pg9NP0hi%Td|_kltV9M2Y-Nsf3+I?H;!*1?x}G=q$lUf;ZP~Fef7O z2kW|!O`XYHB3;*qb)&k?O`Doyn>KCS-12K?q2KG+jE_8H$+3MI4+k9Zy{8M-##(=W zvlm^ltbcjryd@X-uf@LmHU6*o7y6g@4Zc?kzMsMQUgWk_wJW^~0MosE7zc0RcdV+J zkG#td@I0N$OP?z7atRdhbL6ikcNp^IGAIdOjSo?KPaiRt9SI-xBHo)bmlp}XTKLa{ zO)iTPK87b=E{_6U>nZqdoc82JD8gS&?i$c}jB^jHz;@tcr{CUWgOQ|a9jQ5+M^LS(<-X*dR+Z@sQ4Cafso6sI#*pV zzx0kJN7bDX-i@VgLAqRe)v>CUF&uSiauJprKXqd`xg5)-G0mjWwc?R9OBlI8%f`jD zt`Qo;Oz1FL4^0z!a?zH^6FkFQtHYG*j>OL@T8-buOWch((054luby9W2R6K+UI`6o zeOVXMS(Ua}IJxA@4d?2;dlCJ3agXGwt3#j0m&<|BrDD}+h)Q2T z=ISmoU;Hg|sg4`YO_wc`L0cyI;o>Xn3gKF8*rZ#9rxK=op^xKT^0m&vqlxu8SJlne z+_CIv^vq?&<@1&;mKUDB$jnU#FC3D5cJb6p@NW3kz`O8y74U@>u;vBMZ4-zqMsWcHbjQ(MEsroA zFJNQZ2=g>p7Vnk$FTBPc<#bCp%K6<_3CBy^TzfIClqnaxRpx=v!R9@Or*qYs`RE-> zk1n}W-6eHYbZPpZX%B`^=JDa`tQKITMvX+E?pE}o@e6ai{K5$ITF8I$f)B-*%LfO>kAr1 zFBfm~HyHbiKDTLo%HuV`UuE#YoJR0Wx9E&lePQCO!A!ra$8Q3zrr%))@5-yp|4MuE z!pKT{y7iT^URa5Xk#Jx{WD%wl-2`p`4!Q+45`3M57kNA^^J?5sH;idR%4Nx=nY=F3ST{x9Fy1Tsd5Mqb zSX!O<1m6vOw}TgXJigMY^Xts9oy6DVgcEr{=?fgIrK?@@SGUDtvOc*;93OM=Yw#{Q z{Yga}F70#$&DHq-5}p- zG)!{Ys?Ik%Pa<9}Uv>Kx${>x7@3aSDxtP^mixBy8S*u$vL`G$wuj9qp1FLt?z7j8& zyFyL~PhD2y5xnRqm%oZ0Z70hyxY^c3FX2yo3(>3Cu4us{R*&r|OH1k=~)toyhwf zS@Md#NV!xp2or|%c2+9^(Ri`M^wbphfW)v%JT_J=<}>@Sw<`!e!9AT&`K;Zqf)lC2 zpKX9UY@VNNB4FGS>(|4t!J`aZ$51mwSSNhz0aKp1pDbWLezQPj+Ge0!zQNVL*LnV# zd|N8`?q2JA1xG)T(Obc{?0S?3M?c}?T9v%x)w^^r_;CHdIH9G1kayDFH12yJtrxB` zt!VjJ#_9eJ?Ztg8K-N(PA705vU-%B6^n-VxHI4MU@W941#L+zpzGm~Pf5}7lyLjZC z@QdL43CgTE$&c3nVe?FrzWE8?`-m{X7a8>Ja;K)&JdXTD;Bx^zgNO1~<5`Z!m3QE@ z?>(an4+wA~&p;KwhYhUCTy?~#P|Sqg7zj&hBs>?Uyn<@!xGHE&jrS)^_UOhB>c;V1>@@&yKfJ} z{61owmG60V29D@<5bt%g48FtY`0*alT{u=H;D*8=t}%;7L$cyJMK7{5Igbi$;P zPJZUUakb?F3WTJG-)W5Vy@PnU$HKUQO^5%aL#I5}G2?3ai6(F&?`el$=SaY0og z@IXeEeqQ!n`t5 zV5aw_KxVDCK6o$7FJH*+dz(Q20K&f?;aDCSAHNqF=X<@NZvspegwAh9LMK1J6S*+I z0l6^0?YJ<%#kerPqqs1?cepUWakwzQYq;=>4$Sob%cEsF;hBiQwO+D{J-E(qoXNkM zg)n?k(5%*aQ&qxqtzE+N4|{wDFq16y>V8+5PJ3}J+&E)zt_`~|*JoXrYn?94wMc=P zzPbv1=c7We^^R20mv=cC=X>u2{fB_L?kM_leNgCH-wK#(S}vXIOfJlICKu+~lEBoL ze_dMO40+aIM4tX@}1BEy6DSs-PRI_0TN`PTEth;}~c1 zgX=IZ%yk!msn63+e1yNV(vlJDUsRFL^%moN@2jAH3-Ed%#NJ%<5IXJ6wGJ2N8ixyW z{wy%#|Jw?E?j=&YTJImL=)-xOalZFG(3b-4148uSd`{>ugMJ<0Z4RAtIH6Nt&f5fD zhPw7EfcZTpkmt~;+5rbvQFv;=b*;< z-Zh{<0~mjx4xx=bIp-8Q?az6pz?47pNEs%bb4}xXZwKTZs?d+Hp+~#{(0`+XPFU!a zf13l}2!6u105A4<*{L66pc9U2y08DV+TVg7$qD!g1B8os1@M2pf=*bIt^K~~z`p^0 z!ft-{1@jNI$DoT7`*J>QoGD-5bohlrpZxD+cq*&i_kZ>Q!2f~qPA%Z`K)4_9$Pqo} z(C`A_m1vJ^Ed7rFzX<){VeB38p1}*t6YW9xD}X>j zehKh1kSF@S0(dX@S6lir7~=PjoA#IST>^OPyxBiRcs=0vfu9?C2ww^K%V_`Ez7p;S z3|Ge=>N5!VZLo*PzXkBipp%X1%i`rz=zrb-nBm_B_!WnK6!1>~Ut;->1J3+b*}nGy zE}{NDZ}oWuaQ~xbJ&5u?1^B}#k9(~ACjfs1{r?j%5b4hX-irRL+tU9E@YgX|y4J!k z0{-D)Jx9^}F9E&+?a3A!-%kNAhds7g{$&`OB@uqs!WRPm`a^mSp~G(ge34%+?^gk? z0}L_R9$kRp>iEO*-2?dBNN*ot>N^71pDX8Q0x;HhEPuqC1pGsk$DHMV8(_FP{!rdL z;LrRa{-_IJ%6kXthjpGHe&0I*coW8pNRp9hUzEz*}!e z+u)nzI^nZCFTl^CKC=E1egg1v$ZxgsoWtdfMXzyOu6;P;{)AXd%N1{`ynlhn z7D3wEEr`1!z?HAKApXkoEeqVbJetMsXM3N5-W)4OiRUCefqb9ryFY=@Q|&UORx zRB{SC?jdV*bZA#cSMN~I?yb0Ep?uqdK7kNi+=0_VIF@72dU3C}-6${8^7O zd)9?BI2nJ&DKk00gYev;U(mOk1XrDyM46V`>&Gx=za z6_9E#ZZY@8hy=L|qkJ!n-02}4UHX26A)GR?_s^KK4RYHD&OwN1W&&N9 zBztUIB*SQ8f>*!m#|dB#7|{@nkIB*}3@F_>jLmCk66P=q%7VUW#pb3{PJ^puP;5GI r6O846R?eBGAocdN6nJ&Um=dkClcv!LcTZ Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories +PROJ_FILES = $(call rwildcard, , *.c *.h *.S) +# Collect UIP third party library files +PROJ_FILES += ../../../Source/third_party/uip/uip/clock.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-addrlabels.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc-switch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/lc.h +PROJ_FILES += ../../../Source/third_party/uip/uip/pt.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-fw.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-neighbor.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip-split.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arch.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_arp.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uip_timer.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.c +PROJ_FILES += ../../../Source/third_party/uip/uip/uiplib.h +PROJ_FILES += ../../../Source/third_party/uip/uip/uipopt.h -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -I./lib +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +INC_PATH += -I./lib LIB_PATH = -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m3 -mlong-calls -O1 -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -D sprintf=usprintf -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -D snprintf=usnprintf -CFLAGS += -D printf=uipprintf -ffunction-sections -fdata-sections $(INC_PATH) -CFLAGS += -D DEBUG -D gcc -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O srec -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +STDFLAGS = -mcpu=cortex-m3 -mthumb -mfloat-abi=soft -fno-strict-aliasing +STDFLAGS += -Wno-unused-but-set-variable -Wno-maybe-uninitialized +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DDEBUG -Dgcc +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,-script="memory.x" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).srec +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf @@ -220,31 +150,32 @@ $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).srec + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/memory.x b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/memory.x index 9bb1ade9..8c8fa1b6 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/memory.x +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S6965_GCC/Prog/memory.x @@ -6,21 +6,64 @@ MEMORY SECTIONS { - __STACKSIZE__ = 256; + __STACKSIZE__ = 1024; .text : { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) + KEEP(*(.isr_vector)) + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) _etext = .; } > FLASH .data : AT (ADDR(.text) + SIZEOF(.text)) { _data = .; - *(vtable) - *(.data*) + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); _edata = .; } > SRAM @@ -33,5 +76,6 @@ SECTIONS _stack = .; . = ALIGN(MAX(_stack + __STACKSIZE__ , .), 4); _estack = .; + } > SRAM } diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.bin b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.bin index 9b0b764cc47f47e3ea8a6225aae009ed3fd225f3..269a626a3675b430112159c8812529f0d8465899 100644 GIT binary patch literal 9504 zcmd^Fdwf*Yoj>Q^J2N*A$PEdQggoxdBy^Gh2?RBWl*uHygbWyMe4wCANYFu8nFQ2S z*AFAoYSn&3(rpoK7e(3%K9XSCW@`jrTkU6C?}*(cpdYW|S`F>Tn`F|NJZA2G&z%Vm zx1Zha{jkB|=U+`x22n{cfk|1?D1JXb` zAu_>FDj`JlN`3Qs#MPq77aMp#-!Bc=yrg_m9r!|W=d2>fOzsi{ATeHp92ucUd?8hI_#pX(EY!M`76pn1RNK9wJqoclfBR(-J4snV+bo_kad`Q563{4P~QzEhQu?@(pr z9jY67yBb6uP~EP8+C3~)Y*(*^{++OTKdfzoRaSSPb-%~2dcnC}4J_a9xsAydZB`pV zX@e~Dd6Rl*EQ-=+*0B+qqWqO@D4S{kch94*EKiexpgh0XF4|>($Fa zzfKih*QrYZHHWAiSoMD)5%`I|rV?S}hq#FpB%~6Egg+#+lmQZ{Pf&~qG35TH@Kn2q zk@xJRBg5uxjyfdK|7`DDqe3k=!H@E_X+8<#r_M>p1|(X&D;#?{uqx1ZwRfIBOV^sL z=#xCZ*PG=&HXPi@g^26K$YVi4X;_`+Z)lw3cZP$O`Y_q7go$s4Px58yTn49RBe$qo zI?X5qOf%+%+H{^Ta9`;y@DZ2gv{euAmE|@yP;OC)OFU!ID}f84rf~2YBl4=jYfWJy z8&_EW;?Zq6;cR*SyoA6%>2Wzs!WV=nBsbx3L^3T%U8I}-c67#E;l!rqlXtO;`TC8R*xn?asBf#dOmf4J2kRJco*i|W$;5xuOIbkEj?Y;(Z@1dvkQptj!JAL>;76Ycsvmn!IXDqvUUCKfTj$6__Iija%CN)W;OTI-IH9H(^BC1j87iv#2Yqy5nHf!T zk_h1?QXD2EWd%9$$!CPj`y~lfFC!=Zc_C@T7+~}C#ApgXuV;@v9kcYkaq39(=J~Rh z=lfC{#i~4CsyPq2jERtFLKL&BNjEAnYh`J`k2#yIX1F=xc}_%`juhy#D(T8>B~#}! zc=a9oH(>YB_-qq<*Io?lY1HCGE&SMH&FNKap0gRcP%GAV@r8|9K8v>4U(opEHNsM1 zg>ZSc{`Wi=%0us__LGIfp`6BC=;kE7nFx`EpN29u$(!$6;uqkt)X4J8^L*iQW``{N zb7;mTEx=rn*?gI91A*@(gn5CIqCGOo={B)ADK25KDg1}_JhWi>xUj$y%5BW@qc^Lv zu!dQH&o*&l;#T093BHr@Gl0)zxX$qme{NXfi^?shsDrJ8B3dmCR4lLzR$fJ&{Dcs; zpgq#S9>ll!&qR|n^zEc&Gw&YpG^@lzDV&5tu=qyEkE`MA8|`3H`EOAcB17g)&e8)u~DhK z;Q8uI6#X0M+ubcFa(I_d)-#9^`L$tDx`&OGd}!P*p)aqGO5R-m;o(X?(HEIRyp>a3 z*uNSLr~f~DQS6`7!?Xjq43K?d}UAz{^sgsj8Gwr+bhC>;i|TZfmT9e-y+)qwm4 zElJgw{P#@x@ldJ4unh*iOkOzhQM##l{duXoFOgcEl&XZ!Ta2jLp2YqwnZ8|Vx8el( zhQTb}WXL+D3|eGh1vt*y9_X`Rz8jJ8INR-SYF`ZLqed}WwR!O(z=shGMoeaxAFP9o6f-sMAi98t0&@YU0`jiPPXk)w9P%T@7e-*vBKaNEIIY@7lO{s z$Sn~rV}ATDE-2geBGad5;>DU}EEq5%Z-8nU+YM+SUN=PQazNh;>=!0|AD|wSo(_69 zup=hD7tmpojyYc}E7eI~7JjKWJ=kqg9#x)D3Q=c`>C?uRd*IV(JZ;#hDl#MbFD*Mj z8Hx*wSj2B@*$(V%+>#too$R?om>AnHvWFI~7#%Ov& zipFS7L%YRyM$^TjiHD=a0iN#STxB%W5GI&UIb4nj2OBc=YV1X;;8mmUPHoiXRA$$v z9_Xd}-W*M@Ev!|-ZpEfOMS$@PBMO`OgVA)E(=+&@TAU4baiLXu(005x(;O|U!sPZ$ zoHHr2d%LU0GJF*sj7k_&FU77^EW^cso{EttEyFHAKaACYwgAu*F$!8GpvPj1L1T0I zq1ZA&Y%cGPrD3$P8Fqh+?;iLNWd*jO0H>hdsZ-WsEOt;3H2 zx-GU_u@3JA)Dn9D&<_A@h@p>%_XD~yMw~}|5BA~=)4_%2hRH@g3YyY8!b1bf60^Zc8I(xZ#q5#LPhx1*16clg{RM*9UmlS}aP&?;VKmt2_7}EAh4t6u6)$TqEV<4J%bza9mT1{ogpALPgBLI+Z#aNJ|!;7P*WGpP}3KssVR$6)U-u5b;cr#I={y~@^3^Sndgi6$>6$;+AMjifgx zV(>vUJ;_U-=8Zj@jKK#{Dan(jc_Z1-OVpR0>6U4lS7?ID-9)8dC=#j~@chu?$MHsO!o2Q!@nHA7(o}7=f z%R}u80I!`~VBQn7rXsZzZx5x(w}(7+KCt<~<~MfXPV0cwQ@vcdq{^VnpxZ%D3+0=1 zZ;$8{HOXm35|B7ebmHvpv`+G)hdgX&&dAI}ZF45s`Qb;#E9b05miNoI*6K1}-g>vl zYvo&=Cj1$BW%4g!y7X+y)=h7X)(bCe`f3wa;1;IWit`$a$Jg(CiTHfeY~h!S*$d#O z6D&S*M*49ovzyz+aV#_CQfk;a@AsyhltDwXUm8f>^vsw~kAW;xdVLME`pcwME>z;9 zGDZuM**~uk*6JvomsWSC*RHH7B&Cs17GxycR`EvRpx&DUfmJR-WZoNhoDSr)bB;sN zI-LI*jlG)^yp$S|A;WN<4N&KE(K_5Y8PDo9AWs~5?KgGa)PA7-bbDTh^&(xdkG((7FX9^J!>0u`{)5}F ze;aZ;akjP}Y8R7UCFJlPi+cB)^;Y*KbVx}_`{s6{9~(c|{_pK29h*CBrVg7|%yu>2 zUcYV3TtiDr0;~?n=`?K<{QxwG{`|Ox{HNpW{vbp9a7Us}qQ5Y4!G=Yt>m>RE@}@^4(_x0Ht&Aa zxgc57hoH4~a*a=HORMW@o3<_X)%X)~`ANBV;^F{*k!8^wQy4RP$;4lyf3KJ5uSMA# zx5bMw6gbfKV6;|dBlSRljo?H-a^9Ry(!+Mq1Wp{Bm&r}zVjtgWlye~?Vl}SOsv2i? z(lTG@-Q|~+E4WGUdR2YBr@nsWFBiqF-eco+5-GKQl%r5*5Ii2W2h6dam?K7HyFv8#CWSTZ3kSwrl+9nZ z&R}(t#lAGG7CBSYh1UT`iZ?G{YcL`^4Yr0vqKrx$Jg5}ZEwH*1I!uqnFR||6^{JEj z^Dg@1ok;=My#6nH*;soyYD8ACT?g_u&^f&6@<$6_VIT9fC>!aFX}t_>9PDGgcS) zME^2M^em*KcrSb#ZMelSB4^DyiJk&_&m>#lad!lb#epT}&!oY=(NKj&@UmD=KaYEh z5z!4EI3FXfs7jqV3cN|~s}|7HV(i6(Q{@0wVDse;{Q|z&XKjc_TvPE#bjs&!3b%Nu z!a;s3zA3Tyd?v%*^JXaSQhsnf&UDNlw)4D(sPO7Wdm1RO7^FBIKD=a9-hw-=$?@ZR zlM(ruL6<()d$jjy&sQe{h>vcbB^=)T&ZqnB;J*ynD?>$yEh%4&lXv}O0is15`D`pW za*&s67A2R(zG`+uP95%1hM=H7LfPKDPP*j%-n>8txCcDhA!)S>qhSN?fvM2T(1>0* ziC*)!;pA%@B*lU^KOo=*hQqCT`ew~hBA-Biy^4g7V)E-|-w}NWdXudQ_a)0i$?~IQ zSul~{zdX(>QV;H6C|S&z&N=WT^wS@WhkMwZBKj*6M&vWRocP?bz6<*d8xJ2Eb$+7% z+r+_DY>tR{;|uhOnv%aZKdqC;z9$+EycLxy&4o|*lCqIdV1T_vkm6j#c_J>HtK+&z zdgIA;M?Eu70i%x)adm3lxBOXkKlWJO2ZcOH(&}>VtsbCDKkLn0aCXqZ-ke>Wc+()o z672kI(hgo9>*n2i6lWS{=~1Gto7Vc%AUgqEtjE@mfBKr9C8?KHx6VJUd2W!M1z3Gz z-|M3y-`vmppTt`sz8lWN%RmS2yatsqN|DU+IPSPLySr@)@w0a8=Hz4^mmsh>TvA(aSQ& z?$8fZ_szf;P4-49C_Q7rCW~rBeuEo}sM*#K&9WwO_B~=q{a8ofH+yvvFdjsn$jmSH zqCHnBQ0!r&)1_09_aDQ25<)eY36C4RQhBV(zrWt1)}YUf$UAtUQhTcWpXDvB6P6kg zN4%=wY#v@)cG*sfW2BwQ`~ou9^aurWqjb4|L{|)jC{Bq4r$mDJ%e*GXANCZVqORh> ztkU9B0kpx0$k1c+FCX)Ol}in|uDe2w(P8uN1yA@S z_9nFK!fFT@;|{%B#o_IlC3Ag2ds({!<{g3E>)whRt~}M3ORF*G0nbs3!-jdP#@k$z zHB_!FQS9bgv=v{U5*S-?+Ss?KM4hN-I+lrV14iV@xJ9WnZT=u`EVQbI%c@edJ>R`V zkGPB0O|CWM|0MDxFa5n4#8eGq7%$JgKBI) z=DTl+3X`mUyh+vO`Rhgm9$y^l>PAFvmRY7JONq<$r-7agJP&0~Qceec(nHO=GmD_u z$G#(&{ja8?rHA6Y;_qQA4Wn69D`-!GCiUa>8oilZjnBsbez;kzN#A$61t-@fIZ0P3 zZU?l>uC+PIBwzJF4qbd~a(MJiZ_V;Ey{Y9{rz9uCDeewZd3uO1Bd551CT*C1VvCZ3 zHTzK8hty$*hCD{BE=6Ge_LwIAK zp-?-s+ZL0|8}v)2)x%TnkxzEeF2d~mgXIVG2xqrJ>PInZx1d}bW8aGRPO)#cVbe zDcXl`5U+}_{zSPHzI$`)&g~sL7PS&W+@1{VB>5z`r;w0f33>7-mxEYseupE$Z$iRL z+}<^ixtavOzn+j2w?HmH$gF$8yPpJqxZnI%M}og5C%*VSX}k9{J_O-A9+@E9wrr|s z>)3Ml<_-q!`1X$0o!iVxKgn*k7@Z>_CeTeWe`+N$OX zCT&`AqggT`917w?lJV6N1qmX_7zrrIK_r<6b8E! za4Lg+2{?_x!32!|Re}8kJcGd}67Wn0YY7;=i61-+vO^Jm!33PiVCHQQUB|#+0?q>X UU$q^PO#~2ftbMal%`nRU0I!z#zW@LL literal 7825 zcmd^Edw5gFm7lryO1idXeEon3W8g}{#2~;119d_k*OIuFErZP?-B6N7*w6?5AR{eM!#jtmdcc8sRuSux66YcxeBnsdU0 z_U)r44{s%!b%JXD7^m94_{2bv@1Zb_ETWJAQK)CBm1-OReSHBTQioLelxnUrdortu z{dvnnPX6@FP0!Z~rSXAuPex^pM!3hFC%cun;28U)>!PISvF>itO(2WO+&_m94fYp+ zHG#-ILutI!A*i#CrF$O5EY`6!FSX_ST=BeWQ&rw7u{7V8?>}Ig=rwpPeq{(6bln9# z@PKW!k*6{O3(#uhqLi zUF5z$UtVMNSZiKT7e}mBvumuC=i^O1`_)g66>1Oit9&Kudshr;$MbA3Yt*K}|BJWCOwJ3$dpDAppQE@8BAmcrI0YrzezBf%VcGhHZk{9XT|s%GcL z5H}KRYX)1g7@j*nbadng8(W;VkT4S5)8d?ic6`qfXMRXpe#Ci0 zNUCme=3%CQo8CefW%Xxbq!}aS;O9cNL(m{(mk{C?{wZ-E_G2^>LOGkq*hA$ zn(UTS}5NO03JVOa2Ph}%l?{duke zAEb5n!uJ{qEqicy^5=mHcU7Px+s~`nTBODh%J!F6r7P{x;5{@<98I6xzV`MtOZ|)d z*5M%M942+{Vd7inEAl;MqGw+;@n?T!$~)U{%IU4wc$%)1R+6H0--&KPE1?`p$pUkC z&2X@`W|+u&>^R1w;x&=hUVf9}d>O2s zLZ1*71#dU?+3=70B*-|b&-K`}c%20jc#d;fwTX4SHc|U+Qhu3G)7hCGXi6=L6Z%pY zjaao{`D{Z*ZyszzT!MeAk@nJ6V__TCV#T~BuO#RDq|%wbu8RSjv_0Jc*oZ{1hln2V zp$?!teO`aCL?*#IfqBwnB1BPYf$#Qe9r=E#be5kuPIU8HAh~LJ!O9Tdx8<0$KnlGQ z@h#XG3M{Azl`K%SmSDwTN$ocywUuuTJu0n>%cM54wo>#f4Y(4x z68nV@r}>{~=OEMVaaRg{tFjuqhN87BLeaDC_~^i`Q!?gb+^Q)VGH@Ai*>y53p;Aw2 zQpWP6jO89FDPzmA(E->;NsGW+#26{|2q+v1Va36n%N-`WXe0;E!tkO>>zXv|398LC z=0S7U)yN)tSrBS2Z_G1#d=;*VAQflY+Yb`$A&Z!y^lezl056hnVLcEZ^PqCKTg ziwH$R_y%vjADS#PL<~iSa9*-SmSInH85ap*Db;JcI*^4{CTnRN_vOIRsKn2882YG< z8{>z>s$B3FFEaE~d&w<4PMyKaPTK@@ocAXZks>5QJ|__Y<$670(4+D?J#2rW2lnV+ z=z-qr^sxOO^$0?TM^WTqWyA5PQk)ygKva}MM@DK9<;;kREZT`7qxYcG5aLJ7rEe#r zQJ{j#feM_BpaC(LR|2qRraA+$=bUcolsv!3JMcn+Tf+Bedj0_XS)w;ime0^=R$jNm z^_mM2u`buw8<*$J(6}sN&_vJ#@V#^$p9M4#wASlr<`rxm!l3!Ou@Y!(9Y?O?%L5Ja2J^1tD*z4h z1`DpE6%`62FV|1&oQ?>UvOv6xtv}FVP|aBTQmkFX8nklRUOE!GXzdb}ry;J@;rNzh4 z;_Bpu9WG7cFVxxZa}6uIT^i->^%DG6482qQz2Il>Am*9hO}1{IiJarY4*S$7J4V^3 zMzJx>*VEML>Rhb%7Z|w^{>#iJ+MAPwoi{?tk09mLT(f6c@*LkC-=xF;b!m(JGmf#ovdJP9lCg$L z*oDQ#7}l)E4r0YN*rp$TdSgOXsa21i(1oaMC_?WkT^c6N(XbGmXDUd7gNW}RNg5lnK(v-!5JiyHj= zXi~S7U#p>)33}a(6$hY~9^0$S8l|$D%FyeQyk%48cLlhYVGnP@9^N!Duam{5ZP*bT zChUH0)ij6D?*QdKP^u>C{dAQHl=zoTcL`@^gZ6#U zmQ1h}mg=19)XFKtR=wVDh?>c!Id*>YD6yDpZ&3M0Qv`RLl7d_N&~=0l4E>xgz` zoL{`K@BBrB#$`^QEi7ktxs=$Y&83{m@NW|Z5ybs~9{XLwpb8@o2k_1v*^l;blI;Tl zBie0f|2o-j2l_Cv`Z96ieQA?aw#V82SbRyV`C*$ueL~%@7C`O~p~G(IaL*Q^{cJof zs+Z1%y>`KZAA!;`E-VuI??eB0(0YE{5S7zwG3tc`4{zgpqwUlP*|oB}FD?e?jqeWy zO~N8F_(t&jMM2}|n9^quYC|3r$WH1o1J9`(c0>79eNW9*{r(#5%(Scsm$DK2GeUiFL798(73Ab*w-7l>Pzy)fZ&RsOWPT%Bltnd* zS?oq`?S3O_$zmwz&eqDZEZuCTwVFK5(ybtm{#D!@sW>)w?8%A$95Q>o!;05?na>a- zHJ6{v042jaOp=s0)V{d6gx9i6CfDPJySgj1psBn41Cyt_Vwl|fM6wRvyYQ4DI*;(r z>oGoIh!&Bhuu)>t5M4y9K%+n1yNGz;fn)F z8BeGD9IIho&P!$h2PWmt?eOB8I2EstUGwr*P~HONU&jp5M@2;?OY*wEZ{2M*M1v-1 zlm>`QKFqkSv^j)Vw9|S*F{kw z+Pj^s_Y8X0f|@r)eX&#OFjga;#)n9>sM&jv-FJu`X56q0k5T05>0V1f zMC|TV8MXpNHy@8JWCZkFhn$_7V7yhuFXsu(8 zrdgdO>gT{8kFrb(`kqY9XYkHyqN_eZKEd_zRiV2A6-w&e2zhmgLw~D(8~TZ6Imvqy zwsQf_W@%`RyD{30u_-+dZG9x}k{R_-;9CKfOS3-CYiS}SmTs0^r`p8E_^$QGJNPDp zsyiO4o*5=KT6iHK+3gK=fx3o5M9Ww7mIgg`T#w+{ri*rxE;nv#e7W&x++9Mn?~a8c z^PA`rMf)N+l<1d%t_8wUX!hLEA~zUqkYj} zZ>(=@Z4~XR&|BAZA;5ZC8!mue*I=}lVE5Vs^?`3he!5eS3HpwL@;PNH(SAF6@VIV& zdFKUKb~Hh6EpoSPy%8D@C7@H;LFeJmm{zc@Z+p|sPNKa(N>1fRT6Vr#kiV8_Cr4Y3 z?PxlVH5}iX7hxXAM&EWnV%4bBX^bEjNUoEO7a~h#5|L#+HjsEW?kb@UF3j#XB8d}m zw`BBWAagZ+M(siLv8+8POAE*dah>$&@PYmD` zpOSELYepYG245zK=EoVX8b!{j`4m}ukXU@U2OV`|jRoT^73|!K_}+~p51OXlpptp5 z?f;CgjMlsB@6cmsbh`ZN0M-EAO`b&TNFovYw$3!9YR&K#C-3puVe3xPjeAas;5$TF z5a>wWN%qh1Y)0%lu21(YKrGrdR&p%IqsLZ{m2~Q{pX%{}X`Xw~->S12UvuW3##=y7 z_bk0zk8J|43B1Kg-eS$duQP8@$RpahxDj63T6)m=>wY53fq2(J_=V}vg8BuN&rt#$^E{8W zC1P0#JM;;RNjAaGH`4>k;89ynmahIt3q ze6X8umh8vJqfz0R91r~&d#n9?EGAGKww5_t5OHuf-X1E+cZ4LFie z20!0Tn{iui=P(|Ueqwl5j}?qls{u2e8)KRNM~PO`)m~FuNix=_WJ(>J^|3ZVW&V19 zOwuSZg{T!;yqcjh315y=+1Q3%1|5j@hm%CpP;zh*525@f`Igx|YB`pAADrmK`v5VU z*s2F>mgf65`3%-WzL`GGo9_!$usfOG!_JhwL#EmS{PGFUpXG7SLvi=QV(>LSb|${m zDQ>96E;cUSk+If*9d5o+k9{X$8W)BRZTgARc&607$)E22iIbkTJP_}q%X1d}IjqC) zCt-yIV9&7F4t zYtD3hjp5gX%?ohKNTVJrNze%Uew35>^+l}Zj~tQNZvY2X9yh)EURo-sS;%4wHEJ-1 zuOFL}U=l@0WaqF;7gVW}$n3t}?nmx2Q_sKAEquc;LiV<~;ps{H?D2f>YEyrT|7Gx# zqCbR8vuDKVDs{C9&ns9F8_!qai?lS?$KfuG*`4XcbPFWEh1orm3Y$$2`RB-xtN>?) z<_+t~I|Y0j)mYVKszWt*^Bw{D0K3b5t{bDfu3sO!anNHn-Jk}NQfBM=VnN*)(u>xI ze(0?2ql?$}=N47>osYi|B=c)DGqTC1$+U6fBl!>5YF>5H@EpGop(}Hi42GfYN>T_O z5&oYP#|^vlA2{OV!e``~Vt?<7C?0fwF!XfM z3hXBL>U6}e+wgUqh~A{EeS>AQlY7+}7j9*_-zJ?zsLZ$B9T&!#UEG5LZ}H>n#x=`t zak~daK2@8iYH6y@o2o5SwU(?yQA)~~jVsbUVh8W1rG{{tht)>-RP54YKT43IkuXCK zCsH|%_?15}s}iVad_7Q6hjRb6`aQcEcQ2_YONw`HuPff#xc&ZZjSPKf=a$`m0CW+> znFXy2Nbpho2o@|P&%d}5#Iod_FA3g;*yJUOw;DWaN${!7i0gNQFF;7n1L*rl5_}Gk z7Wcv=c#8B~{59G7z&Tvd;?9_i{jYg<|HJP3Jv-T~_``2a0{guQJSOR|C+$I?>VbCk|j%uQ~x*Y+S^#at+;&s z8rPcAd#cxzZYXBL*c^X@1yZNhpW7j*0|PWJFa|qyA#IGtf%3TH4JOkp#_Eh(JIa8C+PV>pt+@G$qNV9}aHW?Jvq0CSFy#C`0{7S>MJU zIdZrR9XR6K@XW)LQ@XlW(yU1-&n&ZgSv_M%EHAGAFm8CuSQjEh@f1x)U4Qm@)c2BCzH_Wt!|SI~VP{wQ_Fhs$vnkxzapP zIiI;3krOI+U8T9Va{NOFiWGH?qp>7t%df(B#rRdlR|L(y{=8Mimj%mUX>m~YT2*{$ z(7<(3(86_L(1+`SpdZ)y!2quFf5iBaG2(I1h?>;9u7UeI2)vI7_HmuTj z#ne^B<-t-&E(})mUsXJVy6vV1hl4W>x{P^B@Sy|s_%2gEEN|j}@NcwA!gV4X*fhHK$Xp+K-h+p8lVeK9*XR0uZ&WoLAbm%-_FFnOV~g zzWlvF!OqHX`%wM#_94^LZe(szi@_a2N5)#ABje)-r-v#>#{`F>7O07tF(RiZbFi$* z3snwEuN8A*f=M;$BU8&$Lq*A+YK$btHCS}6t!|Gx=hTYHUgafIc_|B5a4gm&7YPxr zD8qLJ(yd>ImlOs>9;P9Etm zpFGkiT90-r37!w?d{E~Pzoyu#J{MZIuQxiK5}W}31n|!RzfbiUiZ43#4xTl9K+Rak zR`=lP&^q0*)hSpCsuWbIvNg=H6$lOme<=7R;J26E9wNj+k3>xmQ zDH@)Hlu&cZ@VJ^&hx?GcH3NoQNJdTH;i9JhaAJ@G#I2y{*!}Y~bA<{|zTE zYDA!LbH%VoTkpm?5NUsmCchA-U*y*c5j#L^{pMRCTE8eN`kpMdzTH(!Lm%Kc-MT;4 zY`tz-P69^h2M1+td}&r$djIUQxRJhIS!Ho*92&`os(mUaF}g;F!i5;M0soeAjM?;H za*-j5ANJup0jXl6ww8U&XGOf&0)1$o2$kk)4-qrx?r1a30rcs@R){WaFy6&yc7@3K(8BR2Zszuj- zSEtnYOS8**mRpF}Ka$rJS8km|JEH3^)rn1NfUy$bc!|_OAa+rWae;4%`ULPDsS|N3 zDX?~quKjp!7HZJ{pw-1wojEeC9Ie?a4Re?WbPGibMSDS~*z!EelR+nu4jCrthxhu; zcAY&tWG?4HA8PI2(!GmkXW=O_&pc$+dQcz#mSw;<{})l?uR%+HBWf(9##ri9EC=c% zv0w&i5=&lf(^w#H5=-9yI+jYru?#5|>sKsSN34S}&Nt?%sP)WQGlW${-K2;PR#L8= zW_2Ivo{YpkRWYnDR~Wxlbj?~>Ci3J;qek8%d&!mZ9;@@gFO9Waf9f~Jl=#rUM1Eq; z)a;YbySLc-(&&A7(x5$Nj;P5l@wN%qeH|_=dAj)5&+E~?6&tTvYn23Qmy`uU$s%0$ z_pqmA?cVS}v-)6;A8mPNy}vZG{E@wdrrj1PdrYqpEWr9TGMrc5Jc|7Cmg^`za5PYY zh_;9NP{TYm|F|K-ylW^VnSR;$}?M%rsAtQwUyV z*t<7i1(16n?8mH8SMA-KAG0>6FF5nUBFDS8H|lY*%JoIAJS^MC)lmNirGK!xV+pBA zVR>Sp>%K1&RLL`4twl|=MmSo9hkb~}YJbk$9?*U&oR3;f@6iqUM}VTyKdG~|vuNL}9-Y9c3QsMU{bRrx zT{~%RdvI2U9|FfzItzQ`fOBJb7dX!!sGMVcShVko9@*ekhKYNtu(zZrG)OZ4)6vQ0 z^5j@k*47NlEtmaL4WqVVO3GkbyGMS$K7Q~wkjv0OnK{_AHvw2}KT6JP`NCrDNR<|DIyuiBkb?UYpbt~F;``9*!VnEpJ(sRexyRDFsnAzSsk#YR% zs8up2)K10pz|s5~&w(f20L2WQVw zs~ZuYF?kNCT}M4mk6L`x?om7IMd9-Yu*2BCv)&g-4O!SPjSk5qtAL&JW zsr-7kH)Iwjx5^hXfED#ptgUB3>ibu{s2m+y8CQTcD5k_)QduHakPd)`-r3X}^xyE} z(f{F2`xacq?egx| z_a_XGts!spo@;#D_9yt-9lE|=fyBl<0-?)Q2EN?DWSeti-sYpk)fiv zk)c2w?ZyP}UN3KdV}HWnwu4J*i%Mc@?h&9&Qlf+-e`kF{zz8Lq?FM6Su*9ey7OISS zb$yEJEyHTXg(=u)O4_}!V4Y|0DRO7MAARcChOs4{z4^%9(;!xR_7))bw}wIBbwTd7 z1_@pvayK>f0FPt&x`vaH<5<3?As)SzBkZaMbM2Php9-e14$Q9nbHz7Bp;hGZf-WAAAZnzq`JCK{yfHvN{3c0fzMBY@!TUHn4K3UePwz4=OIB1026Em#cp&Jg4*b@`ez3hqdK_N>%QD)TqWB-ky zQF^o)1h=+w?h~Xg=n=eoU9XYGp1475=eWTamCXs24Z0C)#FJ|On}+Y=kk5FsEUD(* z{WlsHl@;v^&ux@b&z5JQR%Vb?0kpG}CsG-pxU;imQc;zlo>HswQ)NV>ZBT@gjXh;g zVOLU=Yyq1pSuitP=Wnobh~)YsHiFL{A%fLMYy@ec(tN5+)`+~H${O1Z`|x&a_ZD>@ zqVDttW7T^U_nd>U3OwQN88J ztcdKu11M)9-jC{ij?CxE9)irLWEqYuBO=?EFy6-Cqxu9#mJpF0c+kP%qk6w1^G9U+ z(oxR#m+r{YU0F6{w!dsgmK~8Dcw}nZO&`@4v~Qv6RzSX|OVZ2e-_h-WzTe>OLe~ z_q}T24^%`q9^Q4);E>;M$`3gG18Ho60>$5b@aillPVW*K>L9HSh34Gt+>_ z;p$x%Bb%;SE1|+j+Kko;5fWFgs6Od(UU%bE>N0k3Dz-`0qo+Cy<=*stoYXEgHv8 zN-uU0_j&ItJ%6&S4wU=1ICpvq^GF{^Q`!>;(dvtiRinCnnGB$}AgT7*AXw{q**bp6 z=j(GyMzs|k>#EbBA4jZ!wQ55n59Q^KdZ=?YvevuKLq=K@_244a8eqs z+Y=7w?W&M@57!UDJtt+}(lGQzZr0p$7N0Zs?zx}M&6?+pD(lRC#}#e&#o6m+;iOTr zeftXf@aN?z+ah!~N{G-C2WxPB^dRpa0x12+Jlm%TJ$*!(gV zQJjfU=o@v>;s<62+KABhL$p0>@q@F|hCDQF)?(Rz|p>2l2v=yZ83Rqk4+*}a%hFJ`aPr%X2+DS zg3m6Fr!T;py4VU(OewvY*dHqyx}o5 zy+)=kmYvF~-|2s1=MvmWn4w-nhZYYVI`E`ZP9FLPpZvD1bD(m<&`wkuZl5i5P&m4`%^^&j;*Ck;O zuJ;`^Y65|9-APB|N>|noE|iFvr=f{AjK^$|aYPp2bRajjG^-+Jc(`ssm~%*7J-H&+1XqGP z6IK_X49gKed)~q6(_+T+4%%beVGC62O+T(@Uwf`TTsMrX4)kNdH*ltFB1xnoT5yt& z-+xkv&qP`v4t^gD%L0EeR{2k;#=X{Icqumz9GbtX*!qwyorU^(@nl83x&iiILh@~t zxt8L8ao8-)C=1tprm$+1i_nktB9w;o6wV9ZLLJTvhwFAJpCS|s{<_1Q-*N8<9&un| zJcq-4Mk7mz;E4?xn@rrdgzG|K6SUbN3hIM0FQWv}IP0nh{P+f*co@Mz1!iD%a))+- z+_%v?{AgXlk)w6>N6PX>*G?{$B?k0o;h7T8^Qpu0yu^~Cj^@sZ*wZl{t~{>*6*G82 zPCPgp!XiHbF+3YCJP-G@N>YyJP2sx7!m{_n>z`U*Rs8FR6~HH?_7b_J*^8?-7`kVWzX&F zvnrAyy}LNQ+CQQIyj6J&n0(+}b6 z*KtgV(1t_dx^HoEQdSfQEx|g&{_sV3NVy1odT7lsju9Wu_$oH~YD%(C%Z^`cV%_tF zb8%MGv1EMPs`aANzUqoCJc|(dnZWtbQR}B6#!8Ve^1}&F6({WgC2thI5phGtm8T84 zx7f2C9I;fy56QT^?-tqn+x013cI^yfZBEa(&oo57A9g-*?BMiqNbAd=!k&hfmW$wo zNU5K9at9Dyj`PRM$CUFp<sD36r8s9`3R_`HJ?{Ho2(OzwKW#P1C zNz8}717a?9UVzS+byk~J^|HT(BnRxNme?f<>=FgWFQXQ(USFKQLl)%kOzW7xqXKmZ z*9B0H<1ZWIfZx5tfgwZNKGRyB_}2bg+lze8=6f2vKP?L!t@8sNj=!giYd^%=gqk(Z zhRCDep>=y1ID2MN^9$1m4%Zc{E&*6)ek?eKF>dZyC(54Xa%>&gMpYt2>wU zEXh%G(JVZDvMJ6u)A%gP_6a``EbV6l;kwmFJtc+8<{d}FUA@6@fj1~seXea%j;HuU zIx{FXE?t{cdQSP>N+=XQg*JE?- zdG*#|`p22n;H>f?`z#Yr9EJ?p=QC2(cY^cnH&V*u!A}RBh3^bUF9iC-VyW((iJ)&I zpChRD55}RU4;?j2%GYtGA%RGXc|4&!#k+iTu7 zvm_RC_$duC5L+YrNt};~PM=lFzG8(~B?`7zdlUj(hb`P^UAr~~+T9QG`pOYL>*PGX zJSPcJ2am*$3Sd-;hN68JPL(ThzB1of>B}=$S}Tn_`J~LD^zRMcwVbom<0aVq8DqR~ z<8?S=Pb`r+v^%ZAukN6qRaPH4Qnc@z`EsS8ojW~u$R~}Q7--$qAagwUKCOYzidP)r zGus2zF>4EH+p)`dtr8>02fdSFRVMp_uz*-HOHA1EkQB<6al_XnKE^8}9WqYyuzLUW0 ztDeJ&%2T0HDvC-+LFaT)dGkaeww?#Q3L#Q2hwLg*`L|W-S)Hi-yV$z>eKGCw&+s4! z&+)_|F?;5e?$hSYTr_*9D_a>ovYRwUw13R4X8afiF>p6u?tUe2Ge=0D1zx#2Tc45FUJCPonZ(=4iKb(=($1$L`+Icu{7uWz0Z`10lA%Zf>DN6ITn z88OpLetEuyE%T;Cw#i^D9)0SuEB@2YdlKqy0>#g$$Ay2sp*_et2^Mo8Qp00ec z7Nuqj6ql#YMmFv?AF|y>Ax1w)S@j;S@n?aIMM{ukJmwd0pCHE?z8*p(KGYIQ9cV1+ zKe+sWl*~(i2GY4btlSPNr7ay4TQK24)Zl)KOKR76A(+g5q8uVi(1ZiZFL&FZFbaMS zBK3ssp9jT3!nBdF8t#)qo<0eF`off~3gPOR2C_ZU%^2(7pp= z8*4@qdp9nV!Hz||;!JWL#H9>L#61`%1(WfTj0*u~CS#3^o77zjCUc(=xA;pTn9OgD z__Oy5!DJ1KuYOwyCTqCZ0aKQE2o@czv!+PZ0XbgysWgOm5pDYCu|9qW^uV_4|1g{t z!LqD+0$11XCJ#oKm5`cog*S``84HWL7Yd z9b4{~yU|!|I9b&iEow)iD{FnCyoEHGrpWthWFb&KnuJ=-;8Tev;SLgEQT zgRn+s^oOAxdxyoy9sZ~?$Nu@^jShAqzSJs|XYN&

#&^nIZ)I;1)#lN_cgn!KP&>}NQj20~C$R{Mi?r7BH2c&6#iefT@y&KbvGl()f`Q6_4R~T}Ho*mogJl#o{|+Ww z(8IleTO@wT5{sf-df=i_p0=AI-F0lB|6r+gQGSY1D)w9s;B`v3Z48aav6k;EHGA$^ zM>SQS_m$c}sKu&D&ZcL9R{euh#NVKdjutf~e`p;K)Q{jK@d#u6uN6SvuIneT;P1$& z{;S-vvvbjx6Fdb_LL~smWsE_^G{HlR?*iRY#dNNs-ypq;Mr`^U($}$OHr)Zf5*~*+ zR&Ef4h}n$8J|tni!#vAj77ff735Oi!Oq(f-L|hq~goRNMr0^xM&DwYw%uNtk7|60} zJKd@<+9}CSxJ^=F*t8tm@(c!PQ;D(AewKLHbO5wS98be}Foe1z$*LYO;`FZ=x?2o2 z7yp(JHpRbN%<=H=1*5+992KR?5M9_KV+O1oXC#b4p~P2NXt9c^425LXTa2m3<}#*- zqhorqSxlA3jp?t9=?Yf4%8jXua&hSRF+IST{z4#MRWSurfp&<&o;6>MiOia>6W#Bk zl!mxU-?>Vcu%E=jU1UVhbd_R#j-^&p>5knfGS?;c;`kb&IQEufGm6FDWOGMAF9`ZL zsGfyliG3K{+$aMLu7SBe8aQ%%1AjrWRudWc?aF{XleEeu=g}yR?;OVSwlc@qcO%KF zM-f)+>D09)1*8KE$ENQD-STDDc$+Hu9_cG-$)@8m^6j2%(;Y!W<+pYXChCx}1;Q7Q zGI=p0_exo;W9u*I_S6p{u5<{Og~E}E3MtRC|iVVcI3{@=#YK=aw(Y1 zld{9tNx@_e$ez7I3MTW^>}PM0g30WYeFFM5lhs|E$2mgOVOeoD>PP3<8}&7q-}w0( z{0u!4dpqvXjU0|k)4n0b7jKA7`-a%GZ-`C%hS+p&h@0L8OI5nta@g<|Tnq=^OD;pT zyzf@Pv@kx$m)UDJq%kkd0)KQdvixvX!KVD-OTe7b$Os}E`@&2zsd)+_6>*zpgIyFTITQ@ze%jX#b_qf1O3Yh3ZGj z(BEii5DmS~?Da5Y+kKsOUm>Yhj5kPr0W$HB8dh)B()B~P0B1lCr?&%9?(jCq>+~6Qhp}YPf1UL6a||5HRA>% z#N@=eP`yX8+Wd6Ex2#(4y1YjjxdcZ1OVNPPWN`JMQ`XM`eXo*d;+P@jf<-RfhqMaf zJ|({yH2&E^+y&#&$e3{t$>W9i2t&M=`1ds;A=-C9-20|1V(|Tp>9G7fU9QG)hC^?s zzvN^BF~cod4xX$kL+AImr0NWVt@<5Q{p}j*l%%L=heEk&w6l!kMLQcYB|pn(SGX=u zQ7%{0Wh=T^VHATi04~x3jkFvq(tRX1xCJXz!4@YI)mj)vBP))?mcXyJ+qH&){EA4l zz0tXOXNG7EUsv>QwcS>2KhW;?U}L&WvS^~kM;wWM=);^cJQ!SpCPqTLCkWe+UD-3E zEVTG#K8kjUDtZyL{b#d(bTL`I&4@{~cz_ie9Hn-ft2S7veMzCIGC zJL!pLM{tiSi}&MzNG??t(F4(x-#{%*IbNy^V9(a79=yFs8N8UBu6Q1n$+)s^VdHd5 zLS^hZK9dHr4sr@PCBG@Rb!4u!I<$_=wVsn&N9J13fYy<@)^lp>$Xx5`Bi@2VOFRH$ z&IDV7BPt#<7N|xP;)Y~Q~yG(CV86 z`3{nsUGjO5suMm2(>$qDqiI&Suxq7XCKj(4GgCMNmkwFhSNZY zZ^MJ?xW^hX;|yr}2Qik`$VGOU+mTPX-R9E3zT+DRKs7a%HSeWnG8(aih&Ryn7SqUy zO41giC|ytou+2BSxSB*=;Krk zGn+`Az@j(Ebuh~hO~@)zwv!u_kynpz1i(htKRj1=b&rn5oPBm+Ibh^ z<~TnIJARG_`J?2{);7=&Bh?P%M`fT#3JjbDadQLzp@GYZa}QOQ#VUd;TmwDSw0S8F zK=K;~PC_w%F5#G?43sMan_UBQlz}bBH?V*Pme9a+$^hyKEP4N((ur|Brwo{wgjEE* zT|hEIyh*=ZheBY_ORZQ| zl{hz2B1L2b=%wGRMy-+5;t;HiXqAYJlc{xag{zg>7si>4u9@BO#bk8Jj7)E4hs+Tu z!DRHv+zug=Q7F2!;zY(9KxZQR4^GTEkv*>P5n?&8_$e}xnb8xOm2TWviF$b!Rl?M* z%nDEORmk7Lyyf}NO~~KPywCF)`kiq<^9i0`?n3_I>yY;oAU=5UTI|)v**8M+D9?*6 zzwul(zCEGq!FqvN;ko2qKdB#!ob>@AZ7qP81wlSZe4CcVpZdUc(Rp7;_4XW|s z5$f)|0YLh0TmtljaVq8*v?7VHi5Q>)+Z|$J@_R66%-1 z&T>xOyrt`cZ&~$sluaCXD+QHcRoDz1QHk{4mi$CMt+ zIa}f6o~XS%Rq}2u3v5}%gMI&Hz!+Q>mSg<=36w*PO(|Xqsz_4Lxa~@jiUmX@_u?`# zOAdjVZpzF{5T|vb@mZ3M+%XOG($$KlY#8YVG#TWya0Te}t8WK=v7%R#R&(qnDvWPI zx3~!A4?(#l_C1TPWQVDQ>4evb)gERSK*634IG-e{YA8V+inmgc|7-_7JE`^kDD?|V z<)s535ApdA$w4IRSj%5YUH~%VS{Nq$C&Mhfdlz7#3uTFnzky$m2XtrIe2=*%j|y1r z4vLJm5SkcT7uiB%A*%2`cuC-~3ZO-DJ=^a=`33kka{HidBln;}?i%>Fk$X@QxizE} zat|t`J_2nc_pqY(kygk(qL3TV0&f2U^RJ+A1i8#Zd{(zzCSbj;LKw2@9BgCKROi~R zicLhZ^eUq8kurN7=!|rzqm2X)f0Zfl0^kt>HGT0|&Vj&;+bKmx0i*-vHwGq{R&4} zqOJ^ofwGTg$oPvR;X@BflxKO0$l!e-!|di3L8Cj$!(AR*9A;WP=x8f!;5hR_Oa$^o z<8koqq4^2Q*f5`Huy<`CtZN}AtSeoEB4aangmsMy5 zN+&on%8k-l@V%wrXIoeG6PLwnom4m&gvhPMo`!$n(93`F5O!|o< zCA70`sT(P~=?yLqqsOyA_y*5ql`#Zpg?0 zSd5yC#Q?`JI6$~3nIB;aE`Z@SHk0usL@$@s4$UD`}K9a3L{UG{-y(pivZ zInq~~Nh=`j;z+-2CS3?=kt03aOo~M^qXbemQ8GY@)MFfY1hR|EBg%{HCR*kQiz31V zk#UE^J0rr&5G@{ec#ct#`B&}{Ud8GrL?M!_$_5~IJG`pep$fRBsq>Ck%{Gv2BkpQl zeoe`1i93rE_g5WPTsO!g?qAxxDBRyh4JqZ?z4w?BnP_%(svNx%sEI7HK(qU__F9ZH z^C{k$@@aWwGJVsHez%G)H8T?J9z~xD+Ku*NC=-b2XqVf(sAzwUiuV3vO5`Kj=Now{ zPHrw7t0MCVZ|VYAbg(=l$*MVM02|(bRSC`{1Z1C}*!1<$0VYBD*Z|qBz>5m7z10Zz z$Y#4t*M^y#5R0Nh&BBeA9cqzPDTLVIhHBF_(V=c?H0`$hr_F-F9hNIS z&`eqq6>2P;J5_HMYSypxv&p*m1Wos^k96=0zcWMO1)KMoRc?E5!Fzn`bWEYBX8F%!O}4n)wuW+W%4r zS0Dgc^>$JO;eV-+Zf3~0a1&_Lo1#N(4P_z{9pcG0FDk_CQ3#*iOo}J3s>%#@R>WQu zZ;8W;j0ny*t0H>&m~UiX+W-X{U1et?8kp6j*7ijIK5sW-u_KBck4(jBkKL_eJ(b{o z$4Wnjbrf11L8r7vJ0)=o60hVvLA&h~K;dIY#Hltf3IxBWv6|x=OEm9D6L23a`zg2Z z?Cb`EZh-w%05hTkc%ado+ml~y761->l{4b(ge$Uw9AL|p$+hVFH^HH-I)FnR8x31> zJDjC$XYH4Jc+2|<(NMkiW>vC|!Mu#(oNl|JaHLDT4IZc4Q8q6MjoX37XOJ?OvZxZ@ zgU5Ef+~sj~j;#?HAxHaGTVgJ(#J1s(!+bcxTn45OJ)U+qMVM>AOm&#=N0<+T8E}}p zBFq|$kxmX1l`t263p-gGIbrT{Tg09;Z%>JUv`c|-aW?1{gmagNcVDYX59QwWTSdPG zI{hxv-z)vSpxrJs6~+kKB8NxNuC{qmp#5}A3ogRmCi7?B=8y57f~b!GI9c@q?qKcK z8{@4+dnUI5eKxl?Hr=0eHJ8}2Ug};*x|sL4W4%*hVI}DFLf+Sp_i`t>f%IeCd7h!@ z|B#-5vkHeEh~M+3cV-l4D)~~Xa!2|}GwBRSmqE%Nv&Zqq zsb)83l0o1dRXp6a;T~@vcBqPnj3bVuS42_(iFuWPaW6(93!N4bbzz~! zDCBn}Ya$}v#;Wd-??|d565hw+HdM6e=13Tsx?%NP!R;{bQ*H*% znd6E13o$&Y-;8)L)nh)ed&&zj>?tdNyxmi5dNXO&Q(lZ=PuWfSMJ^aG#<1nm14yc; zY>Ht|IgzyLDK9JfOwy{S*z`ius;B&2$*(7^dWucoLt6Ee%}TxrbjC=8%bsv;dgBl| z3q1COhizU|Pk1dxWRyG7*PBUkACz%9q->5)9D7&5$BhmzSe!3ryypluIs&&6Ew)2K<4y%c<|^KzJcijrI6vcg z8(`W!;W1w&xSs|hJ;A2U9AE*yY6(A-RquCrY>93*FRCS;_Sq#U9o0+3i=2#G9ojWM;%nFV;ICcdbO|ZWTVtFb9S5{31Y~})Pd=N&LzgdFBrBw4lE4X{WB zFckqLD$I)1qk>yWpZ6k44N~&8pxuzlP?)Gihx8Yl=YnI#l^i#u5eOvV&<8MXKTu>? zlT=uzqgUFv*^)09hQe)@3d`34xPAOIN?xqO>Pn!EXikp#_wRPNWdFZK+_R`jpGIt@NJ~8dCB37O&ME+1 z(@38V+U;1I;NaLc`qbt{wNaAuTbJg2oxe&-QNLR9@AwcQ@c~NY(Kvr&W_PVqRG`o|pfAZ8&=UEnkA8$5d?s z$_aldZbSB%ax#-n)Fknks?D9zP8R;5lc(wA1CAgZ<>FJQob-u_II$m9zd$D+zpAwQ zLbfN`$!CpDVrhb1px)+1b&&TByY6>FBLOC&yVPwc(ZS_$dSB@L6r>6gM^mJxSd7c> zV=v;LAw+J2KLFQ;WS@ehWv3{d`5WM9UySZ=HZLl=x~S-$Jf_5BDDg@oPxZa^QE?@_ zLHOF4k}!B zOis-QDkpcjP6jF`Z;*Ak%D83KnP_Dj?kUQtr*ouc zQg>l{jb;fzW>lJ^-10K7{mS%CTK%5Ul z$~eDpOEd=4Q^c=@__yNh%{coa&fT1!o{z%wk+?{l&#O4^cjJ6s#raRh35P1qVNiA& zP4O5fA4oLC6N{FTGR|dgiN-kp44&^`ZIU~q;yf)r66a18=g)4OJ5`(+U83Ur)%9ve z!Z;IQplO_6Ma9|0mi~|0op}D0{WBaD=K(j)u!{3!#AT1Xu!?hfbewm&UK``w*ep)V zYQ&Qdf|_;0Kj@!~^RZ2c6DQ#K^hT0Z_a;Q}Y_FEbYuz~8t6#FLkB)O7l#gxq^K4$! zIKm%Mx$S9~Tv8zAbIi?ifeJ>9@v_8t?rPXV1qGr~M~JHlDw@aV}DE2D(P#T%_V01=@}C zR@ZA|oX^<2C_HhD?&A5LTcQ!qKZ=v>ekbp8?vKLrPMiwa^V0n)&WjP3jpzL;&efpZ zIQu~P*mghD=0(MczhZOaT;P`Y^Kte=oKJIc*%lS&0GvM9ac)y_zU;=iO~v_rbevzi zUK{ajXci|PDY$WF!~C)1=+EGJ$Ne? zM{Hgcp8t)C^G&zJpU0E!&Oi5-S)P}$9@zc!W`6|FEKf0_Kf{eP%R`My#`!wbXM^Yt z40&j!~FlI-5=-nvmz?aFWopRRGj~D#SF1StBQATquU2th z7#-&cP>!@a5o5a#wRur-;;)NcJg2!O8sq#k<7mqJi1W3mIJ=^!*m1t5;=JCC^EDOc zhUhpyaJ@F-`Af4n-;Rni0p|Y)?e6ZE`t!W$=cqV8cH{h6#rd%t=g%rm?griQeyQuV zG0r<}UKE~tqvG7)miSY6x^Z5~`{xwg_XtseB&*Iq(}g2pyre`EI@$ed2<+3# z77VRbQwu0SiPU};Yg^H z5vkMtbhV0gs{Jo@NWMw(rXNABAsLGHhpAKfTNJ4_YVn25i>k>Z4koOBGvSlRi}X1} z`rAQ?2yC*{1&q&{;WH`y?jP#!*7l2Gqf6DMLDz5?Qw#{P52^0c9 z4p7@M$kbn9C-wLS7B58LLJUEYRReHSkgPh&h3b_Q&%<)^hCND)p1vmiBRfU8WFYaq zKtFlFrTc*PtK;sARQai-NB#=AO)moNMw$y}PA~e+NX@u82rY@o%GELh1CaezR^9Ff zxmr27fkp!ma~E)_W0d`*3wf!^iQ8so9Daf!lGf4aCOI4$SkYnh2iNE(WppErj&Y2> z7iDzPZyLpUrAR8QgHeyHgvM@VvE5bdA<4qr0Mw&iO;54KzA@AB?s@&y94ZUtOau4EFHk6OnRQpBDH# z4ZQRTv>W+Hf9NC&rjwS{qIe(W#D-%CmKz(6KFZ0YXrmn={Czlh{+smlUKl+~8LdQI zvg#kM(X*7%>tWO%&wf2Y(HmSRXxvq{lcKcH}5vyh&Ngaqm4X3}Sk-=0IwIQ|_|l9zY{Rd6?{;1jYm*9 zUk2xPa5lZx4Q{)Ve-RyAe-v(t*QZgzozqOZnoI=?(w_Iz;kJ6bx}}|vLm+CZtFD~Uga2W3tEEZhDetkkpyAbSmRrZO9y{N2q?RQo7e~CuqFH!bcq

yVL!#Q3x?0KxX^8O z12+;QfuE%eXX1=Axif$2d6tD^L@^Y!kH2}E4T7hak&0~p&5BHfqoh7u5|<$tf&D_) z$ui|+hU;XRa&kMJP@PUjK{?_?@tQTm4RrDrZ+-Xzpvbul45Fa& z-n}h!HfPt^P;<ekIyTJd}UG1MrY8}I=PPUUx%6%UgwIQ?VsnJe$ z{-Kjs=wuG=2jrK^iQO*eq(q#2shq5!lfC~@T3op|M>{zc%7pCr?ecFrx$83&Z-{d8 zitD67Ioa(xvFY>yQE)xvI6>c5{i=E68`v19Eacpk?PZMo1dwD^EA&AdGFwsKCTR{FrdW}L?h}TZQuVya8nlu+bk2bpXM$k>)U1Ur-3PIs%6xe@W zZj23s(}SwUWrvaNd66om-uCOf+{n0$rFt)=z<$q>aT6wD$I+Cksq=+=Seda-MTsPf<&q~b_|K)UCG?eL|LOGCAk)D~ zR~-C~O(eWPy#`fWjuHN`bT!u~fKs+n!d z*a1~475n)FRcg5^MbjU1ANHg%4N7rJX*iT@(@!d;(J-Al8{*qxIt}m4!-VAjn1KD= zr~tc*Ds{1I`tPo350$|2PPu+>|i{WBQSL~FO}t50rpX8J}lqmVgM*<8vP zLdF?j6sa2TaBYT^&05+#A7YwHzX%!D;UCZf{Dv=Aq%H=p3_{Wiu$l0`&kmAo-r?Hp z0NmX z#P=$QhZ)3`5MK>bsmqQnKc3}dJ*&XSKg<}{yX9k5cYI6X57|v9FZ`QX>6_$QFzoL8 zsjGjJWIy~K`YmQpzl2(gQ6i=YZeM~r-a$3rIaB7r}3F|iYEmar=ML^Zc#5o#z-$<@J&Kp}& z#(~MfkTL-o_TZHBk>NCuG7T9H^^}W{;dGKxfea^~ltsv3UjitR;Y^ou4KnPkDg3)h z_OO&&kl|=fxdRz?hLpRJVS}WsL5A}~`s2v37kpudWcUIi{UwL@tId-|A~gsWTLMvg z%+6{W^raC%B((&@h^!0Xt@Rz8g?4rX+hgv|!(TBGh}Ij3VofXf@tEiqf$Yn%CNY`U zW=qPD%1o})?ZuU2rO_@CHv%iBLla-(S4y-d-1=$bai^*!AT@4|VCqMgjv;&iQ zy*PyGS>jZzj?NO@N*HR1UW01!!{4rLzx;QW=;rIP^QJ-LO_(xz&47_V@zTLdpMjot zF^b@63a-+-iN(E1(!gr_0rHkG4xlRLRo6f>W&(8v>;U2cka+bmuD-88-UvR&juy}__oIs<&aT0|dW zcIzPYOEpj*nur2FgNz#vjf@QeGZI%7*=CLB~EQr2rtvYIx_Ajwz3@YPP~V;SLx6eJeolBRlM9qDDRB7f&=D;}hv3l&?0Suk62R(Kqm zyjPP|{57rbS&;jX!Oy#?)0<7N9*G9j)WYe-x~1h8kTH4kT7HW!CU0KLuJ~f|v~S7j zgvq+C^#<|9 zWafGA!55R2=jA-Z9*BW+he8o;-#Vt=-6Z)Ema*p63|^^4a+{u#))%b|R3Q&S0Qx=-Y6DhIBB9PJNd z_rOBTDv)??Am)Nl-tp}hu~lHtWn@nxb5*oCpB#smp?~AU`-yyISRgpr$}=}WZH%%y z!-#}Rde-@TeWcLP|X5Ry2*j4=c2Y_4Algg0X;%OKHht8f3I5WAOsT*vv^f z6&~1AevAaYm2DiG3-(HeJH(O4Ohe{Aa59h(GHMJgJmzaky~0r!DRkZwDyh5_MUEZP zcredoZcdAgCo3PS*tRqGcclLA@z3wAxltoEGCVn* z<3HE|NcJrBG(hq`2@?Bf)N>_b!vO_8w)meEleAC^5yP#uoWVwX+O^OF1%2Z@D5w4` z^XtKAD%HDZuxskQIVhyQewDam$%Z_ z2G`ehuCH5*At;75+t;o1HI>F+hH_Rj4HoNwoggx);Als|z8B}?Pmfv($ z{za8{K^7_%-ciUMwDKN!>G+magwDGiWPhl5KSQR3x`~g&9Fv)w_+NB(CNnSbUT~Pq z{KOsLFq!QWmw>}$o{)GhzL?C8iMt?VGCL%W0f!0qL?6H(e)N{=_NceO=L+_?)1%(S zk72H@M2~8+H!7<<$)>l$|tvZw~Nj_Oh0 zgZwMP6dvxWI%{p04f##$E7~Fn``uhkS{o>Zeyv zgmfp!n61E3E@ipIp-2nnIMhj?yj@`U9}tN+wRR+}hcc7dA&Fg!$?A|)2K<;je@z+y z*G%5_N$glm)(J_UgTrK=kQAAIurYcEEoX_CG`C6PFre6^+ngp10lkh_qr%5q&i~9L z;oOVPMJ1Zvi#jX&jTAK9qbJDGZ-MJ=K*(||`;PEl%E3O4UBU7WW`6t`$oqtGsTaf< zT#RBYL!QU|t9LAU7uW^WJWxh99*(h$2|QzY!Ki{`{)xM>lolrkA&r~Jvds_64#w}&M`Qe zvr%M{^1z#0+rv2qJ$wp_398X1swfYDPEp&9Nvfnw*v*zcPgOQ;7*@|$X!d+Vjr`lD zCG=xGE>L6-h!Z=(RSURJ9F7bjPa22J3wFF%nh~tA7}s&KH)5R3cKS-yburXVQ8A9f z`fSH&OGd+Pyy`b!DHLu2opuY<2crV~Kb(LB2Y%8T@YoDCO;6bTh`4(gajL4$254Na zoOHAzPEr*RK{`=S7gAMS3(>pmL%1}9x(h+4tN9^KRrY1jsUv8)h0>Q3T1=b200((W zI|OM9HMz7>bkYcf{D~9Zf1HpPIbevi-H@r@pBp?JQk;z3q%8$-NKwe1iD5$|uL*Md&Ci1ZD{43yYIdKWF-sA%6v(EHf@ z%T*NzkUpLAn-o0*bm9=W;}A?6Hz4|&H#^N>$65)VmWxKMit25o8OwxfZe6&1J|X z&{z-JZcda$G+(hUs!v%;KduzvZh$%V-5X%c?n5GNtHXQH<{{FBBDY7jNc#!`_SApc z0*`4)5n!KNfU@IM6OL#9OZwSSghh|(Gk;S_wBouib5*TG0MC+Ct*-M%YL%;^9Em9H zTIH%*m4i-IEu3#ZraCi0wQqYxUCTPf!5Hgw9!%MNN2H}YyhSz-bprONQ&K(ze8D#r zIdocavmpnls0tw)1s-0!fnurI>^B2d6!>rYl4e87|2GUJ&A3nzaFD$T!)+Lst+T{Irzr^!!i{GnKHS+q=>Ayz;4|Q-Pz3L|A*x<)AO-a9FJ`4 zfvns=Ig8pY*7|Jr`p<0!{bonjYAY}LxS9X?3X``aoByE;lXs}N5{O#j(R5tx|FZQh z%z9kR$M_HeKI5?p9laWBoxRqY_L|-wlBPShrrR`IZa?9*w`mu%#BcrAt{owW?D*5o z8@Ge>@}=hHtr+si^QGqI9n4!^zSP{jn|Ys?FEuyS5BL+je5twlFpK)JoYT3&lXDhXnJTQ(`?R0gGrO1+rl0MIE4@vJF{^Wq<)^e$}1}& zw70&kSzxmy49gAshnX6kDiPzPG^}10Iys{Dap}tI;#iteF~x56U(E-;Zu-_t67uBuK-!kiunoV6L!TWrR;{K zNpSdUbPYJ_@mTS{kTLoM7;YN^qoA)e+!jrMuu(?qQY zrXI-3AwxH0uq}A-E#G4c6hgvpS@kW-+4Xym^~*UeQla-*q0>Ox75X63Ql~l&w;t=T zCw4H9&4Q`8VnQ=PjOoS$x|zleto6@dbKJ<^xi_4w0`!G#`0iTqP2u$(F4!Zo%v@Anv(O+VrTy0&)r_V<~Xy>?;UG$pK;9XUxGYgHMri1>@FRd z72XldcVga(Icv};Av-hg6Tb2IGEb+p%WPz0d3z+Ml>zPqkg_U{ht6{JY%mZm7&~!| zp9k^@WJD|vmu1BY(6}vxIDtnlvXW+>2Gg&YiKu@3dXOwE64P*MCX1-zF%vu@AS#iy zgr}7Y&k4{VJZl_y&cnP^zVv?rPh=u@j`n$5C<`_t1Pi`!2+bQl*=wuI5Hdx!PfCk7 zlUgU8*(yc)TdgieJVriV;(IE%K&hoLllsN?j~~H%LWhs|JgsDWJoyrQZ(@{E3&$Uti`xzc|zh|@KQRyz#`ar>kf_hjnwu&b%Z6dy%};XdOi zrArPR3^s*lhiGfq)-1MMwW*SeWU%}2-24LR^t-gv#h|EMYV zFGOqW3FBFM`ltgm{6eLF7aWr7Fr_X1uRad+x%8>%;*mDI`P4!NcIT+5&7 zE*XPRUGf<}Dv0xan`9a3Y)ySzRb2jOk=#}mVtA5NzOps;^~brsbiY)|RDi)#CvTWc1)M8QGUcIZ z+GHej`HiiCZ*2{vOVYr1$=FA`^#85~(j?m#@s5|I=v6ySX*da9Z=19W8lQWSX?v0L zW4*=|xw-ri)(N?O+L}B*ZB1XuAcad@E|;={my3jBBt@++95K05Km^I10%Yo>keA#U zz7Q`+1~1p{{cW-!o9=VrerLDh>e46I14FknaNh?4@v6$@!!?o zCoyY(D`x$3F&oKN30Zu$`+v4DZv2*%EbS(f(?_R)%ltQsx7F(kSGIax3jdmp0xz!I!|=%4H(pSF&_O&|ZUY(I+Q%k9HVE=*@KVJ6@3 zllT6@k~}`wS_)ezDL?l4G8ldJc`*7qnY^L)RLT2&F_$gq|DbUuk2A^gZ^oHq88}JP zROl|8B z;X9cI)7sVa6Fh~Ke9~_z!=$L1EQ}>jl0M(okS2ZX@i~A0O#n(V|NlSIsK2{CqP4q( zmMk@=SAH~i3hQ5HxX5p96G&;B095ub#c1yZt}TQ6uj^)xIa;y|HyNW}*pR*Rx`y~H zIr^fhrOba4QT{<@`qBNq3>5k&(It=65S{r?{V`cU`?Npc4)I@zkXqn9qDxu&zd6Of z*3y6IrZ9P8NWQ<^*FG)d(|zGTUC%$#nC-`3n8m-~r8-j^1V@^dTybOZ5uD4~e#pzqoeQ`wG%-;*YN8)4o1B{ym7w&7z1Zt?$4dH+aa ze`9gUZ9KVIej!-X70+F+$xJ?(cYll|U&N750)#bwTnToTzgtN%cS*jeb zNWPNK73m6r)2hOAok^LCo6VZNZ@Cu6eJk0*?0w61HRXTMhX1>57}qrWPWg*X7-sO# zH{s9oj1-@|GUX?u|0O6JGAF6+xor+qi(_Oaf&8*~5Llr?!K3ENEe zl_mGgzf;oRdI0mIkKv9Pl4~om@4#GEY-`L#e%faLYtZ`Ej#BAt$$yuW;iUiMVHw@< zw}Hc5I3xq_gt ze-OozZ~Fh5DeDgb5`!gu*N#6~?lma9E4yZPaejvi@~^W_qc^>iWIz_qQ9z0TY& zy0{B%x^lAmEoRc#)tyPmOMkkq+x(-6^|6t}MI6#m7=Nirl9TX!nPRM@y4=-^(`=vUh*^VDu?wKtr>4!%=t?r_2KEK4we{ zDQNrYX8XBh`%z^30c88JW3GjtdN`z`TL*hR_Oqn+Bck?0nf9}o_H&W;V~_Sjjn-f& zC|l|1(%Bx*e!S0qYVY%Qe{`|i*$=|m53zmT?r>MTo&9K+{Y01DE~7PX<8F34`#~%F zI%AAGj@$4Va+rN~zf5&b$Mls!LpMalysaBQ!gJ}Cl&GrwI?H?E0KLNIX zW^4Zv*8aJu{fkQu#{De>zglcXB6HG@5$&J<*+1ZmM15skwtrxUQ|m*Yla3Ae^0+o|&`pVD9xljbqQxw#?CZFh}E26$kUQ zK{%MFF-PO!h8&G~8gn!r%*Fn09$sUP^>^3*X+6haZf3XVIde1~%)#t>dp)dUE@s#B zoVk}xe~G-t9O}#Zf0yQ%%%%R_aqRvai@B6d|5LQ(!oJL{{%Jq9We&!JIT#P-LjSb? zf4Uv#W)8-KxtBdY&zS?+^#9jr%ae2J_=zL)E-U8(GUwvKoQnr@E*|}GFz;f{#e+E) z59VAv5^yl@V$Q{bITsJ+Ts%&?4(41um~-)9&c%Z{7msZ?n0GPf;=!DY$4l42+{*s& zoH-T`=2$$6;$WV|9E%5YEFR3Ucrcf;KRjnn#e=z%{oy%tC?3p}><`b&;$YrXFT`@?hQI6T5#2Xh=A8Sta2kB^t*TUX(=w@oXO-NwOuzAMA4+8pEt5ZtTUDy0&@#p5!#vqi8co^Wc+65& z9mo?jM<-aB=4b$)(;PFQDa|n!Pg!V=8#!2pAP3SMk(gO?tcIOvj{S)0nxi=Oa?Q~c zd}xk>)(CT~(ac<|nQqmDVZYEE7r=z(Sd@olI;*{sjpxIy^S`jyXpR7DWWI%7b5yp< zj#jUf)(C^GlK;vKKYh>4@~zc(5$r^BT+7C?25uBJ$4ZNb{MKmE77s_Qd4Ga%G)J?f z5nv^nqf!BuPoQbdamwlyZ;kxH>YK+JGc)!#&9THfACi~n{VlH2Af9NBnwA8-t*aNT zRX?!WPqoHxZjHInn#Hv0`KZ0-D1}@?bHu;`G)GSCx0>UfHE$WKW}r0=|NpDz$eoiV z{+R*FQ%MrA^m5-C-`g7hy0yk=Yy8`=G|j>Pz^ge@Sm!~O*4{(enxmV=?K5k}AFZ{F z&B6LBmL#!goEL*ro6n4a-ilTaph)eA68M)(Cvlwr0IB zU32ucYGQC3q&fJ{?;tCy?@yMjmRqAuvevc5k`Ld71>IS?SYz2kCuAm?BPu&fz8+k2 zq_BCgIq7HODM#y?w1Rr6sp>*1YSiUVOe-a}=?72(%>ZXN{lV>g#T` zNoloDV@Z$ymsN9oXW4mPO9I<0ZZBARZ)2^ZhouGlv=}YpE!j@6`c|>@GRSIq+3I!C zn&mHx^B_y|8!TxzwY1aDnk&)jTg|%q%;Kc2RTFLT8IL_iv);D`@37VqW${qllGs^G zYrU;rn=Jd>WqENeYo)ai3^d0W>zt2ZYmW0)FTRLTb9`^r7qiMhOAb9O{oc1$kvD)vlr19R;>@3Sd_=|ka;ccy`fu*|@mfZfZW_e|4mj9?xb7Z&Ha={w&p*31| zONNOShhFY%^Oa?jVU`}FEv|elDV4Ew-O#H4(bD5;Yb`r09^x&ZJz(`+X!*i@OG@>v zHr=d|cUl@5Wv!0yUDF(`Ee`#xwY;==`_3AN{{c^Pd}C=1e_q8oA05&hVb;9gTWefq zX>+TS^=+)Rw6C>d&jb5 zJTrz7&RbfmYU#R;CG(6Hhuf^0Ox8G+tTuT12kn2hu4b?-{FHS*)zWEkOTWKaD~++* zudv2%Xvv|8CG(utx~f|(_gg%NS~_ZLN%Dgw)p%>w7c35cw4`ys;v~+JgS*vcpH=3v z)>T9$S4}Nx@W1wIjz(7dHkNGnSXT#Fvv4!ktUDe=B#WzGEzZ5HmQ5_KyscRxtyQ13 ztm1=pbyrUI?P>9|)LMm~b)LDl_cAowlv$)s{hmCs++|vU+Shg&RV=}wC3$? z@sr!Ce`&2Zg%wGLSXwJ#)f}-j(!*LQ->r$g)zaf5Ys^L#S1&AGI4#b9wN_EmnkB%p zmN-lDKU(9QvgV4ktdy@W)Ewh19@1IoA&|V4b7X4DHD}{ny|f%@=qI@ff4p{!GiN_Y zugV$E8FF{QDwF!HKm6*}u?1zGsL94cuecM{pe8(be($$}mJ0+Hq z@kEiHbuZ;zvK6cp1dmDU%0*cf_TsvVZl)B9U;4OaKAflJ`hm3tE7L!UkD|SlLtlqh zWh1$-Re{VkjNuBKRU{{^t8m0Fd#Im2)41AxZX992DavBdV`~2QKD4qw{dhi90n?j*CGqE}{sx0p(5w3tjb#)`|d-tl;V%f)*BoLO-@S7-)Zr0{PLm0sLBFVTx; zQsX*nYK3x&+Fmeiy}otcpc+gVOP8+z|21RQ?2K8rGiJlim`yulc7Dv5ksiA;W_LSd zMt1DVn2{a3GUk*YGv=@CjQQ)&GG--9Dnnvjs**LeaTGdKm27E@))1JgWKU}>fS;&J zj&F>TRe6$A8n>*IT#C`F4r-DT?hM_2#G8h)>|BHuXARqqGTW=>d~0P)I+Qs=r=TY1 z>s2UorHDtFJJ%1W&!bjBS-40`)D-a@fU@YSizthw9gnj3ogyepbhwYQWQ7VSOYtFO zt+c3)US-y_Kv}lOV$_t2tAq3MoAaWqFmO0(Dz2)C^Gc!@&MSYYiSsJH9H;85u_&w6 z*@Ciq{cb306uOP_r(82o*36$CEo-fbzxr`Q=9(y*PKz+{P(7%?;-xp=>kWwh~REj~F_pf~@^Hptw zHu-B)*aiMPqGR z8D;6G?NC!@)I*eIAGAkVuH`tC<;zh~73Pn?dBybSP*$o~7G>r4B~eysLxorEUKi)p z#$7^Ly+b9GHGJ@vMD3^FZsEM<%eN?NWg3REb{w8S)#_ZK*yvyewe~#JU z$NDH6et#Neqr)`P#w)0_CIK|Srq{?%v$`Cu`7fPOws_GPWy`kYzg3ThC|h^eQT}{s z1M1skr6K%svjfWBad055&wj{V>-#%-?$?fn(7&;#t%)r-)3Vg*h%#$%A(YuZR7aVk zM0>Q(8OF8c$~G5e?(gGJ=GpoS%Dls?;cC9Q<#3*V)GU+*rgFZ5&mQ2sP}QtBFYLzo ziX5i#6up5zK4`_2nnRS%^_Snn?52}%?jK> z*?ipvlr0h|nO1Y?=B?`@+G=g8QSNPv=Rny$=WnR#Fq;n2@gAku>0Seroh#s#Us|tx zoa>i#HBd9~D&;U}QE{9PzE61$OGBQAFQg}oNK*kdBjYRMe2i%S6Ns@Q8)Y*|L~}ZS z@u(syX9^#RXO{Sh9L^TkW&`Gk-}(W9L^uVzP)woU1dF9y`XbS;KVY$l%7@A&V(vyz zOU1k@fMwzpV!Re2hRgxATs)>#g^HgN04v0g9CM|pLP4z(b?BX|#fu=o8Zl%wV68Yp z#jg{usPpxBGdy5}czqYwHVWTjpf-sM^ux_!z*az*ILDx}MGQI*YO6>`Z{8+OGy`lG zH7)|eMJhkQ4smk@V5eBs4jp!h7VN%Tv~LNB5zp zjA-D7YiGreUjfbu&;6)8FB+}^To6Z}11^dag#edC#!-OF;zkT0UW~g2NDzGsqTfxi ziITr1ZdL-^7CGJn?ufdKmv_Zb`q4cReiBronA8h!UkvpDJP?;R10ITT&CukLXj2)~ zV=<2NJrRp%0-lN`T*@=is4<#67d5$*7b5XBDqo7P8RcIIpIoSXE%azmZ$w*0%D1BM zTfjT9;wa#~C_$}!5WmC%JZ0+R053U!R_-l(?*=tW273cW%dgi0#>gl40Df}z4ZuXX zJSAFAlEVW)`OCi;(I(5ebe<{l55|nCGLF0IH2HoLDyPef45~9^0=K-GvLR(XOa9LF z&6Y(=qvagAG7lg?uA_?wNaL)|Wrsi@Q-`NHx5d1?x%V7Zmf zyhsM`0xXu|{U(x($( zr(8y>+a()J1?-l5#i_PO=A|0<$~4^o5%RY(fJpgRE@}}%c_1}^asQ|ZSckVlPWO;`2N7CmInmm@NIs%@^rEKz4hEsIU z48fz61V*CK%6|Io7-jg^s2r>O#BexHnK~aZUTI4O_$a=NM81l$ z4=_RLS{&e~4CCf7Q7OX!F-fU45tP3Y+XpaNS;I|XiV{&BFk5+g7%)ev`vwr81kfS_ zl@?50=PDZ*Smr5NDXjU*`823ppv05iASG7`z(QqkQ$Votg8ddL-&aDD#Y#$=@Dk-$ z^0`!b@)Ka0lC1?GM9It0yj)4~5LBp=nL%}h;z#|hR2okMtWqWp0jyREbpfnV@~s4{ zRZ5Nmgee*40JbQb5&&D3ryT&>l%PTwbGzb8(+F1{R|V`)s?c6`Dw#R-E~QdyP`ed_ z*Y+ssUIX?jvuWiKO7q;f7O6b_7O+q8p(E^9BDs%6Df4Nq(MqLnaP5H7hPzCRGG`Xx zptA8Y;E+*OXTbvDcM0%|YEzD$)w#l``BL z6O=o}QF&9D$6WB162yMDm2})_?5!Qh~ESSN!OP@07}PuJ_9AXP`bPeX0RG)t99J zUTO$k##Jx^kFjoAgoj;(u7y3e{%0%t!89Q-lTS*;x?-jTH;!m`h+XmqV^92Y*ois z0c=x6YFyi{ZeIf`Ts_4DZwsI_!J({5HS`wLRDFsSV!&%1fIt z4dAVr^kN^a8@=CG8_&2jL0d`Y{j?(zFj1Sx?vpgp7T~Y-p=nIkVwt1N(q0}1%+~TV zy3NtfSHQIZZ4o!OKrIW+bgovs2w&146Y7ZviW`-zlt>TG#4mxj~!7Ftbt97~M8$H562C)(Y&?h;LTl3$El+9{<=N589OG*7DXnh9*ZfcJf0B&i|7^QA&4LI#X%~1~UNIOe`Jl4i@ z4X?CE+*4m`PdcIUjh5~h;H|dK4VCXS;}EF#TGV;K2kp<>08jntD}a|ilJ^qc`dHU_X%&rfwM(|0hT3(@oO27I|5$dC}K_b3Tir(Z4) zSg+sW9m7_=&=64D^!^P1+x2lz0O7iR7O+F#a09SYH;bUJP*Hm8?to}Ldquzj zJ+vII#pu_IgF2|691b|7hgU@95q&(b9n~w5!DIU6A3+`0pZx(URzE{CJ)!re7oXIB zXQp#e|2ZqDOS)DG)MY)A;<=*N;wW+Y0Iu(GYOj`|Vg0XQ9z|R6C|z zJzU>m;~kTQBZkWC@Td{g8kNV4+rfb2Mpsg?h9B2{!l>F6aME~7-JUYmIsm7Q*$+{9 z#)!4N(a6ZW=bUkdo5pz~GwtPq5k3}h(HKrIzGSpM2I{iWkx}@HF}g7z&M=ryTs1nG zXmZWa7=EuCzDH4c!zkYh5N{0T&Xr)SUkB=@k&;rnW#r6`%G<_Cn#~;}mQC&&FSvc) zGqm5(B+=;e9jN<8#UM}*jF`%RheoCMfJcTwV|Z-Lq2itxV@d&@8kv3uJTtx{yU&dg zj3_URi8RyqMnP+vH(E7BrKh==Ht1zm=m79GgBa(1%vl8izUCnYqzPv6Gyp%-pFwAm z8Nxf1$>x|&fT^ZVx0q%Yr)y6)o$WydnrSKdxn?|fi+QFXyYtOT;{XfHOO4QSskw+>R+-H*qjHTYrh{5*Ha-GaXAY*~wwOWOeYcuv!%(@+ z+`J61-ONn|gqye6J;EHsevxL|o`8L3MQ&&N&0&lv(dJiyfEcs-Rlp%Lp*F4^HajwZ zIbw#=S5BEV8lv*FIh!VY#_Yh@bIx4U7I4vA(FkzKEWu@7Hv10)TrsP5M9Vny9q&`G znT^Qjb#o2ZaKkLfNE>gCpMaM4%)IoYL^Cbde&2jgw|`*PWE6gA-eaEi$Xq~!er)z+ zhbLx4J-}1bgK_?uS*#7Q{#KpQ}AbeWzYDzs_%Z;JjNcs=r5H+E>qx z+{J#Wxd>%%cXHnEn<{8IFg-Oss2=@j@C(}WZ(7Mu?YD2;M> zGbUyu8u{UTq+SQ-W5f+^Cu7Ag%dGerA+fSF$M}j2R@lbOg0fl$#F-7V&2Qi^TgFz+$ny0$_=l!I-#Ita%D*nK;rO5F)Cms9Y{q zadn|$A2Y=jVr_q1TPeO}Hor=IGX~UZF})ICjo8W+trg!fAgse{nL(`=H{!Q^a{os~(%9gjq*Vh1d zME*Ykcf}w^@_VB1aX_MI$u#M{2x07gAg#LZIG=*))c?;`l(oJMkAa{a$3{UjISd zY=xGd@*o%OCEHOh-ZC%kY?Mr3d>Ab|uLX>eW3K|n${0HJIGKtbFkYV71MrcPIJ>W0 zT@o-s?&NCyWSiQ6i8A~VV3I6T8Q1*f*nEJ=vgbWiPLaK-fT^*m)0LSGMhNoCLf$7`{nOF#LUdHn~l?!qR`(2dFN1^hP%o7E;EMGFA zx+0g)02L?C#{#a(s+mxEO$IR>UY7@c1>BHDIZ?bkeFcypcOC}Zl+k4VmYj3}a9eiW z0JtN2y#d^nt7~ACd$K@HP>Hh9OTc|u@iyRr{Np#kb2*8;ypUn-059b=#;jNJFM7^v zxu53tMrL0Mcq;?h@11;k8t`8B$c`qSO5vxVypyCF zk!7?>n);v)DT}t@+F?bbB#tOv+_H`;gEQjVF{RukP{);2jF_=XV=nWAa+=hMpWo5@+z!k;dZV{&haA8*!H_K?1_4KOiN~|~FhSHFdh*zqU zN>F^y0d6W2n0ee%N{#__TbVAO8&JnaWSYjN;&Q;ZIZ_KI+js!n%bLjX}Wr)1z?8ymL4!u-8>xqW~o_b z17@p5==O8ejT|~aJxq@aRIBU&%vA%)%RDvm9bmpXt14iD>f09(q}JvpwNPC^-3F`2 zs{j_Mv$(#+YIEB45_JJBa;cgy2e3??xdITPdej3G%hkNJo=|mREGk#1CGvn;sjj>Z zYL(is7GSlyjtXC+`Y%5XLBBESySm!7&)^`8#drPgQ%*sXS_fkmm! zIeWBPttzMkY76QpM$OGV{Gd9(3)c>*4sJw;)irdyBkBfv<5Bf&6TmSwf=fBB-Y)_w zR$W4^oKWKiq4K0UZxY~?+K>u3tw#9)&Zxb^0cX{6{HElbI*7VGuMRnk$_wg5+U`Yl zBJ;XS>MKuBm({PT0j{XAg#mGDxp{!AYDV&TP4#O8>bhEZFW`n+mHR`yT9El!f{K4= z1Z%~A?E&0Z7t=Hzs7K17$N@%T^qEr?66Urz7DWSJJSG_o3)|bSHiSz8v$Fiy?If& zRf}o|*rxSjeA%u|;0_zEwPg1Qtt_2npXOa05T$LXg=^8;pi!V=v~@IwLz+e}KB9Gb zfXZXqY^pd`+pq)FInCr!&TFf=y+ zXdcwxU2XSnR3>V8`6;YGJK7lVP-{rDd8}1p2zsg=rv#sC11_NQrFJhI@IgDu^?B;g zdHe09*I5P1Td%PUFiQWGyo}bXR{}Lg-~IwHR&Pw1P1L{Q-QOg=H*fa*^+;|gll4Zl zx+%I&Go7l}ql-?{(=ygg*R_g(8TvP!05kQdo`6|;Oa;Jfy)S)ij$UUdAV5Dg7!atB zraOaxLSLst|`m6OU`%$?@-%=gaT74ib zWSu^d6Rp?%0s$NJ^pwj+y?#M7*`ybx=WNzbFozD)0}leW==ZsKZ`B=)pWE~avjN-n zM1MfIKAwKGLr0+d-V-bDc%(mzm*hxI8DxOPO3@&p{!^D=ZD)0cBAKd%2s13Rmy zrjXC+`5pq!>po0XF6e8216Ip?jO^Ui z{Eg!Ds>#Nm%r2%FA@qQ$#wo6Lni0S}dAc!{o74>BB_%)87)B}0GQN!k%r;tY0n9O; zp92IK85v&!jZ7w5&NX@*0yWR@y$+af1k+O&7_Zv{f{f90(S^ps7PuB{_%8=6GFn^$ zEH?I^0xU6}(1?~Ay98P;Gj8xJt`MUG<+9vZMQ08*_VI3Tg>gMCV5Kpx2|BDYHqcjA z8~sKC))*aw0BeouH0X84X`$e#tU%cx4X*li4B+PlZ7LkHSx^iB^d z!YEz_RHSj9df#WvIR@BoRAT&$GLAh36>Su51UO(M?f}FX1-Q!`G%9i{IAnC62RLku zehWBhjPeFtFmes@0bDY|vSP5yh9?dBit(1W$8pBd%z&##=Mt#AW_UgTTsIQ@ z05^<)?tplsBYh>o2;lc2H;rf}y0?t`G@Cm{CR)}#qX^Y_-v~bqcwl6rl|MA1xobZ* zOzs^|4L7DS&yD7U7sfEU#Y-bgSx_I0`fc@sv1VEH&wgwzAi*gS*YCfQNj+q50>*MCL(x76^ zZk*_ZxvDa#lV;6#piY@rc=L1GEJYtXV-_fd%CqKn?y%>~+cdZH=F(b#3#L&FaMA2Q zqrGGnpm|?5eV7toG21a*B$!p$oTYBI8Y(Z zEesyZoy*Bfs59SMzzS!n+-S1W*@nij(HX_9Zj*B_&26*uiaQ|8nXv<4i*o_>zSSAW z{b-xBJ6YQ9Y{tRDojPaV;SA^o*y;S4(QTLW^*m6!ow;d)dz=GPqjIk^AQ4oA^B3A+ zr1P}3`#OUe2lqQu(nX`3+ZzF*ofVl2A8<~gg~T``snmncbrjtpr>_T^9CpTXq9e{5 zX?-)jpIiri9$#LfyM$=elEIB*jEZY-s(pl06aLU=}Jm9o5_C4T?vl6$Sv(DR0 zW6n7@l>nS~enS(!;B3ydUvyq&h`r=IOQ*c-95)nj#d(Udj&uG*rCxPDstvg2EJYz- zceZ0>xZ(6|4~Tbe&@oDa)1cYhbQWW>cgy+BOI*9{45U);IJ40B?m7d;0`57Vj|U_= z=P_*GcP`9?4iB7BWbmOgCk^wFvuIsVkDWEEfO_I=P!I6b`D1^;GiPyH`E%!0!VBj; z2BeqH54=@)FY`VVG`V3<3de0~rM7x}|RgYQEdqnScdu~iy7 z1!}ij2$PIGZf_Va_PULu9wOWdRs%%3J*Um@b9>8_W4~LoQGh77Q0}SGZrv%?18xe# zc8uF)I>JG>7u*I9xm}_OA9ge82uIxZ{0!=pTTW7^-G1-|mEd-nv)^=^LeszHcAJ*` z&~0x=z$3R)^xMa7DeD5BxJ6P}Pu=ozMbF&A85y3tg&YCAcUwjEe{gGb2H@#ljNs+I zsVczRy#SXv%Kb`fP@~-kwgHTBzYq@?>wb`e9OrIQE92dth5;tKcjpXK+`HES%yIvk zrXS#*ax$nu_xB8rbKTD~y_@I$HMfu@?)BJmsr%C1fMxDSXnbqjOK}fh>wcI{vd%rj zcc9k0rzS5O+&hu8jqbh-s+-(9a-ZJpK8oTAbFbJEu*H4O6T3TFnC;ZA07?3?!J_UdBeTeIZ*NLjiv(<+`rlYxar=BH!8Q> zLn-;&?&IeH?zqQK1l)BGSdTdjLE}7DP%Gm-&h-HJctmpTQ#^Vt z0yWiRI_+heN6~AbrhDXm44CUNnpQB+BWp`sTjFtsp=7DYh!?0_?Qxcy%^Ht8V*p_u zkDR!+#Up4fsNEj>8Ns4G9y4V;=J7NRmB&3IsQy@wBfL{Q;qfDHJ5PG-q8d+m^!3BF z(;h2$?TklbhQqTSUNqBl9`_ja&wFfUWVqlF!oYdmWB4n;4G)h&fOwCL5=|03Vi{O& zdQ9XpZ+X;x1i0 z@+idak3HNOo}PGgsfs2~JvMT+&pZad2E6m|`V;WpBXbd4``}^p2IZL|^gO^T#S4nu zJ4Nd$phl&rM`IYBB7$Z*CPfd5Zej|LCV)vPhB93Er|8K2VR8yRJNivY5yxv&Qv`7%)wqK5%Jq7otP-3JHPt#K;Jn5iI>S#B zgHhI+NRO{ml*wt`t@Tk;|MDc14RhW=*=PnwXxyLMPLp)&aNcw=XKz;M6waFuJBhNz zbox!pr>k+^YU_BEtuHVP{Ctg(rA+{>plub}dOMSOYWw*#@ebQA;=JS6(@=JrNn`4~ z?JUl_oal_QYxS`xyR9gKvir-eD0{3Zin8a7Rw#Ql=UN74sEBe<_#V^@zStP$ubJrt zzlk|G|NSN%@Q>kK@1M0>i z_YxZA;VqW`j0U4b+LEB~s3!exjClDwV61pTg^m+`Zvf*(aYhm!F^VC?S2Sq^m>@25 zEq>zJRlr11awK4qn0N%>FVfL*CX0@|o0}r;v;{RsgwXE-M64Ss1I5r4pyrC|)WB7KnzlzaZh>7qC!lW-b&gveT&+iGwuPQ1MM0P%FgYxqyu#W(Z)DIM)!c zSyCM<8-E-Y^f7xX6lk-JY#^xG$5DYyM%BKOiL;Wh%5(V_(% z?0^_o5!7*UdC6C6$$wgpKM8Md0Loi-pwEty{BPj+lW?JhfHATljbW_p z%p03=(pU~^yj;u-&PT4U49ZvLSq7LOb5{cR$uY5ji87eG#3Wht1HfOF;2I`N&%Ee2 zMP8=8OqE#~0H(<}2C(TeE$wB73?ZL0<#MKKAhGB>3ZBx5fF7Rv9+0D@)hS%5`y2lcR6ezOa(ME0i-E|r-X z#Foi{+W;Z51jV{s4lfKUR92Y;SRvzSODko81AtXB16!_^!Pfw5zj)H_5MPHk;*cN;gav)Ie>KA86NGWuFRwZL;`lz;-#5mK-kI z@59m4j<<$Ow zD7l-GkCsiiD;qI4O(KvQEhfRNQIVl)^eA-5Ej8%Ep0!bFw4j;CVTc=5|5WUX03% zaw?tck~~%n)MfbxL);a4lp=_eMkwH_tj%osntZ$l)ODGUEZva$1yJ#_TMa;h3}isM zDPMF1+>+xN)Nad)D?r`BBSoO@%J$5D?#apA5EEsK>$rAb&SR?hKpr0n>Y>cN0q{sZ zr$IlKZVbRr;p-dM)#|2lYlCBWG`A zLO;Me8Nj{ay{ty~Abb7=@KhR6)?P{+oy1#78w41o>@JN_Mk|?PK#fuAb^M(@KSI0`f;k?tYl~8teFn8#3a0bf0A;(en^I*8@|3hxH{Iv<4 z>$gn-sQG<5H^^aqX_dn#FvN_w%U<3h2aRf!7|qOjv?#yp2UEBpWfLZSHuinh%FbH&Iwz&ug8GGM-FeG{-i z_|oGSix7s7B_bOG=~59|9k5J99|44j`u70K#n1HWP_c9(s1+iRx29`F^e|BC#Bxe} zy;#{65GDc`cD9I1=KxzpV{RhbM2Cx@c8MYH0lUSTK)@bRnW1d2C`6l#5HEKEqD5iG zjRPWLEg(i*_5>Uh>AM4t3AGIdJ1)*t1r;lX4FsGJCDWqvr07o{KPQe-66eLDihv7Z z*IU3vQIDKm5-&%9x-6Ox09+9{xx>ea&1528TsaL$5Etn?H$|>exOPiiqIhnL@ht#% z#3Q!6EBu}V9*WeP0MA4!`rK<#+5z|=MioQlXjzsT^O3FAf%28zIQs<2f4z;ZDBo4U zL^+ghIZ0mPqWxtW<}=gfK<1Y-1+)uK)$7T7Rb7d z0YNhBCBQ<7Xu>TeH;r$R%*>FxShn;6ERp%BxTW$X^TQP~oZ?w2cg+N>k_8d~t7XR< zfHiW(ffGAnN6Dp%+-=ly7axQn{7}=g7_n`cfDZw$B z;S8wbGGIR-RxYJwoscuD;Mz%9I0V!=*^uHnFH4c#3-Tpn!$q011K^UpMiE?-qnNr} zmqj_z4LPhQAYSHT3`mfv=mB?SWLb=PPx>>=CCb6v1@23+2h;<(mef-j${C)?^n~X! zk$Kk(*?kqLx3WG%?mPK4mHJ+mjs<*>C;tR^D#~zxmy&H9V63u-VPc%pG!MFuS7z4+ z<)hsC4dAP6Vo>o{ve4ruE7hs~DM|}QxT#8Q>V2B>Yb8+Am8;(X<|z5;%mGT*D}X>{ z!xq3?W!Y4~JjI7`V!m>m;b)Ojzb;_0(t=TAi8Aglz*42j4;W>c(u77EqU0Hb%2i4Q z=8mhC$TZnX!MOO*8?WnwK<9#(qH1RPPkDbEwiGTPZmrPOr5DW%j)z-c9d z{%}Sas-wwSr4r?NNm<5tep%T^b>Nx6TYxxaJ3Sy?sk9W3pnSaya8udF^yHS(fgX^k z44MtNul&hP;eit43wWq(?hSaP3}WPat_T{+3uQ2GTV5)@^vzewIQsj0WdfQ1p!jA1 zc&fql4lng$34pgcDH1SFJwWk{SEpA-6CX9hub_O@I~4%_s!l0QR$s0JHAQ`N8!%P9 z$USzN+PNL5>FO`}K+REGFsucrBN;;i)iwUOHdh_D0WeQ}I0X=_?xv;}shQ|2i`7G% zZ;6_nktkF>K+|8Lwx+$TRLd~2U!~RyN9B6;cUt)db=7yM+^9Z325OV~4HvdqZAIyB zSG^hM!`0k$${p&252)O!j(G&wrGC$ecB{P;LG4qoG8XJttF8t_sdKr8X!Yv`fCK6> zMyVs}U)hk<9aFujoa5@tg@Ds)ZRSsB)Z(2$omF?m0?w&5`v5Mh4R!&psEt_} zr-r=;TvhvKK!*f%7(>ZTbvW;{ZmF%93f@*XaYMPUMswqRpjO)l>Y?h+H9S%?Fh)LC z`=$iEP`7h0f2r2h0Pob7G@|!v%qYMIHI94rXf4etP-8U7)sEHfar>O01<~LAv~#>~ zo~SK+0BWjsumh-R+B}MGy7n`rJ4aj94-lY@=mrSXTF}nsYWLy^wHf%g+hEyKhf!l7hxy4K-lzf8&9UWbSMGNlyu z%arhLB-iUl@ops7%ap#hU#67m6_19rlb`O??!%dDn*Iar_bvj#)B*J6X(JC$Y17($4Y+3Cxd8mMvC}LhnW#f6(22ChN`}87+#?G(A2d`^{KY%)z|r2eMM@7ZLA>_eC-`;xO+@+JqH zEmyJ099f1-36LW=ZJ>-JOLOI8GB{5*A@fV6Iux)>j-o&|$-JC>hm0e&Q*Nhq?~*Gx zdz8%0r9{hFbZ79O2zR9qXzfyeGX$I?cZfg3DOjc52?;PWV*^y0j1PS>mSvMa6y z=8%S87dFm`O)DvGySdiqZm-Y7USA4(eJSnrVF|AFVF|AFrLxzD6}Z-i4a)W2KWtF0 z^?hTn@7sU5zFe+wtrbf2hUQA94MJHVVjRlqIsH)9Ej$Kglgh0BxyHzk`&Uk1RAjk0 z7iHFxY@V&@bd=fS=cCLK%;q_FamZZFXQIqAk8Sb}nTRr94lk7X!zQCFP=)OaW(-DI z=pC;X_T;ohejSansQ(O<#h$aKc;o_l%-qEMp-7cKgzP#IZL@U z0VvD2_e5DCC9hU|Jqcx{HoRJS-d`xIgiS$NwUZCZYTt1c)hm*#8sE)A`BUDpDC>8h zhO)sa^53uk*V3p)Aj-xSS<~bN`ER4n8*ctiOf{tc=3kI^btNI0KTFdnVlkb4hKvX)2O^@ zq7c_KU7Td)3~`mRo+%1bEwe;U@-thM;WTqZI3*e+)-MDs6ni*ph=}Dx%S8!lGgL%U ze5*u9YI39SqQPtuk65``IJm4ZF_Y95k)NPm2^>(It_c(!DHV+2o2C#8KkJCK}yUk&_*6 ziT7N?ZP9>oxg)aE%ojeM?>13A$~d6pvBB&SkG;nI`T z4!M!y*(uwS&s|cX2=>bZ><}dvQ;kRDMUHt?o})UB$uL$Pm#L_uSZR>6b25lFab7l} zHZRCy9P^?~LCd-%$5Jkr9V2mb`3NejzV=75EUp$v8t*9ap?L zd#qBQvOb~opgd116)2^P3Q|1eG0G;cC|(&rr6wqW>~K?w;wTT4P>%9YNkMp|9OfDx zE30TBua%snywzM>gRlCOV@_4Ok(U6qD?0?MyJ!WWYJGCHUiIS)+tj->hP`SKwGyMA z_zMuL_NH7;s0y#0R7cVSPN^w4^l5cHP5+GQ#nqlw>rn*f)CL^-ygHH#yP$^9UM{Nn zDYHxJLJoFW{h8gbsFkSqIQ2b6epPKjKCh{-Dc0+%B-~K@TOv?*QalN2I?DQ{T7edF zOYK6_zpa)b6L-`U@Z2o zOa}cmCo3mwW4P!k+DgtIpj{w?i?lx}&&Ap)u3?E*l1!}DPSU)?wC(J-Mf-)+R_y?1 z*rtsogAv+7N+MG0K?~WZDI9vgHide}TW%PrqqXk|2eeF_Ax5if#X7AB;gB|!OF5-I zqKYqTJ-FJNnqY^AS_sT0DHq#H;a8eY+1Ee>$NpW30%_co=PiDuVYD4V&by4FvVZBy z;ri<|yWi^Zd}szP$Xjfqefh|X9LZmqiI-+n$2TcRyzDOtvKscQi|za5>~?1PzwWDnZv|#j$WE&ljIg z7QdhS zyV=gx7kmfDZH15ExP9m6aoq80V(*k2K8fRhU-E4ncRrI4?z;5`9CwfN&OQH4{Ga-? zui*HChi=F5wBlp{DJ_&z&5Gk6bsJu6sBQoJI_Ux|-b20vqGX9ppB zdsT2gue~}b5}MZpeb?jToZ!3f#m8%d>)7SFK`WbnU2p`E|N7vOTkvsSa0jowA@~__ z{>ES*qWk>dWd6D!=;QF;6ug`?esl0cHvN`h0bzM-u!g_h7Tn8GzCHLPiFILc68Ynz z;D&4Q@s8jUHjT0sBJ`5ra^m2f!E<@%yMi|o@0SKYA`0If{GM>WC)mi|FALhpU+)dh z`8qz{7hFhiv0VfS`2L_sjC~-uj(2`A$dj8s6x_9U);svVST#gjBmK_%RXv z>7Yn1xH@<*uYD$Xl#pE$9LA2W4c^0{To>F!0(>_32&r^^@P0mUL-2D_`g6g}M9AlZ zA|>d?;CeoHQ*a1Rej(^5fHw!5`0>TyCNlDuf@}Eemf#%}j4ua|@qw=dTiE-pL5lSL zYS2oN`dV-S$@ukPj03wZcsU1#`Nu2p@y*~A!t$-)*stN^+rdf>OrKKpqP5Qtv{M-%v82B(sKzY1PVJ@IhRAXR=HeDI6-_)XA9 z*7$Akd4BvZ7^J}eKDdw^@`vCa4(yLX4|{(kn9Cmi6ugD>`*ZLMit+yjH?oIEgUhIP z{t_I`=N=1AAs75Lh}gr+!s9vGmxnhI6K8~Xg>G)@VSKLyzndJ&o_kUl4()0 z!=}#qH*Hs|nyQ!sWd4m*E}6;IG0>?DpaCEI#n-@KuEKH{reP@3-N- z1n_s^=|uVO!~Y=${}4W%WB6m3X48*^`%+*3Df}~8|IguB#KixGC-B#!;dNANe+eHV z^F0=hu+5i6b2+}3NBa?$GomAj&oiUBMC4h~96tVvXyW_$I5+wk3I4k1G2;65(e*^@ zdC_s?>o-KpNRBr~ZzE*qN1rF07etS8L~n|Q$qf^+?XQKJ! zkZYp9^4GP|fu!wC(WgkUFGNd8;+vzt3SUPza715yM)&_`tnUhC{h8dJd`bljsg!yFaQC>Ib4-6zyMOIv*boM=vIpejPo=LH{Ot z3)}o{^hb8|yXY<=>-SN>{{9f93E&^2gE*8&qPsYhKSd|8hd)R6va$b-{y;=N8qH&y ze~Gs8fybgl$e(|W?jq`5*7`y6(#ub0$ZA)B7t`T&{lb*+D8n-^i%0erlp zwTENBxOI#ybxG^Z{CH>UGdR9?wVr(wJ}zzj9}fE6txqM+-qV^QmM&|(R#>?8N8iP- z_qD#5lKPR>V|eYOt+x`SkG1}ojeWdzC7JINt?LQ=6|HS#lq*|@s473%`Yy7@r&=GR zY+lt`BA0)<^*ZA0>eipKvCp(Vz{js?O%dvATh|b>>sq%H<=DP~9bMnLh{L?0HA9~G zTx*^>Ie9^sr8vW`GwYd`EhgWEBN>qTi-+^f2s9$%Iz(!twigWTfa*n zzS8<+j_=mi`M8q-)5cUXVA5$s1Wfvh7X(cDW|#RkPC9J%KCjt{NB^VeQfHsm(h}Vr z9HNt|`y7YD$JSXL`#t26(jU7USeUz($9Wh23CH>S+=Js`2mTnx!$0vW9FN#~2aZRs z`YDbJUveLgN9B3`IfwC{qqqG6$3@q(h4vT!9LJ6~{RqeOYk4(uC%{J0>vn*h8iIEv7`IXH-I zz9o2!-M%%r>JfarE!fJBw+Cf*bYbu)F?CUJ8%gwzU=BfgPw*{J6QRZLNTv4$@8`A4 zgU8sz`-3w`oDT%gCRRTfbo1lGL5*YhNN^?_`)Ke!p8QyF2_OG>u$8@|43P-EI(UfK z`b_X;lJ(kP9fA04a27$jKDdsp+z?z#vVA@{g~PllxP_?xLhx;#yg69OUtbD-%^toS zTuBt%8Z3JdAKwl(aL~60yGgU}1sAfT9|W%_@OK8s^YOca-K6b3!5cV~9|zCkwflk^ z0lYtW2M6|Gupb-yY49Cl;^)C71mc&$d&wDp2>!rZ9tlq2uRjN$;b>Wg_zXV& zws3-Dczd{kW4I`ME{Xb%u!B8Z9R7gdUJ{0c<(=Wzc=BE0Ii&QZ;Y-PR?+!mo>|PfB zk=T7-_#BSm{o%13(Z|Ds+0iG$mk?Q3gqIQc&x9v%(AR|P+1PdAeSG}0;W4~+eYlEP zx*^;}AifyZiTN*uA7ayA58q5ixh?!Ad%r6@nIpP8{4II+p74CO@}ux#p8Rq6Q?_z% zIGe!V7yg`h`AK*t@p6ASmw0&~+`#7^44=V)Jru6x$)AR!M96Q#130ihhc{3&pAr3q zV|Y#UUj8~KdVo;BHhMh)JU68~7=4}uxGC!4b6<#Zl&YJfyFm`kJzht`EIN=^+t;$g zj*WageH#&x*~SrMKf}&)YuHJ?n>`lR@l5e{!r6Hk;p;tucqqS*$I9(wgzAC3+V=~# zu2zhnQFG$z5C-ZpR z>j=ZfEBXDzYxsTgLJn)w*}VUx-Q=9@ojmS1i|0>yF**4KPi60?J%_!Vejbl6du;z6DMy9ZXhUc4vyxpw*+4#?Jfzf;CS8{ z%*Nw_(tCo_$gMvPenfV=FSv*!y+7Cwchky$?nvLtw>8&r?PDjDXWI$oId(#MmYYzH zUnJLRCzSER1SKC&ar6S*P<1eGxPMooSCOTz@ioPW(KX8&Npbq zbbd2hTNCs2(L9jq7cC(@v$k2!dNaQXkgJdOf&6D_?_MI<>VSMawC_AM09?e~jzvCL zvkgnmvAEmU;%+~SyZtThLWetWn8DpFi@O*syfl0YO9C-k!;xf30q7;|K0u)XD6#PA$8M0Rnvt z$@aG-1ECDNKhD=tnh@p5w$gEyy897I3}5sTsHIuyH-^e>Ewi5f|J$5@q0NDNx>tKM zmi0O1A7fp-!qjlezLrz=Zf~GARk#mKD#p1Gf>R@_4!?|2_qd$d>K(_#d#N2mGe)Yw zu?93}3ZYr{VhW*}r_(#Lj(h-c&05&sievf%IEp5EIPZh_c+5&{tz$WJ8XJ20Um|Do z+q8mr)=|}c0Qb?qn1y5eciK3H^Z7mdOrAgH5uAyx3L-T_^)7Evs8^mf2O)aS;oWJo zsV)c{4}*6g+2H-39Mrrg*?C~AJPvO)$^#|YQh+zBZ|mS^ z<(@tpz!l!WW9JDxF8dLV0_eJ9d_ezS8?xWGw7?a?VxyKY_}?cS&=TMeKk$Fh-rk}A z>O1!A+&I}$stuKga*e^ET)m~~S__QxsgoOb@7TGk9p#ZNExS)YWpY>hX123_*RIK( zyYU?h6*lbHVZUwN-PpKe`zD;ndVy26uHUn3%Z4pmx9mP$@7#FG9`j|#Df(smsoV6+ z?k(FUck0&->o=Z!;*K5W*QPC7C+$P#-qSXkr(0TPg@b@5Y7gdx`(T)7;z!t0<8jKs zJGO4w&~f6$hxIeC#@X*T&sj0UY`}>69%y^jnM$ zi!b0Jfv*@#t^W=zZGJ&x%l6$Q+^m)s{Ma_RZQFWcP=9Veb<0Ng!wpHV*%9Cuas52v z`fDx0ykmQg?+M9QIC%k27K3@|masL*hmqm7u;ng1GnEW`Lv!*P`ETQ{-Rr?;&FmNB zcm~A&+t}|t9Q`rDVcA3H&LaZ)%hA5)g--+$4wy||+=1&;kkG`5&7A3YZHho95tJ0( zmKH<2jpWA@CpYXl31o$Qg7}j=ckVgG2q}aR{p%%ggC#LA7BhE#KTj0IGBe+cz7BQcyU$O`g9(i!NW6ocoq-OUfdSV zpBT)}3)?(!A9mojtt+i9tXZ9jUf3S(+deOxC9O(K81H57*tQ`>lZU$I=3x2c;539!~0}g zaM(!L>cRRAoGB&F{0fgHhV!a@nofLxCw$!Av`=uzykpaeb3fU~z)S|1o;}*411Bs_ zg{97!9UR<|0OsAZZ7XfZCvLrdc5p}n%DZO=hlwh-{P_o*SeHxO^OiZy?SC9+61RM9 zPH?PYfHjs8EDBSQyXX&df~Qyz7x2WN=LFGREy0l-X4?dg(eAeB3ClZ1J92Xm*Cu#! zaa&Khw;;clw{>)+7v&q#zRL$UrF8O~(J`D~iMzs8=GJJx_Kti< zF8nVg+H0YAqIc{cEa=F~4T9apZHw@-rrV z_Fp{M@tkN*`;sG;9GNePd4wQ!^mH6Mx8vEp9f$Tt?|SK`=)sm$_-u~_-~EK(Flk4} zB5mW)N?D%8gGk^$km~)2UV?u7ibE|?gmJ!No4}=L|B0muR(a$JR(kMs$Oa=GT2pN& znC8}P4iEGIy68Xy&_vt1jzmA#9|%TIiquaIoFZkfe&Uo<@5QN7LZROBL<1Ga1ium7p1-}s~^uE*PwlVWi5lY%1-@98}v_rk%BXBA0LK~;O(@rLGP zt8jN)F4}i-w0qN``9q^8wjY`~bZ!?^YRBB3Qw5>Ff}#vtHvhd20i8{CJO5FqwPn-R z^}9CH*o#Zx8GG0)cTIeC>n;F9-(A2@JSDd}hr#%gXabjf!}}lS70?q9{=n3(bc+YQVqY=^4~?L|GMXm>lM+<)M_S;4{fhK`)M z!S}(gjBkkM>=qK08V2)Gqdl585kA2K;CHi{&Hs5*%>Rd)&Hs(fC+=v25^S>eXIhuw zG4Nm5vik28c~8*)(HJ0>UXgj<*|##Tl50J86>%ff`Xl!H|KmhD9-f3YzJ!N?N82-z z@i?plndq3`E}nAc^MP@1+uKHjv6i=ujFNRD8tX`X4lwSV_IyIx@VZrXK-=5aB`#cO zy=q+WUEnLi;AtcD4#K+hgEkLrx9Ud(_@U7AwD0*E`KJ+GMwi(u=r6Zf z{~OtyGhJ7h?R#jiw@BX~j|I`Rf;JK^z^|I_Xukf@S;5?dw|NUrbO=GVBmVpMM!_4` zZ+9lod>3Bv%pcg?6NV<9!hLuQ=5DW&fxBED1vmc32?{0SHZs6Dh=R#^VwhT&FK;{5 z`s{{+J_=Ib!9mo@Xaq-Rour(Y|UFt+h_Y z7SN8#n(^ZYqbIfJM{6sN?>%|zNVHtWb4;|fJ-YU#spu;$i|1OxiPZ#bE55p73zZ9#IvqY9nr4#MbWA4 z$Ye#CRCH7-nn)eWs5IXmy$4+ItCkc4(*xQU^>##0O+8~FLSKF0p_caOS1(CLUu{Ws zM3ef^pIX}2mQF%U9=-4kc&S7Ap-gWspDT5gDkISqFKLgy9kj1o(z_(TBtLKa8vdV2UUJ(E6 z(4{9-ydNuZdF7J9C8MR@krM=!Bil|$GUfZ8)XZMe%evP9PT{f*aMi<>?f*ufOLG5z zBkP*9KKNxO45l$MzFonMF2wpzG&5!t5@yW`fA>VN$5&dw9zk>(Lw7Q|hDysH?gHZMC)i@P7Z$wh1RBWhdC@kG5%^Pr!0*t5a-AtM!AKF8s;6zz{U@Ows#hd9C?2 z3a+^|&&@{tH(vI<$*5n_7C+UI=;-=3$M5SB_VmZzBkRI_6}GdU0`5C!pSUr1Md)$G zj90!t9C;7}JvA@yO+Lva$g4b0{ZSB*Me~HMn^hIhvyPG#JgRGNCo*RpGRi8@FrhrKhKU!NOV;kT_zj59fcKu z!-KPpaPG6)L=Vf`8gtVfhfCRk&3V6EmHA$tS(mu~y|cuRnDKsVA%XL`JqaA#_Bftg z5&Bb0fBYLTE^b>pHv#0E+ayNY3y^E)g-`a(;5BN5W_-YEIE)HxZz@`Z829{*u9ml< zEB}F4#&*a!VkB9r?f52n`mmh}MxXN%uG}r&6(@xNGCjs z54&rCBxqZ=(uJef^3mHpQ#W#WVP3+lpXDr|&qly!3x zS00+VzqORO^;XYHCdBJ!GhKLfYzdE_he8S8 zkGeX(wk`qu5B&@NEW}@Cx^U6{Ga=&B@s;C6Me4l49bm*MwL_1yCWs|65}I102$P}p zGces+bb~KikApA9?%|s&=i%A7oF|%6&cny0iU(h8@eZGftN8HC6z|}h*6iR5Gn5aK z50UblJAYpP=zNR#*$3n79T6%EOjhn7p7=`?9Mt2oT?=^X*R8?fOEWHwQ{lODW(9}f z+}yeI?b9dn^ao}Ihg;z|g(t2t_su`f>g|ho`p#LwK}$21Z?5F2N85sVOP}8{-=4ga zCqJ}rz)3h$Jn|q<-7!0e4oof0%wIYeog0>TA~v#&&P8hU&{SqAQZ&*2?YU!n8uRBb zwcw5Mj)!Lj=`B5nZOIH-XfELC*SE>(rGq$c8vHiTpN#-}|MqwF9JX|eJ%12(VTwL1 z=h4v8rJ1E8__UI73a{SJt0`W!eZQ5bkrj)c1nBI^kMZPdqZnV;^2CDy(cjVGMEH9= zi$bWU96Q&cL7EGLgX|z4;fXhgz~9or*$;HgOZx`wC*Q(x$|Fv7nV{BPTZg!8+jOUBXOEMIi#3#J+1$E z6#5J}nf(k5eL*lUolX}rmVplA$(vem)||sT2q3!u3ggAG3pW1g0Zm1x!=Z=QBo<>N zI5azTK{@T>z%x$x7W3y4{rQNX;O{AbZmvUbuIV*Yn<^(P;0E8tn0Y+(b?Er#v2ZMh zeRM#l>~LX;Z;3SE%-{4~l4EVIf=<>tqZr()!51Z+zzMobgvcs3@K*d-*`WyME!pu^ zv4JlJnfw-eJg91HTFHRxiZ|XEyE*t}SO(veW$;B=249e0aM=fxE#jvYPW&Q2^%Ij{ z3xau=U3t#&&ZwTk)1O4i!IGu(GVbhoJi9bFVu=mKuH*@*C7Jy~!gr`g{A5PqL0j~K z<)}DF$EU)!=!H5p+TK>N=DYQoXyUgh-dwtNXmCm6UB}^g#OWhzqzY%JkoA5t_LQX+ zNm3=rn!LuEe&Vi~cDBR}ofsm(Pj%$NcaI>zWfMW@)BYbUEqM>|aSUcNoUtUKqT4vZ z;ZA*sH(^d9dR9s+9ze+}dG7U?`S#9T%X3!+!4YX|t#9I)TLNoc@!YLE^F2KG{8nLGS7S#F1$Pl7R(=9IR7~-1(^-7MA(uit{xX}HY?w$^_H#- zOY0@4U{LtBv333FJND3UJ8S_Ur&YX4OX!kV$2j)J%^rKBjVAWSb*FDEUHHtuPW3D% zQm;TZCL_`OsZ<)1JRBvK^suhiSIBHGiQKf+4MGoOts-){|SJu6qNO>dQscDb5DR0*Pr zaev+)Y1-#B-hWpVYE(~_R_Goi^E;=X`ZB0ST9&RB&j}6?5 zuxW*L9m(s_!~U7edCwVU%24vM1BDe!Ul8rtO^?%rzbz@;bZHordIk*{a^uI^UedgH zT^K~q2%^b}Xns4VuTW7x6z$lAq8BSRFXC-~41wrh>m*PBKCN!I%BXYDoU%lrlh@n;c>P;mMu@7rnTQrIRB3YYkwl~ zOD#bzw`9p#BQH$PA0!DwFpN@?KiZyas2a_6B!3y7L-fJr150#Xw7qR`u&1X3rspIZ z)qjt7y*CI(lrI*y4fYh~&Sh&dhr5>F(vG0%dAR#l28X?KH)FZakz)53k)$m@YH`7rJ}&SLpPJo7SCk7h)eju3>=_kKLarej&TJk{JJk(hLx#DZWs?Y3kL z6v8%}b-1trP7H8*uRM?I{}(vDmbh7fOr5E;y@9`T=J!N-v@tX?Hc}fIEH(N@YD1;5 z)PnSB>GZ;uMx#EktWg>p85$@zhDsBSMk;+;=O+Eb)$xJhu|{91K32>(#@38haeeEK z?I-DB&-PtgPTD?sVhWX;ExjWnV~z62P@`NLZj4PxW0lfaDYc-B-BhY&G*KV#9cKT7 zjiG^I_SKFM*89s;7v;Kgl?or|9ppm;ytx7x8>vjE4^WqdXDSqZ1B2B@eNDYl9WM0_ zRx#FvEn@>i)mo!JrBBO@F3M%c2UnQBiKD+0 z5#yyAFw$Qd9oft(U3l?S4*$i>MdHpV|INrE*&xw4-)P7cJb_i{V2HKVk-o-iKwfK%rSdp!Pmvi2C(lWz!Y~UKoVa7phOLte zGwq$-oyG24CYSFjW^9B4K8dckB%$u$LoEyQW-+Rn=O304z`*sa3V*)PnpTVvcN|DaIr+2;hf90P%x+3^VN7 zykqC?RDu^B-oR$d8kLc8@FJk=5*W($M!8nb6w3o+DvTl}GR8)#01!rM6)0`JDzB)F0G<6K zBP$ZZfOTF||P?6~#i%AgM7}{d<%{1N?9KIH6yR=BZWLPBq15N5^WFfxbSA zSn8Gr#i#}fLs<wlVvf5)K^YXKqRNCgHe?n0C|3^}4PXQ^mla~w zs`bWbY1shCSRFP(Y#^^!S2oJ^F_5f0BAjL6a^h`yr+)+-*+^y4FOeaL17(zk(78BY zt1cU;kAdu?wQAo0m9bK4coo!Yf3>`#4&^i*wa_3N(muiGDUiQq)1K{AFTHEVs)m~y zDa%)bU^{T5Wi8yMNSi@H1B2ZKMWM2uQ&>*zkJo#XYG162$Y`zHpQ7%rk5$UxtiA@Q zLn-wT0Yb)@*hE~^h2-%`oWh_~KgZN@96kf!o^5IV8cTyGQf^$(g=!SRnx%H z8wTJT0cAVU4i;czLN`&H8K_miEm)ME$c*MPgDcz@(KvQ&rh#dMnr2AtP@+NDP&H39 z75$|;xT|kqcwlUe!7)ex`$K%kw4!CI`8K+k7a%GnYl^`6N}idFzm6Fg@hsWy&3m?O z@M+73eI7S{#rXC;yCzQ@sMlhU=rw@iQ*EWgi?bL+LPEi-eovgD&QEPv=Pclf7R;TiZ7fW^ zn7oLQLsMBcXj1%eGC_(}^g@Qq^omAHFfpa!N~6BIG}_4c#PH~VcI%D;nIe8RtNPBV zsy?Thp1f&jWqHv0ah4aM6B-S2i7IinZ=Y(CKR$u0e`6R~kzw$Ru@pIqwC6&A;l+Kc z4v!DXt>bklQt~Dl)Uc=O9hHq(&9LWzYPnG;bVKrJwChzU{uR|V(2XNw)$$k(w^PRB z^=TcquJL~QZPubRb;Z&$wW?RZt^GXMs3q()u_xmT*&JxIHK>boP@*Ng1$Ks)%`0^9o-GoKYhMHf=J> z(BRS&dVvw1E>+yh@qy}CV_3W*%XB4}8Mwe>je*L*D#Kg`?s!b<&u8Ep?H<^*vrjA} z_f}fJfy4Bq!Kn9yT*vwow$2kb16T{dr5f?giHbsoW0ZC+b0UC40SZFz; zk%|>>8<|*H6W$So^$W)OYo=vu;}ROyS^flAq;h>3Sc=S9EOw^Y1jr^%bqOd}RhpxZ zOi}Kumd3`R>c@vmgW{`--ZL&>-{1(M5p^`J#`4w5=pv)A$cS(;e0L7*k9(Rn_Dd_J zm4qz~)kU7IYn(OKKR(oJ%sv2iMn%jzf{JC;`dC9i9I9dzj7prJ;(J#vUNefT4~h#w zQWl-G#A?O~IxcJc(&T5RGKgQY|g4Xz-fM@A90Gv-on5w_6?SBBpEvWbZX zHKz&VQkitR8>go?1)X9ErV6;aF&s;CEHS<4PtU;;>U)<6yeFID$|i+#yg#WwRmkn| zXqtU?Di5v|Mv_QH4ttksQ3j06_Btrl#cU~)HpzorSL~A6?1|(O9L1akOL`*6l4R(Z zC8UQKanjRS&4~2amZmCYac-?}L3(XI13_z+7`5?wBQ>wko^Q{jvgu4Q-JR)9E!a7^ zb#nc#$%U!ofVPgwZ5t*}JaO{GMTJEhcCO#PadT?tU)SAO}^HQixcf^UfhJr*a$+v@(P%pLAo8~9ov>o?k;WIjeYC}xUtu!^&2OL)}Jf)kB)9bDf-droYy!LCkS%fvVR3@|3WUZ{9#oUE!W&%xx-hlr$0jZG z`s~N! zWXQomt>3v#vjHmeIk|cpX{@ zuFD!aQw%+Xr}k!@Nqcf8vjp%in>~mYY7t>e6H&-m zYm6llD5%3HgR)MdKoo#_X(ptw@%RWSyr|-nKJ?gb)3Yy2m zr_;AX$>7j2p?HZN7ji@%#h;wJLCH{Y22(zO#)}V;{$^XffQ+`BT>3^n`{~n_F`fTK0|#} zay9*HG53e8EAV1$RJB$ckucv(J=%OQ%mI1lq>URzLbQDskb$<=$3gnMJ)140J23;+ zg%6ls#+#U9!YI8d3pxt&&@}l_%AZLsNoH;k^Kvrr1}u4E5zCiti(F}4Qai;4=~HM8 z2gziKp{ezA81P933sYIlS`9!ajMbpJQu9VmncNQK&>Pj@5KdX;??~1gK5UY9WShil z8GGp=&^jj0t7UcqC#hGwQRTYC&-SN;g~$sQkx`rGi5I0zV4w(SvY$msOD0+0dRTbQ zYLwAsL)3H`=X8OGmZYuHBEWOccvxcuHd?L=Pd0^X1aYv$2s@stQLnjD^|B)w%-LqL-Cg-&9!Zg|OlM|t zQNF;bDDmng(YJS~FdJ-HOT1rLDgzBoqJl^Qr%=2`lIGG7(qP7TLLE2D1CCzV6O z23L|%ilm1V)9#F^lcHhs%90wy?6m>VO>Dw|<<)={txg14F(E%z1wmuwP~en|n7AZA z+sIO~r?gszQ$c5yKS)2$GK4l=OD0Ew^tD_Z+*_kJgIf18H_RRi#T{>HpT=qdTc%HO zO^x*X221Ugm@B}oi6RR)BH2RhlrXyR%z-d?6K7Z|CbW@wSH|OTTCO=I3T#a4ecH)( z)g4H<>fd+_RstjuGvD1MgW0T5lgu|KhW@74;zHZ$^sd*BV=#2>2?V_ej|a#Ts&l7c z4Ofg(jx#8l^BQlezVOlJ6ok0#){MqkIhW3k@qielJDcv#c6AqEp1Lww#2}rNceFe6 zTzSl=dsha^l2FM`-MLub3~zJ<9YHr~l5thvXaoO@UuJpLSdCc9II&1KA@+hhTRJxH z*f!a5Dz^XMuxIB<9hJ#bC%5i6W!vQT-5thgb&RdwdD7%=T-vt7ub667SIBD&y1I*5 zCc!#-v6zMgnCd(s9+628B15?Empz~|IW>iz;bP}gqEQ9tIQ^scg zsZWe~Xu8M=nO$36ILWdu+{E;a6?N*#q~`TtwWg&he4}ob*s*iSQUKtSHJjPf$sk4} z7E`E-e^Vonwq%#n5!cLat7z zKGHYl7%O(pTqV#U;Bl`R z8ILoy>YF%+SYof(9i_ZF9gJ{-X}44-&)Srs3+A!~jZu+{=+hL4=eadfHkF&4pH2L# z!n4cETsfX>u2}^RVAnnDdNwOl6z!DYJj^azeGLIM_Kigf>Qn<*&ZSDKhWPb$xicjg zre#b|-Po{;IUMO1X)tO3@0{JJd9xb`w~ZPC;w8d|7Dz2vaO#dNC#H^CD5}MePwJ>T zrnYW!Lu^HhOd!>4Rvd$~NrRCUr8Thz$9UC`@?1u1z^Hhe6%l&`N$84-$g-%xR2U~K z7)EQQWkV&Hg$hdVQ1*jLg5i?MOL?(xLZkb`TZ!~9#urlynN??ZT$&)3OYuisohFB+ z#Zrk5V&&dEbpg?`Lv3o)m5G^Q#|cr1lC1vmxMJDp04BG}#m0E1hFk~=!%;xol`bGd zx@w?YMe-YHSyj%Ya76NxDGVs4Oba5q@)*)RYwE1H0E7iRTxQA#fA(r+pprq5JT^Si zfFhUHvn9D<7>zfE5E+)n#%h_y#4s*m4p;tK6sBsSF_5jN$0q71z$0AwOdgZG^5kf3 zfc;f*wu;l!^w)(=apkdMo{j2bs6HN8Rn1W66>@Sl%Zt;&n_HbN${o4B#2w{j<&2oA zj9it+v#$U8;AnYpMY#_pzYTdjs~FMlD+Bd3`_5#|vmCL5Y_Nv2GNLpTpusQ9K)JEH zG8T8%r4Lkxbr{-|qRu|#p&bc~qCPN+dQa&+t9UVwBnTmaQe((p$437xLyGufjc+{YW;zXgsbGX6wT7WGZNY_!I zC9P(_01h*iau>4Yb zzy=n}2#WK?Mm>e%YeSL2%E%}=f2dSn;rh+>DL!OcLF(2UmDS+v(x|(|qRcXVqmFE! z8#Jh4I~c9j21ZfMG}e!2k>pI%TeBA{K8Izy*m}hq0VM}Xdv|-iR)%yDiMUfSAGcLQ zGSp&&4P{M3W}uaFp)tC8$bkqvS}5#Oc|6wLS0=Gtmz8qP(#7zLG;1IAc(a%{gzXY3 zw0D^CkHVm&Ps>T$SnOwk@-#{jT9)*!c1r(FF;K*^X^)Mo?(w>auh5xK@(;*TeX}ep z`ljaeO%Z(FZ9C?ZtSj$dlR%|(TIpS;5ZVhJLv zHG0SE;ImB5b|{EK(hNyEeF&}Pcm0TL40++Lt+I! zsOG^MDyr(Md&m3S)pS;`I-x-UZEXbqcn3^M+&y}UH4$(*YT6*vCs3;92umSUIrIwm z`a=+*&!P0x;0F+zA&>`V#E&A68cClN{Mjcxt}he|#&l@Uwryib(`ra9L6tR2))cO` zh!az&7yF9FP7cBJXx{}Wyl%sH%L~+mMuT?Yx%C#PQ8-1-@Tw45Q(**{ST<${tlCB1 z%H^DV(PSz9NCV;JA?A9qEJ9lYcMCt}yOYnDk$bqo9KPbFx0`+H^OP=X=W_oF%pp0l z#IoQw&~0==MLCnz*NA&j7%S>8N0cjG21i!Im&6R4YY@C}IV!xD`aGFi{gb{S$}nMm1#S6Dp8!bBgkdsrvZsAW9L zR4mvKEqWe(3DgU?P0CRDhOSwE$#829x+PXU!sm$6W&BNYT!H^nUu|46QpusMV#UL> z$%}Si%X8#H^{AlyHpW~C>H$2kqG8cQlVs6ydUSByp%V|=@%nV{?9{f6S2GQ3S+VO) z2qtc%|C*;V=vG(JwGaE46_vHRR-u1N$P((rx5c6m)mj;P$Ldgt)y3ys9Ni0aD2Oux($~pH z&4of{WBe!wpom-Mh6f!XQoCx5Ye6kEhN0tuc$RIs(H|6BZ>T<{)qn0zl9LK*UznG7S6md=#5XOuuo&;p#Gq|@4ZC^I4neo8u3OzU^dL8IE#X*tmfsy4EX zA{)-w7{YX?7aJxdwmQLFq|+^h5}6^?NVt9-K0OI2X4K2dssMNmm>x2M(7fS9;|+9z z1wmA!0VpEQhxHu(sp<-C#tsw=xWblDmV6!&0TretLjp8H(BDhO9EAp8_@aCntL!q$ zt0cYRu7NET@LpdjFw)DK3uRyAv%bh1G~~$=-Fb1REK7j8zn>Q*0~r z2}F}*nI^MT*L{y1@?7Lph>P)kh`qwe1}y`n1ju`2#ey zS3OmP1P{lRsT%PUY+$WBDtxfuk0~#UV`$ZQ*m({+(+#3!4JnOFIh|2PESYZf5A-_b z@tj_WMP;Z|?l+@QKDKa*%EePacwZHXf5p0{zl2=MZXht8a}OC`h`nWuG#P>fSmdmyPmoZ!RVm{bo%M1o%M8O*>RWzUBSnW`~ z&&1(BnCryl1CeZeVcfIsNPSgTNj6X|Jx_=p| z>62%TkEbXxwjP~{mqk7hKxyhlMYDFD*%zxqG~H?36{b52wi^JSX8Avs@lH*V7`0W< z7``GgtR;(O3wS9^CQARxq|@YaRsZ)h--Pt1K}ILwaM@3BA@ZIJ0WD|fk8n8-6rJj2 zoTkl|z@7+g>*d%_bzvIj0f2pVJ7gZfU?0$TQ*q@qgeMyL=g^A@^^sD=kXyY-i!rLA zY5a1dSQuX*__GS%DnXh-18yHM26Z0iHh>1_(U|8l@?57eS%O>T7qvgORWZ)4W9D)& zSYg4W_JqHSc|y!R*4H)?;(;`;d0g;c^zaYw)?QO52YF)0-LY7~L#kuT2>2isx+X3; zAKOF80g^L037t*lZ62o&W0C0)FU@sFH@yZwE=+Zjj^onHo+dvsrzDcOzZA6vHY)ys|3kY5~SG zPex}`=V+{N$Za|m6D8gQozK{0#`y_@37vhBcr*zzDN{pz@UU^W0D#pLHtl0XQJoyq zv8U1tD?~TpK`(z5$5=p>D4+^f+NmtU#X~r2j10$Pvcgo+^w%0#IDqx1R)bq`JXf~2 zKDNRph{?s41_@6^(i>PVCx5Y;AeRk~l;QH!To*1KzFcNd%z)vadKnwU+0Y>7kwmAS z;5ds77X*LWt7n#73QMF?WY%R&j5jQA=M*E+SUhr=Yob>yD}4G5**05Jq8sm zK2%!nPvX$AaL9}2Fq{!!!-i;*%QBeBBbSw77?AeB5YALEtg+2C>Zn4-YJU_W2s!m0 z%R|oEYO_Y#1S_~D&Z@=+6)7XRY`yGhGuCdPn7wsq^JGf$Yno3X9r>a@VXUFP^HQgKgKuBhZN?gj@u3*sGdaVU}CSq-^7^ni;$pSx&f@>6}4r!cHg@ zA>hucCWdRK(SeP0&b4eOL6HGTuX5PPRFx$S$L1O&XnmD&3)E?_kfViC4UU=lbZvNk zE)#k<4>}=3io!mNFZ#dYKYA*L&>4Bq=>j~cy0))uh`ci*GG`-`-i^qfRnwWNdYabTPo1WPpHyN2p)4q)!zpJx2=Y$eJTf!5^1NaJ&R|14 z1({Zp5>$sr>ke94IDEuXj7u(+)73PKn_z(fD>8lvWxNCR6-CS(cZk+0!-1+hoDrdp zfbqd3^L(jQOvl zGSv2?^Hv4uK}PGU{ox|VCYz-)#+kR%RM7I+yv-R@aIZFJ4S_~onErA4m|ljq4Y5QS zj+rE;NV>VYfgw01C}WHDKOQnHKuUl+JXb&8GLGDUXOJXnw)c}|Fjti17caBm#h2a2 z5^IvfKw0VZ)wiFJ^IRdQb)j5FJs|_Cu$A&16sZ^v>l>Oo7!c^xzYx!h*_D1X%udUg zlBqeDXCkf6K>ECu~)^r+{LXijz4AWF6vNjGXjWgnRt>LotEzK<8K@ zXgvYRK3z6NQPdEd-klRy!SV=*?J22PEBe4<_mOx!)=yBq*cifIn2tN0gX@8tQ}3GS zxBjNnfp+Rz#Zj3Sxj}HB9V3|5$PY;(k}OtW4E_XR(y=%+cpTG(g?$2bmpH11*{aam z*)bDksds0ly_+3k;|IkE{2M3s;|lXfdpjFW|#l7S2U7W(|o7~hsfPJm3< zH7>`(VBxOSjjG~Moqwt%rKE5`R`>;4FTj-QIN)6VEG6|(7?Bw79tva2*WKBz<0*Qn zRd^mbN#7U5uTh6~DxOf+XU%jnsAPuHIPwD2R2-L)(D!1*1h2|uK=Yt2gA#Hn7EAcV zh!K@@>|7Mq3B^1xeL6U{gt?N%n&%!tJYuIU_9e;BX_gmYq8Jw4F$srgVKhOt0w9F3 zm-sZ%EkcNVs|X>4Bb9Zjp}2a%qnuNYhR@U(h-S1_&t=2Wyaoh`D2DUaj6-6qcrKspj(7rq5hKOYoq~_-BWt8hHh`CGhG*GbZtaHQ?3ZW!SZ&3vOE7rc&^@nVq&l zy(DhfEIC2tkr30Yy79#2&lU?rL${s0wA#~57n=ODrAf^3#k49&yncdxc{iXn)sC?2 z%1)IWWYb!yoMb@s0<(}VpT#t!)9;>z%B;r12fAA-@j9$T4dvJXwoy}}7yg zCZ$`BSG1~!PPtxGdYUJTi5Yvk{hm@6k3dwBvUN-ue=HiL+o?zh+4hoNE-jJbxL4wCE)cG`2OVUn6Z6!!bjFKI+fq6Cpq%cO-XYI+LP9w8Uiu zHo57?o*pydQRO9RL$`YO2d_?0!jd7JYgW-p39vP|*ASO%Z9G|wEiR21WlFJP)W>>`=X?=Fj z5*p8ky_uwjdV4ZY89(WPA~Mi9jg_N!#3?fiDoP#_2r!F1GDepqTu^5t6g^2j1M5-8 zo)4ID$>yBND&P5!L>v|L9$pMl%xMqFlEv*O&gPpX3gA@ zPzK5k{gNyY(PPj@+!;0zcgL6nx;titMG2}0k#%igS#4w-8~rw%)j&XFz_gXMrnE<$ z7mGnLgHvnjux44yBKom9(BA8bK&HE>MC?^XsJcvmS%uAVv2QNXS?JSAYSWhYe4$e+ z8ITW?Nq>h>nJ7_)jBTd(T+XO*Xa~~4G#pn8!k>;$8$2VULhDqva}nktb5*HeN)Hs- zeUkYy5pO|c(mZ3lN263}AY&ux*uhpx62iF1G7)Tu5wXfuaOcHB(=HPd6^SKJIZs8x zwY3=VP?X6O#V1LZ37cU8J2PSZC<=l@x=ypI=BFDGCjJg)Myl$u$E4uApXk{;1g^o(iETSgBtB$%FE2R@qQ$y7l$E8G0!|{CTxO;pguC-dHnGx8Ng>;svTsq z<2Mw{)1GwODhGpJvRJ>H_Kt%$&ttQO(;K^M+D9$Qr-VMeNxPfyGez|6T%WLj)eKnm zg*Oec0b`l1wM4QWbqn#bsvOYiILzxmx7Mz>q|S>IG5x8$yWO+c2~iP9T+rkON**Hr zNd7Uv#-QJY9D^HAN?IbOep4RqkiOCB0CMT16ty2W3&i`5=~Pf}E7#+%ZdT%j>E zO-hvaqKncrx2KU1l>w7Qq|ORqom8Jn;frBlgU!{Ik!6l| z$v1MzMIFk6PUjdbD7ESaQPv%In z&*&zu*zo2{uy79M(J>U+n3j>C_3~wY2Je{G#@JK=6-tGHHgv&C@bE4lJl_@-e*lkM7A# z3sAHigLA*+P7Tf-i?OQ0OzV*)dDU{CB#^nw(I{@2&}ZcidxK`drtGv}HFcaQM3!F@ zg`J6jB@qh_KuD*gFu0dl-ikJ0D=As*6oeBQEZb2e+37QXO|D}-vqj5D>{9yHDy}4l zO^=5N!=U1id>9!v)0CQw%LHteNSs_>*(B>UjQHYR9u!|=rD$?&sWF#ul}8P+xPZ$U z1f}E*GmCn$dBRlSaQWx>g*U*6l-IyG8_Pp8Y3kp*b+gEn{U}XS1PtZ*+T4USqZn+BX6-uFT^%0nuA`L zvjsqhGMML0nW-z|zW8LFw)!GY5&=bGF0tj9H84V0<14YI!bGCLKs;-KrLlJEiSd%c z15cHYiG9dpVXVQKr~9&<-KtKD>RJe(e2khiXX@fCA=IJ48%IgUGF#=y?NwH9>z+0y zFmRWg^KbKfqAsK>i|J$;Qcb%dC%l{GP2P>x zLm5QUybNIgjZs@0neb%kkx#8MFxmk&%hhSnd^(2>t6I5rO=)PK5b)jpX4`D_7Q#G$4Q_yLh)L z@Rq%mj9S8@Ud%w&((-0EM$|Sd1$$+^h|w$@qDwt`LfhSIYgcr}$B7H-X~t^WC}kzK zU`%UDw#7p$gnf&3}c0Cs(=mma~kgtU@0W&AUZEce#~ z@tP|ia+)Do%OjjdA$6%|tXH=jK|EU;8Y^0Z6X4G2>a3<^+|r`2o~_j-j-9d;AHON8 zmnW^mj*x)9g~MPP8>m^%XLfhWP9{>&m-ARqf;{((r)AP=($!Cx=7tO^iiNbjTCimJ z1tA)irdU6VL7MSWZG6zc&hd#A;eN@IB-4z>Fyd&t*2urPqQML{>AF%8xWz{n9SlNE z?$GLDv7&-c3Xl`_T094Xg|@DLwKhew*3w!V8Fv4`vi|1HeVqRC1SMv$(Jcndxb_|~Bf7$tJH~5ZXl24j*P_}6Y-GVsjhHC=q5Y<^ zAIH)3fTr1+%s`|L+)RteP1TmCQ4+q2&XDO<)u=7-rhI-Skj*q9@KSUVUvlb>FK8r0@ z+N@Xe(u~RVYARm37Qi5nYXO`=_2N;jg|NglV41A9br2XnLcolsv6EFXJP4n($7TLP zkRk?Zx6eTBiU#fmi!5Jpb#!U3kS^}okrka=bOAhOrKB{!TkK(ctztI(nVJE&`cKs# zwsDEqHl&>fq|?I99m;$ek_!}Uxt-Y>Gnvd4B3XAc%S{uIaB+l%?P)5gGMCIC1QWKl z$Tp?(>$-GA&Y4N(q=zY2d`C@5p+t-!kPVfvrpR!Bu(iF){q8XPq$aZT4cf z!+ITNFCt}t{(|zlaUD9L(bp^6kO=g7vr`u%*}~gc^2du~}kzn)|=RLgr^}ldDOZ z2{?18ra3gco<(>vm+-YM$0w58$?;(a6Jo4fGQ1%jd&&BN;Zp3WHMZ0J9utlM=S68BB z$j*C$AZVLAlj3hW2ehl(CZx4YK|zMMY~&>QoKHmIzKnP6{-VkoE~ z0F_k6#Q^vT^^EzN@lmWzbd??$m2FI28-FGM^FUU!=!(4Qc85@}S{(`M3EDaSC7R7Q zy8ZpIXrRrY4bw4F!^0UcogmuLh5JWKQIZYAe1{|vZWh>krngCl)5X@pdBP@tah+J= z`DqrVcyf-}f_Nc5T{ovzW#}d$2YInqe7vaUYk~~c7D1Pw3}6v!7AIT|3O7tI8O>_Y zrJMWV9CfjUA>mDXGUyt_OCMxUioVsJ;dYXZtv6O_9fP!+!4AnPGAL|<>t>jovvgkI zmeHaMdi_Gd?vg&3q zT=->bGnD9Vw9EQu>)UwZ7v>nfFS zivxhTjmw`sf+Q0irqUMg784|OCPEl52VT>9C}l3oe0I)KgvMM_5M3z3;0C1@u)j93 zP1ERDP~tb>BQZ#;sJLwv7?iUP{_+Q;>Fx6-FKc*5?V>Uj^3=)gX+V328_%sa3_xw* zT6J}Q=oznHa-ja#NHCQ^ zj^O`xK(P^2*Va&53F7AUo%2c69it+KT+=Hy*=g?ZXi})zRh7vVV0cTYbb9!Fiy`If_m~PbLa{H%0gK^ z;{aetZ5Jxo=ro&99{L=Nsh@9RalF%7M&zfnS_YO(UVUlFr2EiHvQ!vB_(M&eVQQ_q zM+>s`dab(B+6-!4LnZNdWPO9lL> z(&#W5Pm40TzC^*kv%$=?U`{0#)#i;~jIS;nV3imcNN05o$YdZhxslAR#l!W&s+hsB z#lFI*Ri`mR#Hq0xp@;#oxb~HhBZbvK9-{F=8#r7FwFMioYrG{jAO;1EK;VK~W6pi~ zJ!aJ4(Yk-}+|d+E1{%c7s4rPy>ojkw6F_mpFrHi^o31D*s)UN(@QLXBA5Z5PhL%}A zya5zfz|N|1>`rRfK+BbnSj&;IrlXF0wZM!&bi5N&Dl{(+=XC}7Ls@hEhv(B4y zMDa|Y#gh%9pw60?K3S;D%Pt5OGWUvh?m1SOFau=X-{H@*60rsmW0o{Exu#dUSp3@k zj!fIckI4uqi>TI|4z(_)ANPxgGcJxbw!kc{R{lbvo9WnG4EPfKkT8w?hW;V>gnNOZNlV%2!Bnp*6^l~R#_%DyWwc+i4&)O!MUD?aN3)+E^6;^a#A`Q& zuRUf;ZgFS1US3Q9))%HXBcveF0Go&tUEAQ>5`9Z9#5{+SXoAyPs367(T zR53IkJ{`ALv*CL4hF2R#B_&m+`EvkSj>^oq*2NWSAVkG}a`EOMgu@Ivo@z^&b#qnN z7M(-qU@xXvoPd02CA?GJgUhgjM6aqj(~Q!b4^K9bAgim9@e4Kaas~?wZn2%A)?+HF ztRbdi)vJkOQ{{u?Hk9-}U_$JZbP=btD-7he9kbkkJg$)#FPZC!jLw8{)NUfn1$-vX zij5QESc~x3%4Un5s_A@r7{VJwXWEzDp|IXR&^MNf6QWM78oMqm=z7!_`o!V0Wl%d( z-%+2opv*IinPHvR(Bfu;OL#o`b`aPZZ&PZu&{nQNGbg@|>yoa7vQUXah^ zs*?3d2Q+9ttmvtvIIHBSH%+CojvV{XdNrdMnuoBspsdT3zllOHGl^QAj&~W_p4D_% zbtiQ@m`JgjlG?}@AV{4kC~lK)xPtl~)x0yzw8%vQo$xulN1{|PtgB#LsnYPSb=@OC5MT#F|CYO}*`eSKU&e}H#(6wz*KM%`&BX_r^YLrJ zFkxX4V4_pLXe{=YahWayk{r2I&V^>6Y`jmPNz4$qc*`h9d*6GZ<`W8e=E{)BC^5*v zOx~Yz40aBSXO_8zfK#`L9Hz>wDZtV`tuTmZXa^{Y)lktB`l6{Z$O=U)f;=PTZMW~a z$WpvYJW%0GA+e?e)R&Es?rBH7s$N*6kl07Sc~Zv4sH&44pYS%u-UX)}y`;52Ro6)%!lh{|JFFnS#L!;cxCz-1xH!qno$sREx{umJtwM;4XJGHGql zCezmB5n14x%Dbh7oK%{=5Kh6DL!mC0H=lH#u|PENEtv3XMc9OItui z9y*g=o`?IcZezGBiQ+#KWWe1Jz9nbE+t8sPy*ZvKk0HPlPY#mmd~>^6M0^3mi%kIO zK1yXg#Xr*|Hq{cFZlWcYfV#NZPHLHJoGCQBUXrRhUEJhmxcq`mR%7+fV6{4`5Ub=H z^v-P9tq<*{zJWysRDY3%+7n!EfT*I2cr0(Ur#hzsZGo3fF9;C!oi!naF{d_dL?Qf(+)i zH2SdeMb6S|nvXIwo=!{+n0W07pQxDOiO!G=P1ZT1EVMc*P2id3OSr z*4Xkvk6sCis5mW``CMDo*cG$4^TC?7QjmV^#sWqvs8cMOEJCKYO8#8+v`aF)1)T}I zWVj>FMJwwm?<>At06Z;M#`X}UbQ&sF60`FZ4CG#ANLG1JkR*;RCgi8Nn%@B@qGgaG zZ5XD*CLDuR317h-+=Rnb2IGCHj^H}>DeK*ggCcM7FQW+a2LaDa zWbIoCtModZ7$j{br9o@REiYOPowHfN*ob37$`FlaRSdbi2yDw)I%_ z6S1P=r((k^!^C(cI|ycFRFWoL65<&sOh>9vZC1ozc@8`9f3#|>Jj1Fn^1ecs!cvJQ zI9UqV)oqll4jC^Vfo8U{=jWI_O6JscNoSOh@REE6qn}`VinFoPl}sf_FU2yd3F7C) zsYX2p00o#xx?tAb;$LHIe>pTbP~WG-z#Fd@;o#$4I||OlFm%eBJ?pHCW-tJ?3)9k9 zx6X+Oy1^XOh<(r7*u;PfAan4y?2y??JaKJjjTyB&$PMQ>UiJ3mA;^iUY$uWlWT_1> z{*Qsp$p9vh5_|H*=ybRE+nQnVheGC=&g8Ylk8P^u8w~Vr3DuV8V&eu`$80ddQdxCKwFAcr$v_ z?A>4^FsoKc8|4-6P3c@CQ}w1#4L2tzDoqXm&vTPZLkmNvtO<*nYP(FBVI2~w90LIM zVX6efkJF{znO~Ut>U86Ch`CQL9ycIC+|AncCXqKR;xiVJS999z8YNs`wBqEA5s<=Pm6bqF((;MW$i9A~ z&}p`2=}u&9Y&C77Sv#KC3B+FO-j1nAooUY~Nr*GoWLwW^i#Lb0jOIn$$a-sGr}8`Z zLU6vPVG*7A!*#7LjI~6<^oZOFj=@9Kq z<-RIJ4LuYXH}AwLBH0khjRGh(Wc3*ed;BCZi1-nOdiE(#cwP1{BeP!4p@0kK#-mES zKxN!*0#$pBvOi*H)2IRM1tT>@vl!X#s464%BT>bJ=uY=6r*7?Q!`#|*v<>&o3>IY> z&^R^-!eC<|7tg3EF>hoe!Gto4qWv08>aTOD>N1t)eO zk|C;Ab<+dyW$cKUE#GxEm{w5}2y5#?1jfAd6+@@R&6tQcp#BP~2&r+UMLLe|)egE^&9J0x7ZZ`9be(E+OS2g)3$tUv zKS!x!w4)q_59OTrOiYWgJ~kdB>WS zhS=}wD%f{Z2)LHbs77?|Xy(m8!A|01CtjfKt0_#Oi9{t-s{w%>C^H$K+1n63hOTH| zchxD{*Fxv{2*%-#Q#H1H*RimZN76^L8Fu#4a3P5xkqy*GxB8I}T<+LmM;^AWkgUre~YrgBI=uqWN9=N+faR-4XQV4MbomH>u50F#G z2&3k)(#;M>cb;zAF58YOZZlgnug@u{qppu5;jG#@wtyI%Cg1rksZEJuIylF0L}qYN%#~JioR)P zOqn$8)`@IS8R>hAEs?PqjRlOU8Zx_irnM~K!lUVgnA07R*3G<3a{9^)m};)*k9 z&ZoB&bf4#cHCKXJQRE zPd5~)zIxNG%*t;@8oBGD8R+fnbh4YkgF|SHom%4f8d972>lNnGP zd2o(Q$6zzfL<-B0F@v$dG?)luouC16AnS8p>lv7;TydBn{5c^@#LOJ`y791FLVI(p zomKe?k#6HJXy<8O&SMi;W;~7jAemC6kBO{6dIsY=xs$TO$i_KmNX5{RVcjw`sr6br z@0FIlB!QLd>zYq-1Kt-Hv((zeU&zgP0r~Yv5D}y%o4j(R`0BuS6Z*CP@kTaw6B{lqny{7j zJ2VN>79ka3B5RR$!PkH`pwX-)yz5+6wI!Rx&OV-CA04Un6t*=Rha^yt!$Io*{Kf8HP!r ztCj;93Kq5vhFOZygfWPbyiFh;SfCeMeQ0IK4hP;g`vE(b7>Z4cWR5m_b_vQp3>uZ; zzGVl~TK<%NlL)uPxt43G=kd{2%@DBBrSY%YnzX0udV9fU92qSsYX*MvsFli`GdG6D zV+cq|SS!pk;Yr@NIsg~zeF~7bqn_*`=wIFIBIm(plq%$QJbxtxUrZ2ufpsL(e(-MF z+l*ws_OWZ%-a0+rzdSlVT!=vDi#JZsFBd+>SZ9b2VYJ2tRX6rR^w{Eaz~F+`FHDSBGa9mo~o| z_A=-R>D!2NdcyJcWFR}yMt3ns9-3QeRfjp2b%`$nresLB>YI?eLLl=lz)3qxE}Drt zM~b=#RL*#ukaCN-mTZNm$o4w1MZ!MQ773Q^{)%Bu=5ft;&?=D)={u9~P+1&#I_NI( z;}$#t79JuoBup^qR7965;~?%`QwXzRq#+;61{$*?gs3GKA>ve55yC=LK^TjHBNE4S z$OX-uS$0u7gosMQ1ZVz@3pD=Qq#KYf{p(~z#Q7>-x*DP9l|c10xGMx z4(qSzK*<0ZB~rQ)yUG**MxIVUpkDs6>=TKx{@PA|It{=9K>!fU<-4_1m|ki=jB%!x zCFok4L+Bq>@@7C%1vSxJ?MWCig(M{NR)EB1h?R1ZOPE`7rpD?xQ3ygm&5x``ueDL( z72@2@l0J?o!SN~+EadANb0l#irZFjoJNG9;O*M=n_N2r_SG(@zv^%GzErd3td1O|46;HwSouS@W86qK+jSD73m@wJSj-K24bJXImO1;cS?q zcZ%KBrs66(5h7IU(dP%@)D-p+Im(FYBJI@;$?>pl39-T4nz$=YGz6+419ad66s|^x zITUT~K@{+d_yiSmVdXVXzoLen(l3(v2;msxFqMzHhHrbYo)eXnS;ILlh(vC2K@A~} zrEA;yQ6((0ULYFAzdW^L$~d_=Vu(ZwS%9x}?p)7PVETBgd5{C`IEyWR+PrV4M#MN| zy6ZE^9BpIe;%e0jBuc)2JLltCkv;`rS=9JJGBC?tT=G{X?J6W&k&iSHOK~w3mP=f4 zNsdhFYY92C$zZ9*oYXcn*QPqnI05BRi;#?%$dHb8G>7Aq;=%C(e^Xc~^gooUBrdr| zrqE=}gm4)P-A)mS<5cZuw~2mYkTkfk8XO@JII|(EHeAs!aid=qNhA2QU=OD)ZrM2#ExrLWJ3&-6WLAi;e8ho&|S7m&ep4gF@?B_aKX}; zTa;-{D;I%Bqd|{V73(rKdD;RQ!J@Q7%@`BPQ5G$2FUvJZRm+Md_`-lD(|@EzJJg+m zhICD3a>J=V>tcq`g{fM}3r)Dwh>?|m-W(4pNP-6mR!%y$r8v0eJPHAOFtRE4m z^!^ABa~o|sU0PVRTyT2Q{$5|NTZ4;75ahEg8zfNGd50uZeTftdqebdl<1WZUgADr> z%QVt?%g{s4(v7xlw?_FswovVrU{C_LVPiRd1TGBA5gG4(GyTw*(Y4Mr7 z<_srgdeI@=>E%9L^*hf!USkU}df=ImBoIWa9vkpbfY3T+SyM2G!H^?Y3GB_0QdO1{ z5-8L)C#|5?$8R;|6z0v9+DWU{tZ3cY4M?lFw5lgqm7u`mNHs!j-pH^e6J;njtI$1t zD%W8!CM z8y*ZL_Jv{wXWqe!qDJs4%(L*?g!c;uK#mt7UwVUw{B?Kij35?#x z7^GEKZM7TO1c5kyOncB)Nf&0&bA#JD+Rm0I#Hl44MpW`wBm)g+B$TTARq6nNnRnr0 zJVY!0f?O)6LA_e1;8X?GTUd=KPxG^hh;=geIV{4ycjJayW=jpT2`0IpVpqy6^uZnZ z$+nx*nMb%1Xffq0w70r`0T?C03f(0)2Q@!uysdkfLnRID;1Y$jjnoOq*Wq=(L4n7O z6roJxX6Jx<(UEBr{rXD*vx*XTbyGm}lC-bxf30+eWRYE*iLtk>oZ8q{@_fZk<~r$O zK?@3z;pF`hb7u%H9NL7%rC02dXi-El9*-79vOyq{7|By>uJ?35G6bA44UgfwfMtx}$Ig{S!yJdXO-$GBB9n+1Oq;HB&lZN#9e-+wbjNJR#(o}5UD;bx^@z4FUzua0+06Gj!WbkkE}E(Zj5`Uk~8TY>I^vX9BcYMK>Nw1`EsT<+&!o#$KMtxW$ol?Let= zX)~2$m0$}-HjE{q4qsDrn6{!8hXr|ei^RA++1Pz;57~vL2+Gx$na-sOFr<1j%#(!k z4OP|lkkY?xr~zqW-VMj0yb`k_ykFIk?wb4X)X0JH;Mh@Kefwf?dAxIYesuQ6;ragY z=w$!l@u6bW!z+s^3s7|&~lAR@XHfEML+0;$7q@!tUxUTH!au_H|L$;uFo5hNP*}?pi<>4|{OrTX%w)w=t z=W1J?PEqqFOz-dQPBtX$aiau(qS$g~`uZV=vTqD&&dceiNB2=?Mq!y8z^d1k#L7E~ zF;ZFCG^x%zR^cQ|f0=PLOC_$x6o&ZtZ?2j3ks-rz>F*_K?)!-NX}k7#u|O8H?DjLK zS^1eWwfou*PQ+AqxaD9cGjZHnkV$i9aXa3^gVn~Szauwim0 z7G2q~;|_~@cPNuHde@c86_c+!Q0d`s)W)vxjh`zD?(?{bv^at^)DgIeUvIxOHFm{0 z)^1s|_AJ;Ryo0}xh+LRCy-+H;N>xdE3z5d18sINBhcCTmHqWMZG}*_~*MbfT4%i54Ym5c1u$Xf``|j^#qN#EZPrp^9QGt=ur( zuBR%3tmF+COTD$}_C|8xh8%;SvWsvunW0lfX}bH0hd7Fy@TPfQ1155HTqDs;$@^^K z7#J#ih9<9(0D{f~H=&vT;i0i&N$sNREwz%oS0hB({JLrJe$^B^$sy<^KUXKI(SN0M z%E5p%DS;kg@Ci=fkn;7f9E6H~KtXX;Be^G?4hf7~SAOSvoKB^R zi+z+zifHx;V*tmFZI%KWNIv*pkoU~ylV;860d9X6=aN?I#ZnvGG&ganl+B4UE{0`N zg6<%KWPlsQR%R3oW+x{1etlqSmh@IW?Yo@11fU3jo?ocqDLe*B4kqdpA^*NNrgsPN zbe5WdD!sf|Pzu~&QG%l%;RTlzrY=*&C+D*z+Q{23JhHlCOQjpS9ZNuAmh~2vg1sq5 zC2qkeiGbL=BhE2z%Fzd7UEqUW0z@?s`hlNKngyVXv>4K`9%=OYwaGTeM5GbgvH@?% zM#{4#i9+5uIlA#z3k2HlCO)+LTfIzu<^7`j(|?Iv?1bPyIor9ur(2$!6=8LJ7G zX2hZBEI)gT{4|Cqs&_|2G9y;iN3z(f{A4wqMofBcBDtPZM2*`{0e?lvGBiphdck>c z8(wfulZMWfX;N3nN{T}4KCVxl{DlRGx1Bm+fVj?zB~bKZTeYsJA^ikpZzU#%4XYY*NYr?$`$@hf7%2XU$uj z1Wph2t;`yO5__)`K35n=A@A8N1%f^=<`<=qc-dR7pxcwpD7}DII&P#SDx`y3P96W6 zV!O_chrSni;V1g1G{9eIntD#?Tj{zR(MBX$2Y0q7rE-W+J;OC8ms8cUs14$of5ByW z6IXl;-JAA?GBWP}fW|U3^Zt)=78wKbTqn5iwQMCJy|4*^>X6S`p5lV@WVz#ofQx!W z&M@gdti@K8azBf!=8|f#C}rz_S~hwWXYxW3!6JVorS0g!Mw*kf2__+$*hdu+?c^&n z>?R9oNlbZ!Cu`F#HfHwD#9){g&gDsw!ZTQ{?g&S3&J`-6Y&BL0Mv+km$lJha_#T$a zO>NjARfv<}(dN`M;jam#1`;5VXs76UT=%KnugY$-&!P-l*9JlMwno5GNK$~nUQ{J$ zaw;$^Q*?Wbh0W+rQcpqn2HLVEM%t?(_$IU?q+yhOuogUT3i^x5#$vd*rsmtwgDaK zsJ`Bk+cTlU+;xfsArE9CYiXVW0(KuDBs%6A>8GrB-D;S#c5%K5A0@R^aAXNuUtKOu z7i(S&S9B@*b#dAK%Dl+}KAEW>4`O^on+w0Y=4)zo7AZCmWh~*FX1y}bI231PXVkG5 zK3Y+`Pz8bsW3+lecH&Iz$A%g~BUB->Pqya!ky5+t>7ZfN0cGV_5xF?Py1x6QW5Oy> z8Za*BI?#5Q-B(v8F63RZhnLJAMnYIJrHyso0U~E-HS2s8K*I5wRF`ylq&#b!cm)=# zfZZ)y>h~)BFXA75l*X2mfs-P9Tr;7|wuxW4>0Ux{?==Yyx0MAw0%|R;J=g%x$CA;s z<~y9K{S7ej?#LnHfd_5@Flt19L4&{$P$Q8nHZ@68x@k-wzE?tB*}Jxol4|$RGYnXo z0H~ilzdAqFxvCg1J@y7wMOCu0?mD?j3*mQI4s=s$Nts(LNGtTfq=M>lZ#?W1sjGbA z8VSi>gj%gxCVD9xD3TJ&tb$njbW%2gtEM1LQnzb6ngc4>KxcXbt6V|XQc7w-ouxhq zHy>EJEY8gMGl7D0WVz{qWMN(OoER)C9!I|id3R?{mT%oGT?*k!?sqhjk?1$A7%7A| zknH!qRhdzMnleqg>a3jk0$|yQ%9@gLtJQY=jpZum0W~9mq-F?R@O+(Fy>F=NXm}2@v~h=>YQdeNe{N+9%<#{8vw{$wYDxb z>bODOZ)O&Bt0J|{;cBrfv|_Sa-SI2R~h?A+%m69iag zmo&Y~(XUUw#*SEQjt>VXkwC`QAs2>$T&pHKZEL=RD74mCiD|N8!UAL%yxdylDGN{) z49GU*Tk}0zjWt4s)MGho*f}bT)3;i6SaGFYRBb+MH*U_slVTzEiaQ|AQ;zA<9Zt=Q zL9z)tpy^2cVy0tEgoLlnCWJGf2YrUw*K%4p6e%R{_IxLW2_!9ZS>!ER&UuS$)t|Pl z#F&)%NyKl&${sj9CKG};Y;0R~QDl1p+yN&UCQu8l}ae)VRmg+))laY0CXs)?_%M&16t{ zZC&ylHTJoz2;EZVO2o?G`1Ih->EUJOUyS!> zv0UC0yL>!{obph`(fYx7eJ8LE4l?9bPA#?nyr`OOgAG zhx`5=6W7Ya@&Z>A5_5vH_WcQxrlEfh2!C$T-CmZMxE)V?h1(1gZ_?U<_lp~lx;n^& z>UL7AYmLLQd8|m>Mjaamh$U}s8z|EwD5@lZyrtZ9Ija2RpBv?ZuZdY4vo{H)N@ao%$?-26qywdR}JoO7-jK%?A^^>Q|CBNp7dy5sn(YXYh@@L5&rCsWyiO)CaGPw_3h}@w^E%lnU1;PHzDP75aWgA2z(2ftM@(p zyiXuhysdvJG)#n5V{SY_ta>Vinu46zFf3h_5YnpBRi+uS$LiR0mmS3;?bii& zD~B}b8D11zuA_a!p^svNcpZW~&PMa3xt&g|M8_nyj%d;=wfUI~yQ~Hi449q$lyMpD zFBz6lOI)uqL5+Nu3Uh>Fog6gb)ywA+YUas6`xvOmn5 zG~(`>W!G4*MyxjeYTmbc6!^;hYJ_0rcg>ULQ_8VNeYHbW1{qSEX+$hq8isNdSah1b zLatP_sP|JFh{jV=LM+O;v!)352z&=fXv~@{-Wlxg@Ia@=SLnv^@{%21q4^%sP?asM zr0JRU5}X>&1txDz{Al)=$|W^m9Yr=kF|u#otc~Vs@G@x)9@ayqYc5uxu_6IpGPr9A zp#+1pJ)%}jJ}_%ftbywIt)`i0gU*DtWc)a&+`tCC-itW!$)jA?y*&i~-|Xnuw28Px z^td#KSyXOe1-peKD9fSEsao!aAcId`7xPZ}g@@XAYiKZ8ueYH?Zu`w$OhHD;vVlh{J0nvw`1ZDa4!1 z=r%%Nz)hJsS&JA$_}S&gy(a-s?Ctj!Ev{o(O)2H4$%bCu)40|~3f-{5Em}=u(woof ztUeJDVrgl8VI`Mr3MQrA6ha?JYj}LG=ZAiEV@;QlTx4JgS6oh}9IA!7 z5logur2i1nN&4alh_SH<2%lT?rD~>k5x)3eOhUw?v|GbD7f>h{H1CZk|AJ{*VWCot z!0!7gVANzJXGol$2snys!-b^1W+lApXt}+;+xO}7!*L`F4oncff944n>h@*D`tb{x$$%0A{MFOwK?3> zA<}7mEK!lS<}i6#tH~}C5^|FEf^IonhA4pF;a&;Ht&y#dSO;81_4aK2l60&2i)fsd z4(fDk7=v}f(YV2oZ*gPoVCuAJ!cpr2Uh;qmEwyQNlT&)y&#!@SdEj5ciX;!U4z4}*c z%RGHdrxK$Q>kkIpjT{7?Nr~zk(7;UtgG7KR36YGgbeA-+P~4eaKYY4APc`s@B6Lun zfbdbyKlx!}UA9m!j}3QXDiEyRTvefmjUW8!0Gu#tPq ziH&R-({`TM6LBpY;#8qIOXlNN>@F5;dcc6XyxM`1$;b|tP;^wIK`$jD0N0^nG%}RM zbOlYqmYq=UsW}?M)e}myo!7Fe9KB!c1$_zEym}XD+jn-Nb6+r%zD1z=IV3YBC3{lP zlb$oksR}qgGk_tz;lqqLAo5KpbJGlBo(q+!!!Q=F#UL5D;kdAZhfG&HlPv0cK>+jCX-SOI2+7Hy7!4iZLWXzOrMHXDTke zOZYuxdiJmb;-nFNXp8o$Oc^5#bz#gd2c2%b+1uN)xl)=Al1;GT=%kWB?eb&r2F;UT z_C)X=o4%Ni%!2|9TeohcWxy(&1i=W#DA*7dkc4QWP^>>Z7bU>fY&5iBaCTSb1$=|Q zrGjGYSE98pRbjO}RAPI@vi`acgy)h<-Q@SRC~IlmM4HPCK%@piFLD?D3mo-GWc$^*2ZG4htw-bvyhipjwxLbb}D z>vWq8iW|03enSx@Gmh8t0qnH;!fdN@shZVFnlA^~5w7Rs`BsZtx@B1ZB!; z)i$s+0?P4Ft`AciR68{Sb?-zgsg;^?U5T(-o}z+b1lF7TyV_cbtTN93Y{KaD6}ynZ zh3gv1+-Cx|F}tV8Z3gu#t2)fCRyD-fhl@SzAl^hy1=gM$<15vv888+$zY&SxumaQ| zx5|>LPx6HL(DML@>Pz<+Q#t}LS`MOsd`C_y`N0TV!wPdams%y!y=%VR7Q+|h*l`Jh z31ib*)RMAW3M(jl!A)Hg+t23AK}TxgI>OAgsmd#g^*WE zt+`KK<_bQ+$?lzPYygR$8*5Nmjd;H<>)RHy)`+~q97OEhNK~n}T1t+WCq?P#gRtTu$VY(m7GLR=Mas=XB5Qxi!t zoSUO+pB`}*K%Di+RYzMa`f$1v#8FOzm1Uc1PJuVXf=Hh5eE=98j&dJ2Kn6QD5bl6P zHEUEVl4R}D6<=B73TLNf@NzmqhNC4VD1!Puq<|92iq@BqC9!v7A8~Pl=56_jX zL=n`chRko;?bx_;Z^zaK4VI1YW|Lb0$mt)GQFiKh3x@*+g`@may-{-GWWB6GQ8#i> z)WZ@zxjWjz?%?kCv`O@Xik-AR>%Agb169P%oIrasS0<6somef@UeH{ztT7RsZTE1` zHjYV69CJw^Bb&2Wx2ad$OIDeRcW*orl(&DV(m zXf~6VKuo+AyRF)qnaxXT!@4kzwT;$Z>Q)d zNx-C3%IySk2javl){|;fN!_sL-9d}=r867q%7XpOf|Q2p_T$-r>2z@c;W;5wBa80c zY5!LE9w}9fop0;Gnz(@K2E>CM%5*>^$6U-D(5%hp&EVNmP1GgZo9mz6snnzn!p}!r zRi2M_d4-S~$SdOjhSW4K#i(5z^-Rh7KrEib9fg9{Zd!J;ezo>u+`!g$71-`4`ElJ< z(ULd7bo;J8;qpb~)8s+q*rVUKXy(!>T$U!b8;eaYJ%nx-i@O$Ub-O2bFyZBX&aap> z>v$|qL8H4BI2Rgvd)Aq0C`U?(;RAz&!3ws5bd5esZzi^1n~KQo7E#aTp9YJ&*c3ac z66h*!SF)8kOFFGb2D97djs*@cX_f<1LXyu-SLg^wOIx8-`5+!ajwsK-8cuU0K^b zlY0$Z5>Tf#5Vv{ENK1RZy7)3WQD_x?ShpJ$u(woWR`s~7!%-{d#K8xsE5v*;>e7-~ zw~}ofCiX0tp$-BU)cFyjFx5k&bIT9o5_=G{<=Em7Nz=eguglJV8v z#Y*>8i+y^nnSzBmA_Y_u0uq|9k0!FzlV{cb@K!eY!aA$ulSrR#y-tP$M=}X5SGmtZ zr>>{t`5uWAdIVo^P#b9KFRo}zUD03aYJSE>agk6X>jHU7XB zrVtB0@`?~EQWsKA9-w6tt?DdHrNNL`{F^pAwwaI=;!y=%&XX&tdOMT!C;J5F%%Dezz@91i(lsB?|`5PfuLHrYzpe?Sz_J@ikX zB)MNLs+@W?u4{6W5j|UQ63Ch^a@b}xwb+Q8gRp0~;T<*>O`2F~*&5a4u?X=pU-VQo zu3@OTN3z!3T&lMli2-IQ$tZw1tymve7A3R0da_j=6EaQ{0@p~PouraiS8ca=O;xf% zWT+|mv*bQuD+K9T_O99U-}VZ6Sk&Bwm{f}n3DOO()b?)K1S(#pq+ylNRP{R|IlQ7W zd}9iuOM;yt7swHSv`Id-a-J&#M}N1I4l1wMZpQqZ3Vas5lrXo0(XVl)msrFLZ?NnCv7m;CFEob>!>MI zmg8K$QNo&*M&9i>Y;#*;1fw$N_3pw_F%>iknW2b`fa8%+hEXkOikH;vOVR*mm(+?!*QWG40eU2$>@`OFR3v;)tv z$@zXH%0!g8b4F1RcDHT7gp}$5tW+FY{;DZ<$ZM)uvU@ju7v4%KRSh8PP8Pn6%65j> zu-~|cNW%g#fLq*Ubl(n%nnk@Af zR$?MVsl{!_ZI)0qM%^xwAVFXu2#=`8Z5^Xq8{2neRpa#G+xl${tCk`FReYgUH72qI z140V;pLWSL9V17aiD}tc5o#9~FG9-`f^3EA0=&gBW?felBeqeu!7^g~H&eJl|N5kB z1{Cf~!)NagWg(5+1Zl4t9f}+vjV$6~Nts52!pfHHsG5wiP##@PSVpJgDUk8XcYWBO z$ng_ukK4-K&Zu%Gin>$CvcWEJ5D22$;gH3EEMavqAk<`q$z)P>rR1zF2c{*0zgJ`# z7$Hcf1gZ9lMY0rI!_{2KR%F+$5^18=1$M#Pd>~er6Rq`qh{*>+LRBA#oBI)1X^EqV zp0I;)ZwN%EnRC1~B$h4k1~EMh_TjJ_V5_J~0LtdSTL8qkLz9eT+^nYi*6ND12_9js zxR}@{QgJetza0}?<`~aEC3$V2{Th8uq@BU7Tl}ZF=8@Vu7 zOB{1qm?E2w8VrUsCesPUnx+atn`W@-_JFQ2!2%26SLKQdE`z+FDor`Nvzs;FC=4!( ztX?M41qCrV#Z;!(H&dI>yT+&#YQvg^qjH^z<}~jw*$D)#2htXLD;;J68Q_Misc4(t zLOu)CuLw^z?P@Kly_e03>7D)#ocWvO>`U2bkzmu-Fku%XI0@W5yeS>P8q)+G+zQ5; zTW21IUZg#sk>~!&l8Hs-k#Uw|y3k_prX?g=Qxn7c(z8RFgH$UQHnGi|vb^)~4qC_5 zSk;lEO0%{e7*cC}-c~MhD3(Nfs}M?V+Y%G@DAvrcH1yInY~j37!uMK>2~ zja13vWmzI9D+Ze%C$8h(R1`kl7S++&#%{bHgs6?|TNj9{>k4j$)4sl^51EiTdt5rzk)x=f#~OG6JP#awoA8hcJAAu1*i zRVfqYEeBy>C5afjJ0QHcakYlB52hq;Fte!&JaMWSj7R5u-SUpP4Zwk90w9nZPUiX zKxIEwBLV>j*;|g`85~@H;IWFV6$b#G5Z$bsHIwqH&;=#=+F6&$X=YP<#41rK)-wew z*O$s#O6N3S;;1PXyyc2t#5`E^eJdMGtp?cysSbaIZYUM)I;a#hURUIN#i?XT!sb%g)vD;PmR`60S&K)$&+0?vmbNJ9y>l7_J9a z*uT0syodz8Z{EYL(~pl1_Aif4PX@<_`{!1~3C?9q^Lup+#?9$m;K+WN3SJ0V)<_u$p`Xn1QjcE#(}F^1)MgT5b}o;*H!U9m!7Uf(+- zdTtFShp+ox6uNEQ0v+WvK(LBaoj`llCq zYl^eSjxrm2g%2^Vj(+~IG!9QG@u#qBPglqHPLD75Uq8egV+P0P`v;^LT>g7?%tj0T z3f8BW`vg8OddMnY?03XQo0X+NVKhZ~grA^C#O^mj&ngT+^-8?_z2=QbF^B z%l+dEj9}yB43(Lz+IrK96`H^ut>oU*rl`cz%ocoj?+>jtgiVbmyVnk%o}FJ`_|F!O z9$)(j{lQ=Ti;Kha%klov@zwd^wY|OZ25v&ydwF4RkDmLzz3YE-;cqScE$h)~>G)obZx?T099$kl0vKW6$5diqWp;r8&a4)XkM}R$nAWp@a*2T)U0gz{xHQ)^ z7G%>xUu4F|_>(hCN^*Pz{qdMWSqQMZiuh6O3EbhR&M^A8p zssNT|Tie(=)O{hZSTe7 zfL*pvWVpF-GK$4{59Wh|Ks@y;Pg;N%4XW!DEkco7MPiUvo*~c?JHEfpj4m>Zd>Oix zoOt2gMU#3#IGV((-lh)_?V1(OK?FDh-7J_lr(>C&Ij9D>1KEERIs(=LpuXR_R;a+? zAfm%S$%w|O?TPM@5kUN5_!KI^%!=G-XFL3KLcyE;>FvGA7NgcRa$PAy0vv(@j1KGI zeJd4a*#oY_J;43D7*f!%+HN$gXK;+OP9V%elLa9|ol`YL*>1FKT(ekS(5qpZFDyA# zpi!a)9C|>h;UtH^#k4)$OE`KrqUXY(w-8Hu6Y#&D&ze{_}T7HU_8%`-ykp+8X+l$ zDn5*EG7??efRxkdTgF_2X?K&u{YUrDk1h`l@)#IjcX=qUiwTEWf_|cNr(cKW`#tr}*x%6czfkVHFZpL;cyh!-%{>P*6K{se`UaGD)v6 z6`8grq+lVV%Q(cCAf`OqskM!Z*=j!BgTmkk?-jVm26ZK)9GN!3-Mu^afhH9WsbUBZ z!4oGZH|wSK_Jj|0w`lP38f3pAb~19XFr=79VqYZTSakJ#GV(q{6oMyfa6kwf< z*LvA9!3N@pj#Z=7bJGLh!fyT+1&cvF>LNasq(${gXGXqsf*;dM8;8VM(!S*e$eXGrRQNW>%G}POXndh;3H2 zULEfqJ~{K3Vmu)n>4;E#I9UazaAmb)iWEwg2JiaAig!`0be8FGr`Ubey;v9swIoq) zT`sncS2}QD7RSr95QlUZI8Z@WJ~?CspuYd;(fQ$pi$jI8&VL7;BTFe!IVzO%e9$g+ zNpN0fry4P(m1sEGXYtIFLVoOmSZf-a< zD+V0ch@G3Ekf<_d%(ufLyG4x_sZxBa6cgo5Vsc<{g|flyyMYqZ)-b-UhVUl`h*8=4 zu{mY6OW8}wAx32hhzZ<$Bv+P`u0M`GP$frM}s0K;uq`Z(GUQw$3tbSpiz zjyGq77Mt6FZNo!=0$NQ~n~=&KiZVv72eePG+SY85;&sx&YJBX^CbZcmjdu4RVtW9^ zMoY24_i?-9A5tkPtB~G?%5JeZ!w@R4#1bgk0YPF=!tnI(I++w%>Ejn8+^s+f%Z!%E zJ9=}g4{*E{OBHqolp?8KFjYaD$3lvHZy+4t)>>5fydG)Mk45&CXQ`iPDa#QMS#Vn~ zEVWWg0)fq{@R231;mE@MEi(r#_xkz%lNoBYJbSW!tQ+E*iZPpkOY&O~3@mCV0O<9A zmFeX5c}xxn$!$dfT&lT?KorMZ?oK@6s~b_}4hgar%`{n(NVIk+bPc-a-)vnI(%FWL zu~1z2E;V0h8LJT$(i%F`a1|j2iX+S%I2b{{wpFWsJo=0=57rMTOq4;&*(CbFShK}f z9Nfj7&ShKG;$uZ}O>Q-|f% zqo;Tij1)kO@M72tgpUy`q^oB>o^H1 z3m%`q3w94Hx6|_rBE-6Fw8ppD68cjVnD%A=z^e`!KNPSILX!73ps%P$(<((+g=I)9 zwYNUm@fm`&vMSvn0xLuJ<5jeI=jzErwdF7I0C(p*di@D6 zWVakITNkfyKm6Ie z0k|TbgIt-HkFIF!cPwC&Mu-fW94V~4GG77&`p$Lf1x4^;VuMdUZR8@P3BxW^(^w3* zkgU|<)7=MHxo+)j207CPW0j5Z5U0zDt7c;xWc zCunR2cG4wg>F(&-#o_DSm+`;e%NIZUdD%jeN7#t#ii4kE^6lN}2$3IC?km-gwlt*~ zYxP=dA%M793qcKD>t{bdQ)&9j{kjSt-~up38RpyxdosP3ro50Y(3I(i0n9lov- zi16!tfatbM=m?1B^}VYL6odEVRpC72oBBnzK?2}Bfr}uD1-flA*sHO)K=u}SY`txc z5DT>}sdSW=;4@`I$|^ugE6Z$*yWn(extG|Zn0OGlP(~piC0r8zm;xf~6OuIA7nTQG zBf0~}0yYx*6w+HAOUp92MkU6!4@(iP;s#H_Qn_z zN}fTJ0A#e~UHEv=;x6`AR9TC+QgwyZ3QaJBS}LYtzepE|RO*)L>0UKBbxNacWJokvdzc`!UC32i zhGMZ7Wb_qoeqZVK#Tm&0WMq4lf_r|bmg1oR4 zP#{7%%~BJ2L#B^<&6O&^P+`PE7qG5Ngq^Zf@Ls@VB(dE@;25f*VF>f2MQvv*#<(r$ z!FY`_$SS+_vJNXzcVcz!bn?sK0RIi?mrcUt@_Ir-SdihGse2n!+(dwjpq=QHOewJo zNy2o?vxv!Ru?z5&GK3lcR!2QqO3xyDF_!^`ien&T!?{tGAo{jo9OsKH5KCiZb(}OC zgwChC2s8>r!F!(Z&|0Xn4r0=4byt^zc;q0%b)wBQu_5*|hnT_cHLxfC9 z>ql;EvI1oy?#j)QG4-q3V-9n|dYrpr8jC@JVHKeinh{UC^GrI#1)W}faPRJ4b`JYhFa|uwfWkp1GyqW?CAb|8m2!Qh z+$@}Ng)rVx`gg$tyANxRHcObxjIxR@ixpJ^GtSqus{_}#AOaQrT=~`%C5Wf-T>v+; zR(X*2dv@Ga$|~`xPo$ZxNy>}Mp7>L%B8Y)WLGf6muFtQpn@8IP#bzsuId{K5iRE;x z^K1o1Jy-}+(GRZy=-@ClAF9~_&Td6t35KGlFiIMkb&lxC=Wra>HlvupPO@VSb`aVU zbK3-wVCb4Z41Zm5EQgV)UO!>+Wbk1z;BrsNvNBadtk4)ot@#dOSt4N~gY)PP;`n4$ z%hbE>m$iui#fWl@=fO$Vllp95o4qAlIV(8e$kG|HhDdjuvp9O>YASn9%M^>i zRU^UM4SOeS6=^E9z}vNgW%R zxlYx?Uw9wUC{{$U5Vaftn16|QSF6N@PPnEDvDxxTSef$58z~Mu!B&e@wVk_~Rk)hH zqUbckGSq%!-sqYlAG(9ULlEMPAd<;O@XvTVdD71+32c9DXrq`+Wnu(>A?*k0a3Wn z{~=pur434xv=Kll)&o%7U+Zj)Q_|QVPe&~cR&lMXGQV3&NIYhLa?rN z-jF4x0;%$=ZS%?J3m9zibP^AZoobjeM)y7eJW9ZByno%(NXB`VayNCkCo!@ty6_y~>-T4q)iS89RrN$!?2T+$6(M7K33;P}((CQ9&x+F$CGn5{g2^ zJtkq;dj+2mkh{W|_&*QON-=_YA`8?CD!VbIL?mQ%rfS z;@DNgs%dpNqfuWnC2li30Aa_)imQ~W+6sB8>8c|n<~HrF0txjYB{7Ux86pNpQ9EZN z6cp7|?vSNV9+_*iASq*yE^)(y%wW~IcpZE6IttT!P%PiyP*+xLpw7@pQ><$xwd87r z<7;4pA2~_gHcA{FZtRLP8l2nQ@x?i85KZ`FRfQ#{tF}bi_APCG zY+wacn>oZgj5hnPA?=3JneuL{c}CA*wIAklkG3nV8q=@BXwv3W&)gh1!sv<=q7(e; z)vNuJ%d00m#d)yLQ|k()Smo+aU^_>pequ&@#xcl2Y_5p$7JNPGw+W$hcD@xHtF$Bpv(<7=SM4-w@|`oVOU= z-gC-sx2{N6;;Rb+!BGtzP1)3S1`K`5HmUWRJKA26EHdN5v_vG(qdRaNmNpU4nTn8S zqe}jRKC5z6y}Rf)_h9TGmQ?!{rf?ZfCo&pM6Pb&7-$UHVLBx6L7i#yNAqM1V5Lde# zTNmC>T~zl?u?@?AvyBF{Upzy`FH+6)^8E1R^~*ODhV8VK%K+RA84^#me z+{>(x0WAFGBHR-oMOso7VYEm+lk5&p`XZynrM!~)MGoazM$M`^GS|opAvC#9FNnZU z@1~(vwZ_YiM1d%ST4i9Orm8BA@;zQG^h7y2H~A7evVB!|JfEJBkb@b&cE+1Db0pJk zqcQ?n>;@FqqRjD>0Y^IKNF6mxRLDH4+0K@ocmh#70y|K`$9}0;ju!dhTLtOfS-=SQe8oCSsufx3O#95IHN{88Wo|aGGAZN z`f}?2?j3%Nf_$5_AhJcNGsC3RiJKOJwisMbulb}+;DUDXt48dt>xu_}lm(dQ+ zMQN}t{Wxh-UdbtdP!n;Dsr0s#I#F^&x%8FB^_Ci+ld1zD^ElyF8_6mr5KqfYgE)WX zqNbr8!JjsnN%}&^m)qodVng775SKg`8^b`}80Wkaj1UDyZV9K?2trOV5qyF`FbV&1 z{XWv;;nGV{)@_1!G-q-EuTlxxOX=l|%0QG3FwZLI4nfMhTT!)%nw(mRpn7^bmC?|Z z$ojqeV4Aq7;?WVL(CzTOHoJ!SQf(^gwhb9_m8bHDF))rmAS+HnIBsMVHaCqsqavXw zR3keAFp3IBXc~RNxK!ZCJwUpF;=?3>rBRjQHsl~I*qaSH31S})E7pg0`Ys9-$x!D~ zd%lHoMm2&2Wk7nbkE0dK$n{YM9BGjCHR9Lasz!!8Y-jmGd5Q*QTm=b)){Kl=mRsPcs}DBkj@#Ymky`aCm&I7g?hp{ta_Q;24A-q6(yuOQ9jj zsc|=p$k+S=1cwiUF7#Xk)Ra7gnmafF+(+I(@UYr0#>BZcc--{89m%cQ#=HWs6mA|RcUB~O9Vq6OO=~b41`ux2gIZlL{9&N4;;{E*kKpq zMq$&!bU;+4fWW9)r=Ttc(HWYP1!mS;o5Ii{IwnHQ5}9G1z)Vxk5a5iFl_3ogRv7Rl z2joly=hC4WqCKx3f(SB0gIw6w&i*5n$n-#^#t|rhu@;-ZrgDrGU0Y6T;qY7+4hF+w zP31absI1M=&@GXW*S7M2*2qoKlOg05!hjg6OdnY5%Iq#sngj`OFcgXckprkNJy?fG z(^hrpYkCK;i2bzcaGW-|ABOD=<5)k$Ez%DniD1^nVrX$uWnMYJkzQ|H^{ZBewg>;(q*qk3gP`;VzoO7bWO-}KE4j6#cs68>n&E^< z2kOefYWSyQJEQ3zq#a`-T2YuAfq{-jjHslzfWDkSuQ(hR85O4{2_L!I6Gk9uVS*J} zto@~pRP0>F2I0qcb7~EGH%p=!gAl-&d?O&HMvDDf1pc}izF5sgh2>g)O55a)5h zmpj8n5Qef0tnWut<098>q_v(gzhHZWVajnd4W!xI+f-=eK9H-o%q1JCEdBm`i*wjc z1Tzw1yIKK228I)oy}936F#;pCviJ%IYB}z=tb|9JaTuEzhgp;}QXKZCF0E8o&PWun zZ%l8{gY_xvd*%B)MW7a}-r*Y;K0po8k(e5oX<>$kcUz)Z($%*rI514 z6)ZT6)gGEfKC327MsB(^QLa_fN}C0U<+$QX*E9&4+Acc*=tf`00!;DF%PJ9o?nmIsF03+BxM#AWgv=2_5wp@zL`b77QjFws79moR^A-KTg&JcrGi zdzE6Xiu_f%CalzXl&C(nB0}6+Q&4pl)RvzG2p+d2o;lFmDK-~`iX$awSPwESYax20 z9-bGu6;C0|3gt3eVj{kAS?yH16Lrc$aoMOO3@*mnrbg6nAD$N1qmT`f$ANF|oSt*J z6){Cp8{k&iNUPCfCX=*NvpILlnRY90mh|WXuvJ_O?Li;F$_q0o>{gvKtgwXqVorTP z##xZl4R1U7E5io0>ja9Dirb;8tZ2s6)>X2K9$8veZDPD?D=MC6MLYZ!^JtXY4RkdW za|Ia707Kzw<3Vd^KfiFRu{dY+W2p6)IG=LJ^kAFF+E?3uy^b z=^vGOraFX#a&6dYKuIt-)&Wv#R#!s{L9)^s@mZf~46v4kzn~y@g{2m{3LO@%v9cD7 z#mX4zE)(WJFb-r3hrn_W1hD0{X@h|v<(7n8U&+m@HzPX7ylN?ReL9I$%{8oJpKDM- z+gO7RDGt0z#8wt_a=G4OCR?~1ZsTL$^AJKX$tr-R6@uJDkjDn3lTphSK$Ggr4fmC> zMw`$jcJkutfbno?Le`&2$|{lkg6o!{U};*vLliX@-mrMGL($**=-H`CwT#-{g^X()V4gv+wS!bRAq`>*G4FpBht(F!Qu~PMR44OA8-GXsS5`J(}DblL}2PhvinJj{a-$Xb{igHW4G1sH^eEVbBR$h7YDY>F ztyAM2#%YM~YTjvL4~H6{7E|=ubp% zdbW3=tG z(?Tpepb>ot3R9UJ?uhZ&rcahQ{9g{;t@YBgmLix%Fu3LSN<)DhcSsC98Fg4i8_sPI z6=JcE1l=3F(A0BS*Z7G7Z92CM!6m}dYRe0`T;o$n3�X&rrd|71j0vyg}|O0*&*H z+&J8>^N0mP31d>BnV8Ac8jSv+7PLwJ&h5hATeT}^LN>OmSHv4)DT+lc=2G=(2nS@U zF&4xv%=u-QKGvHU2DwPjoy5#ExEw;8MuV%nnQdFhd^J?VzLCxA+yV5v^qox-gA!bv z-_(G7r)SP{E``8^440^o7ApdxFIy3)i@$n5yAE{(z*XzIw4OZhP!JW!`4zh7%08bl za@7dzPx`ltv!qE)&~h>BE;Nl^;QLa2;E}@8LGB+xS|auoL9-Nx?YiCU(659)?Css5 zUlTtQdQ`6$A}}drOxS&6+$2PiU=xU3usB1PWCUbuF*3x;lu|Po8ty>ddDFIa5tN%k z6i`uS!nnBRw%JqI1M?FhXfVhbwnGZbXIGMoo^!;& z7akqHs6Z1m8zQBjTnaa((41TpfyUa1l1wqe&C?LTJle}YZG!6tTO0VAtQ6`*!L3p- z>YGD0hqHSKwU$?d*2IMp$lX5fYTxSnB9=e*HU|@&cb)D`1wI0RW~5|eeS%x4kc}0m zxzib1aSHN<2wh_$h?ftpFH{dlPnngC=^*M0K(Ad#I+~Xk4z6n_+WjbXj|htl*sj~; z0-8Yvh-5>U(<(1>hd5;*MO?5wb_^F5MI4lrZHzaWW`A`rb_{6{N)epMC`qnly3M2n zb0!c6vH$>5)gyu;H9C3F&5b%b0rWQGFCe+~jfe!o{g#W5G$mBLE_G z9!?DY3;;eZoAr#B@n%3~_mR~z zp$01nDP(lf?t_R;0kO^uCJ`XITGeu1j<~rsS}A+*WI!zgooloB5-n4Gv&X?3`{#E~ zPu7{H63ITWQscF`UV@*g5GC3o`Cu$f1&3_zO!hW5cZT;cXfTkxSByipN78r#PPsfk z#qFZJom)mckN2gJ9r2uWr*K^$9XI75m_xNh9(JfnkDtNHjJP7{)*ZD!hb;CmQZHtR6?2MTjlO% z@=SS31S4cLVK_(}dnCIlcm=6|tsPt^Q=m0|U^|oD+zfUb|3?0C8{$+M{3g!QP) zs>(+~h<2ZXA3VZLK-{>qa~JfT!fuTYL!YSXFnkv!86tcU8++1J7+_(aGlD`90ouuA z>f)>l3tB`DFnL>rT#Krqps2-x_sn?+^kdh>0WysqYj4J3S=qRdK^Xy&AMGFufWZ@! zyiz9FXOgV8JxZJwGb!U}Q>Ne;k%!R}(y~fV`WU#vmn0^Y(URB+(O?Qjcs6F6TV-sH zD!-V5t)<;F!M}ZLa`lO1*lD3j4Tq<1R2%xxv znhbFjQ0;Bs-K7Xh+onFx2tHILR>tilBid-UfafG{1~mC0kR5hSF~+v#aFJ+C8X*ri zPd~qN`gyDlb(SAM;%{U8lTkm7Q>6ikHLEtA^-;IXdKntk3g{A!02eB$%sPQ>xUu_M z0P6!(#a`&vr41-^oNa5zFP_h8;Sl!}0QE-m73>vF3@Jl4rPHRVqzGAF(j?qaJZ(;4 znB$+9#99QPsBFNnYn1ZVjg32g%^N0wyE$s|=!@1{mIaOyGG*4?Maa3)g={11`&W;w zr7fzpt>6Q6mb5)Ax-u&$G69^$LS^JS5rHIW1^JL=1P$^pWo`!q3Ue|U^7U20k*Tz2F;w$@BSV5>(~l0#llc5Q%*9H%&Kj(f!J z3}NKpqNOiBcsacun{EJzPYUUmD(>?hf(7=FFRm*RL=9 z$GF9N;ineXt}`FZRB61mK>C5OjSb0_#B% zSUy*v>ZWge5ywWZj@b~_?Al;x+W<&sUljkW{>oG|W6C#{TQCSTccgSUVnbZh&Wo>)0%rEUu1%lCUIs5?nwSZ*Jem=y=0h`;r=( zcIwg=PE})hlW$q9_yi~--6bTj7j2)6M7JsdT{8CYz5+&|o4F)nVO2%Kg{~@wddv~C z3Mi^+UQH)OtDqw%E*d-2^^ifuSV$^(xu{?tC`oXfRC>6U!f+4Y29H+?7#LNutm$i& z$4S6^W;7}M<*oqO^|MTAbC6v;Zg`UxCJ!<#7|>zD`a&Bhe8)_lCh_ubZlOg{yu6;L^3f)Kyjiv0|Nil&?#f=ah zfUXj!dVLZUSh#SP(RKFDy1YkHOs)=njf>8L>FD1x+Y!Pe=3}m3yA}dLtw@9}7_ExE zLGzgC8${Q13q%lgUE%={a7ai(=MZyL_*iTLGx4f&u37vE7O=`R(&0-xSSe~C3rDuvOoDSJv*_B0vw&@pGzKftX@K7#yMJTZbbDHS!7ZZFy}QZxwO9PLHlLb*}qJvhU8jx&Aj==8+k23wr)*1&*l`~K#3A9temAF%a9fOaUEk5<}nSazR73(JN;WDX%3sFhOfiRE!?Q+t?)%8 zV<(#86%6V1^Zh5f%JLV77&ZZvS9R#XzP*ak!@sB<*dqwz^UKb|G>Mht8!8`SEE0xqf$JbGSFSJsNy^dUs1|EctjqUmMyW=f{x3 zR~`{a&;krQaa!$8aB0@gHbX4>uhH)XngZeZtVgKOr=q=vLc6k06X4%&MQ~JO_3V@HK#T`;f%-C<>BCs!-F?3uAY#F+7#+5PJK;M|$@gS}gR_>AL-4(U6EBIMTttQ3BW<%& zX8_FP?)Cs)vj+V;xn#j;VlS~2CE`fP{s4u}*H^^3Q?d$360uO+jY0s};lWMDd*DD7 zM?G*3#z%t<5)u3h+8v$qjzI^;$qpI!;lvioIe;9;d&AQcye3#%zdAa8B(p!o?CUdd zo7sVvpqXGf^fA~!X&|yGst-<|Kvd&BqZ^|%JZ>;>2Qzeaj2mg#!;yTB&kqkdCa}fi z@aL@NV0#POh+@BY?m`~c?TSfpdqGMXkfGsS+$`Mj2Bzzd^6u)DKi%HFJ(>_)fr0HS zFuXBg!Cyi=tbmya+Zw$y+U-b0Bvte}_;Gl8dM?=PJjAa@__cio?r37k3xO)HCH?wy zR${xI^=)u5?@}6WV=oOi&P-mD)f%Y*32Lt)JomanaQEyH!x{t{X=|p>)6+{%&DoU> z@e~s1{H>z{+-q>E>akhl;FN0j_z1-E_~`gh(02f{W@b417m%TB+$Qg-2v!+@KLLR= zeSNcw{$y*gwST7fU_iU(y2NErhwr(ir4E)ZwGUr)q!=c47EDfW$-W zJ3Ktt1aZ_rF1k$ z7S2ou_h3o(5&gq^+C@A{7qEDidRNz<(FgXyNEOnmXE1qmcyZa84%YYXVGC~I+Q+V4 zd+YQV0`mB9A;{`#;bYeU-3Zn?(gv;pI@_7!I4>G_^Kc_tDc zlbV1=`P|%p`xNQxw}d!ndh@`M!1YheKKoA=|5FTH+9RzsbK>BeWEDObACt^z?vUm=k~04t#m5UXUg$}Eb&qfEqT(#OSAxfuzJ#rjCb#p_FJ zyy~1OT5U3>pFg@hcteMAuz!O4T#yX6$7`fI7yD1njt|dH!5N*0N7%r56D!0gppbIc zCAV>>x3i_-uB~Y{10xGM5*8}}x;%P<_|ndki`Taw z{wzk;nZ7nf5XqXC@xz_RCh=gHCW@ewBX z6wG{Zdc~#5Gkdx<7<>X!7VkcxV#VJF@bAy?_gv>aUwG*Qf3@=?e2Blz=Q^Da;wWwA80SoTHvYeq=Xtyf z2|j%7{5AY@JUZNb{=xJ-*XQ$R{bpG%Z{l}Z+m&(W_xL^czcA-L_MhJ)zT4oRSHB4# z+aru$!$1D%ynOH%UU=vEFF6gfY~h7Yhkg0G*YNuhXbY46&I@09{>yyV>Ef&J?Q~vv zkI~VWo_o)~_-DWO65GyjKf>=eIy3wT|K#^SH|PDA^?C4{k7EJPGk(9@Z{i{Mm+5`p z^V~k`H-9^>P}KQ0{sC7NUb75g-63$wKYnliCJZe9+7ER)yZG+7V;_9}FYx?Z^1RH4 z*TLh?uS%KnHRs9udRgZ1L%;C8ci#J@7ry-bFZ!H&`2)P`ec)^74g714!{7ZR$}-$% z_?=;B@|xEp?wp2meaC5jFP9Up{F~qTuZh=R0X^~$Bq{Lt?;pl*@0W14J!c>OTxp`l zua{lZwnCoy^X2rMu=#VopWFVFyjSQW!;{4!htl&=R-XIxIC|E za>2?kQLgaDJoI1s(36))E8fN*&1u8SKk!#D4=sH-Y`>0j!tOlg&+({wF7yYO`KR(M zVCpR38OOuFZ{gqiOAEj7;yXX|rT2aLy}$UvSDyd7VPHxX&jJ~enUE=eTe-*R@nYI4X zN8Wk=mtOqx5B=i%zVhC``@-LQ{=Wc5`!6lN=TBbxh`+n`Z*)4}c-FiB!%H8@eKzNi zwA+*=-v%9j2gJttVmW{F?~n11ZSnUv|IJS4bNJqzPk(n)%VIvg9v+Jxo>%X)jd~x( zZ??Gz!MfWb$Gx2GeqHL;JeB(;&azzpL6j{#qs=y&cKp07^;8~ZIG)wsN3^e?mt3z& zn_l+Lzt!o?D?@Ytzb*Tn{}17%DU;fG_E#V?{w2mly5ijPH~&6`f0^bfv%G9U$_fqo zbCZu1oq3tkZP5YbKQ9|fdo}-ge;>jZ<%gt$0po2vUrTU!dtb!!Z$G0w6m^sz>h_w? zGcMZr(P5w0))&!W){*}HWqO|b_=-F)Hcl@4YNHH84BX}R^7E(PV?Vi$(#!0;u05mw zh15@OOJ!z_<2U|oUCTG|@-;kH`l#PW)74x#PQDTEx8qCuZZBIFcuQG^c|po%$A9}7 zb(D5z>nL56ejIi*Wn=pDmn}5l_BHA0P3@iHho-Y zD6?^eAAm6a13c$g98doK*YU6of8W4!;@|Q2hxq-$^!wZP+uQk5{Ql$AKI>(g;CtVO z9{Iz6SLe^?)t~<K0mQ!uVCZ{B^vSVPSnf|2Cd4e3$xvfcIYf>p{CQ2Ymh!JZD=z2TdID_Xof;Yj}@i z_TQgOznk!W67PQs<=<^wkMQ1Yyw{`+K0m|r1%)ft;C%iyJXblT_~IP!`ETL*j=W#= zwU@mBqWM)V3md&TPU7G@Xy@1PZid^Rqqz0?Z_IiBx8^+m#+>KBH|O~u;Q6!Whh@J3 zIq=6V_4)kUc)pBxoVJM*KL7B)=lCu7YT|_Nz6hTGB;LI(ZPc=aW$&PDZBE%YTFMA7 z>wO1hAC-DKkDOCJ|1gB}XYqagr4POHzAwG^%P;)m^Iwsb;KI>MgvVieiuXP#^$ScG zsHG}JZxvtX=U(dk-aq^Oe?BfxP)>aC{jcGD_Upg#Ren1x zzmD<;c-CC!u`Y>N{T~I-cqHvE;>#w!k6(I$Yuyh%c&YQx*I)V|zrWypeF5(f_l~#U z!|zYwcjj02^TJPbI`6}C*30}z82rJnTlp^KMZ5LwEyFQQE07n`8}(!xXW z`xBom|HCN%fO?VpFI{`|(g&jc;!jrgF6vLEeiIh{egK*JIlRyB920*Z<9Ft1fA4E} zP8j^X-@tFm`#knMR@VC+wD%3X_X58A@87lGUw;ne`1gG0{DpV<<-fj|%1^o6KTELHg5(WJBbuHk> zZ$<&X{gxK+{J;hzv5+-^MjE{+a_0l`2K9QED{P+z1{Sa{R!<`p@;$8muZ7I9^Px0}8>DTw50qrn= zV@(C;9zT9fUfkiwKgPcw>b#}x@y9>WGJgEGxr{%)DP!qUz4w|^5diOb;^%x`jj6p>r;O0=~Ex~FX3kon<>wAray&`pVqHqe7vGx zC-~UauU_XjVJ*HWk3tB26V3d0((=w5C_TZy7ckf_zWWdH?OXT$jSv3^&js}t zz`i@Dq<%}aFke4_=KtjS75@G8Kj}pK$-aHA6J3<*@u;;l8ZQ-MUY_rqm0>WB;UDR= z^W&THb(bHEWT?@{C;z*=u)~jQSZ_bqxyO%X{CmFh)(h|Q%P;A3@0qo}Beh=Thn5EI zvh@Fu(g{DbG~02rT6^li=^$|M=m;8k=K9q1P4j@O9{4?M~z8qgC=1(WJWszYe=Hr_t$M8fqfN| zr!3^PbMn`MdF%aFO1u2g7ip-xvA0!;g<3ssQiuZZeCOhW@AAvXn=Zj6aSTU==;}!rcKmO+!4Zrr%9}7{yZ$GI8{9rUz-4~l=?dLl$-h7u|SmXK5`~U1+e?LtR zgSKA5KRn{cxqQ9v^TN^{dGsnj9?RDqejLl!d;HKk!9AtUgmttur1B;n@iAGCpA-B8 zx$@&P@^#9Ohx(KskMt=&w5j0fT|7FH(qKJ?nEh>ew8M|zm#@40U|?B|CO-X^zQ7N@ zknr*q{QM<-#1DNjM)7NS^y~k3dshP_RduCb&j10}F{tPgqp?L~A%z178e(Zym>HEs zuzRLwAckz))7>zwJ>5-r4>E)(F-8rAt_0(nEzPi5@}rAxbTz>gT58ZWDc993CR)*2 z)^b@iu4NK6nz(Vl@1A$>J+J8r#9$@a&7~gaeD{3!+ z-2O*@&*6YiibL>4u~^^&OY2@^O;WsQ)C9#CL+qoFGA^*bOgGDj)ivpPWHFn8rF;bk z0!#6RQF|x?<2^;uV$>cAapeO0GKg=PG6_#~&EQw?jx=Qx6kCnjN5L=OMPs}SIm>ah z1an3xFU5iL3B~1MHl_G*U7??U#DUOJJZ97s1;1_=t-;PzHFTrylufyAz((`yCRf9W zu-O*xf~4Bev{v4OH|YRsb(4nNX0@sli;E$--EM!iwW($))mzTq$M5DW$5|MHk&WwoVLqd66xH8UeYaVwqxphgOQ zKQS6s&rN!6N^^Z!m!ovmI7?EjHEIvVIvo5!&t_BqtTDM}p9{FeWF;tWG-@Bk*Ny6k zzBA3HOSI*l>x!$mjy55h`SqTfSx2k28TXxe`d!;US_|KyUpHs>>-V<_a%2~Bv1=Nz z18)F6Fk275O@sRE#aTgYk0~m)k-6Y|ewr?SC|rO_1g@a<{@nSx)m zjt1Ftlb-tt&Gjwx+-}ePxaRs6dTtN7w&EUl3b-A)dvM^ob@K?70KYB(__q-%(*!-* zwmftKRr|O;tb=lk(Kn?izZvFJO5K~>xHi^3864i5jgL@cFKlll3T~n`77W6I6HTM7 znxpvAU2y49j+tm3R(f zkEk|`R;taX;c{R@V{!T6Y*T{b3LG*f)UFf*#nsM0ZG#vnHaY{fYsElu zoikATf*2^ScLr)Vh=JlpXQ1}CVxYLm8K`|(3=~_Of!bHZK(W;ssC`Wg6yIsbRe6HC)QMac`F-4Tn^(-QX<3eysw=;9A6=~+sxxt5 z;3?K0Ni{{mpC?6w?YT*EEx~WWp3v=`E!EC^1U$FLbNe*cpVWK=T)Rh|5u+NslTpS` z#n*GYy&5_1_>Opjy2B6|_GTSXT&H?Z?o_I7x2ca%o~YunAJvypUD}W8%P8mWQXx7y zJa?4vdcyx>XKH^{6V->t#jfF!$geTaZ5GD;`EOR{4`UqNRAh6St*(R6bnaN!;6Rip z?lfwOg1B>c&lIosIi{3&JXOB^#XCYd=k24Wlz znMl;ei-F=0XP|bd7$^>N25J+v134CPQ&AI zpjQ+Njhdq1PvoNm&YbfNAwjX$s40r|IHFwUTw@3ciqui8qL+d{;~tHhB=r!2-j}Lzu*@=T+|C@8t2vrh~ zw$)e}Y49IJBYyug>K%SW8*Sv&$>g90Sou8&G2?Di#(@bzvB9V*3cg|@+BfF>jUgl` zzGc)D1z#@_-Panem8o)_`BP<-&|p@ZAP@yHdkHaHpJne4etTOZ2tjj(%yqQ2UR2G zT+nH2wDR3M7rdk1s|Fv8K@3Nr*Oaf~!1D`MMXq|3!MDB4HCYJ?zT_o3(fOK} z0U=P7_95_nE)NQUg0FFjdSK3OAyDkuhp-npZwi5eFLjA}V9rrE5IPFJ*(FL~&L@RH zajj8%Dfp(BD3>`m8$yEOaigXvcHxL}ne*?4kf7kZ88B}s_$HVrmpR87LW1HVqoyeM zW|%0KIcp3dL2=_g1m@f<1PZ<@ChCDX-xdPJcYXsQsR@2pdILpT@g4yLm0}zYse)Qk z3=}D6pte*D6c;!HwNHwH;zDPjmK6iV3TL2J5CcWg8K{-TKv8uDYC~e6SmO-TJ|zZ< zOPzt*XT(5pg)>mQN(>YmoPpXkVxYLr8K`X*1H}!_KD3%*FMZvf1L}vlHoraL4umnHIb>yzY!HwWjNWPc{j%{5x0&WS- zUxDO%dX$;3w^@h-SrmMWO|*VbNNR$wpGB642MWILChCDXtA#+Z!Kf(;z5^%9U5lJ6 z3?XqP#MPqOzVO{R4@wrruZ`MA!8hYXJ&}9AAtWg*!MFPve#T7WBT{;UnBysgouEv4Jt$~noZbq@}Mq^D-?7$Iqb#+LfD>Am>fUDafwu@>f z2+Qs?SqTchf+*_h{*XXdWbDBKS9>8|5!Je4*>QNy5N0w(8xCButQ(M8dJ0Z$R@0K`S2+Imh0 zywGGVD?(I7wN{p6A;iF2-N>+_Ym7BP@dY7L+$gye+a#BQuVq3ctaD#H>5KRJ;z?h; z*A{13{j{gUC&eknUZeI=yd=34haS&b`zVeuYJy^l(R)@T%n+|*5H!MMyRks$oHj|TAzG(R)?`BC@#ezbDi3H zF;HCY4Aia=1I3ljK<#QVP+aQ_`_`4zbtOkw*9KEENnuO+nQ~K z<=N5+IIx^4+KuWW;H^n-b%C4&a$L$zgP1Pr2O(yP8iZ*b;N>_tOq3gN;J4otw;HvF z;!eq>*eaVa?%a;@mdudYEs*p*Bapa_xD^ zqBsn{m&Pil;LFxz#o6NIK4-W|3QO=aV=r=lW(bMNDE)rpEI~2NsBUOj^mMUOEEg*U zU)dI|^&`kxVhD*oh-ISMHvLA~ioS#?PT7hfX2Q=f;*_oUr&BAc)~OYbPjqUoR{mH-rB-|m-t`FiV}hT)O%MG0L6Tzp zqI|Hd0b47_miA{5LrH=sT8R1%ce?n;m1i*VB+HJO7Qf;+v0xVTpN6<4^gmwJ-vyhE zHzUsa5v8~kX)!OAvKW6C$@rh7%6|&Pqe5)`&sX-VU`P8yf8rmb7~+;-Gwc@AKkL`+ zxf9qX8&Ce7B}uX1X$<)%f#)N`)_;YvGyeShOOhm>}>Dz4R}phnm;Cb)al+o-ybL`7CZ^%r@(LEu>NznMBf!N~> zm@iV&{G3}R!r!Pc{Vj9LMB=ko&A*?(PP`m4hJWODo{0ZU61-gD*O5<*F?9SbhRgrj zf-$b|J|28$j3n{Lx5Ze@@#8yPEN1=JyJal=0aZWkDcAqD;Jr=i<4X}FN%`4s8<6<$ zbqCtMqXA#hP~SEe&$i(GP3q&j1gyWQus;Dj6B%~A-%xh;m+u3R6bt5n|33}l*Du2RlG3}2lOqIXLl_cY{jg!eFsh__Vw3y`|QNg)c$;Y_j_ge{dry7F-JZ zYrxMS!_JpS-AO?D!`}c{KHJA{|69!Z_>FLjY3FyrEvB8{$h4UDyA-CK-&d0)@#6ce zHQwIPe?Onwf{ji3$L}@S@;AW#-@r4GVf!~}lDD&deoaJ@)ZeKv?fhzpwy#r|c78EK z+xblki)kNFnEl_VFztNYDk+>V3e(OL7_$0Go1zZdoiz?||neiN0Q?c@9FCB=d#z&{F@A+h|a%1-`tg?EEL1DMwt zmVcJAlg~#Yl49mu)&(3#hUH(V?Bw%-i6op+T7n=C%q@j{+WCHbNwJ^}_Eo@oeq68Y ziX_GHm?`WhXzSa0UEc;IkC}I%OxH&u=8ff=`40 z#Rfi~E3UxWW-1AiieX_AZ&pW|4(1^lCcd3|8pUsQJb=d&D1vEX*_R{`tz zT(9ip^U;pwKLGxhfSG0eZ&P;i`J_iuEZ7D99l&~g`1b;=o$Gs-!Yu!k!n8lHFzx(% z0+M9?zp60pd`_h8lkvpDV%nD|Z0c{od)5B12kj>wk8<{U3$coezt-UAuoItW>~cN* zyxafVf?vUIlig4F1VvKVeuYi@6=wUU^WwoI8E^ib1C9Ai#bWaL-{N!qhjVcPkOMceDD|FKr{{_^-)AjI%_9BJFzi`^P{ff z?LYhZ&r5vdB}w|n$5k5h;giOEJf-o@2K=bPoF8M{`K&E?8SS;n&d=S7&-n9iOGuLO znT&@b7Sn#L!t}pG`G2*Ee?CBx6bs&h{Y>Ckz{`ru{;#0wY4R{(d=->2@7iT8L%->%<4tP1RwetywB;310 z`C;HQLObKZry7#P-(3pl!M_`LEeO^h@4Z|85bSe+|4P~UcPgx%{Pqv34cx80E1~?*MN5_%=+I_n0)??3Q6K`(o~PHLVt*#LY6)M;hsTU z7_Gr(*opafi0ytlzSZ0R4t8Q~pX{!0+Jb+8-6lJq`8P2n#ezFvZv(C)!_J>|%Fgz$ zSD5{KRAJhABUY01Z~7FEY3J=#Nn+=XRgGVo8pY2{wzw^Lph^3AQ&y7r8&H__@$YJA z`_&55ex1VX&sK$L=il3qB>uNI;6uj-aj~}s-$VO|*-Se=1Md2wEqJy``*$dRtnYq> z*`7yK`Mpib?^Jx2e_Y`++`SpUe?Fq@X8Hb?|2~=ci8Oe_7Q> zo4+?C%=;Sb|Js0m+<;$dz;8F;_u~HN_`t@$C5Qv-G`9ht4vY}Sh0_u&X|VS-;FS&h zwGH<54R*#0DQ^D93c3OIr!juaI3kDzUk1Jf`^~Zt-vYb<=Wq1E*uM=t2jek2#E$@f zH5LSCh4_cSZ5XthLd^G&ZvvmEAo_n1_yw#N-a;XM8~9DsKR?9p!M&Li5CB`>k-!sB zzb(&uH@Cn)KX0=9G~gF-Js1!1T;MaoZwWEqu|DY}0HlfFcLCpv_HdfAd=K#06ZjBH za2dFO^ZWD=UkaQ7pP%2!|2)gXZtLFyd=l#8B_Hj#0MCib-wPt%0emXjXZeo-zkqY5 z?eBjAKds`yS7twi`j}Y$m%v{|=WRS%plrhUpop;_3jC0=PXnG9uJ?(!UYW^)Jdh@0 z{+|w=_ZV&bJAvn*eKua_ghCXsufZDS`G@uixOck9ZfO5=U_ah1=B>9d1+U;Z9Ob9Mevj(^X~4IjzZeGNpZB`&0kHi! zA2_4pF$nwwHvJ1j{yN}0V7KFSJ{GQ??;Bvh4e_$`do!?2aVTF0J`?x%__wUtzq^4y zgTdYl8}avmXCTiyWx8wD5V4LDlCLng3Fh5h!$)5!LKKP#< z;$U??TQ65@?b%>zJ(!vcvekO6KD1&5GSca;bJJ&cFIe1_Uf6Zcq9y4xvU270%3`@c zQ%vVrE}a=#6J*PSm14f0&$Z7#ZT8&er1XkHsgTZ8tC`_+zErOc2P>+X!F)P5G&ndM zl>0A2J6L=^iYrSRrRtGlgPB4Jjmy^RnQ9%%+I+Q~E|$xcpf+5~){DsM8LoBIi-~-- zP#MTqGsV74v5*T^rYl3mW%W$4CTe%bIUU{km9=0+F;g2T7Wz@LP^#yv)u9R{zg5VWyTBF93^5^(8}t{rT!S`Fa2hCrhh^dY*b`rk>FldUrmPQzipfRtjZQaZX~< z`QG&qIwUprF6d7A{59DMxXZGY4#at=p6?jQXD_Y|4KgcHE!Ppb?tHC0RL$mV%ziw=K$rI$SNTXF{-Ws8GzA z%6p`86h!?iF^-99zLKftLt>bT8mhL!j!5;rm0}q|VV6+%K)G6vrHXVyd=Mo~vG zpRpYbOCSsw61YuOGo{*Kp`OTOFGkK91O?qKluPItz@pNMGG{Hq3WQ*F=T`}}ecmY_ zI+dmc*?M7h9z&h4uE=EbK|eg@%H=AGSoQo$z7zqe!XSv{vY9<)4qB#G%bQusu?6mh zux(p7j48v6?tC_nx(p7Rv&O`Z{@UlwLxc8d<-8A_Vl&sAmoKfX50E(5uQ37(f3E)UgB01eNKQa`JZ@yc-BcVtSKlnV>>dSS3oS{Xp( zIVgjLVzE%mW18pE+42y_jopPl$bpzuuGD9(%w|u{R0mHkl}q`P^O^p_$)~30oqWpd zIj60fJFCA?n$_Mu3k#6ESrOi>Q`_gY&tcx`51l+X3wvaty?oGpmf$lTZn#}bI?Z<5 zU#q2STt^0>aapyIf?ZpYUXi&t4^9pn7cy8Nj~H;T&Sr|mbbd{tUa6L|wV+mBQNIMM zRQA+?a{1z@gF*>7vft){flM{mP$pBSOHeD-d;=Tpsbif)-EvGLeocP@)3ANKhz%PZ zrh(S zs3?`GT^yby*whX$U8PKaF&`{Mr#i9tCA*9rwz|52O(Bhw-Wr?(DnpB^ ztFXx$vRziaSo&Oqb|qmg2He_=h2lEoO>%*woJOl4?sez2ol88#>PM4^-#uH@0)z^q%w3bclk3r=(??&A7v z5Y{JCD;!6hjcgOsn9~_}lfEGYHo)PPNM#5C!f7>}gE&PqXxKKfBrvbAg<|qzvDxjr zp;PI+@t@|^VWwYqg>hmp^n^mY!WNF!y>8PzUhZ@js*B4xZ2Wb%s!S+lEii=XkFHMR zvdK)N4xFNDxT-Bdk;!4w3rpOaAf?mICaIY- za!En=VSj@5+VCJF&|a%oMGjcaEd6#9r*=-<_66OG+cWigwa|}?G~jG`a1fWeL3=LW zKeQ674r?LQGyVP5{A!1=idV9P5Tj@?ldZaP#R6u3NJBfUYA%>9z>LPl{Q)L?G{dI? zx!)i~@EIYzKS9jTc}yqZAP=_+nBx3|j?Y;xS>9hDho7a$jb#r$a%>(RE4ZLvCSKfbvo@ZT5nwsj4>&0lK?-ux^}NU}aY4`_8-Hh!=*xQamt zleK*jn7WP2ktCo@d?9T5GSp zX3xx3Bgd9YDMjSZ5G5i)^#gO^X@(GnaQ71doJvHpNYwJ$NDU#DrcT!jdozdshQqxQSA>-?i{Esq})Uy(3Y6yBS8b*by4tW)ou zX!dR17F!WFdO}DTH+8|xjDHrUrUyDGc-4)3AO?#j&S;2uP<;S9u)R-Y6! zMCrZdy|Q#hT*KRj$ERy~^MG!5t%hh;gTfuJFi) zxaz*^yRFHroY-uhZVtJB(yncUH+ck>a)i_RN9&44jt=P?z>{@^3sBOb6@Se z<)wL`o98`TnqBYj_i$;udjHtvrD^a~QznPVZb@4cP%|Di13hEv<3`_D>Z$j2G3#S1 za%z~6jUP6ycXhYw{JphC>0U9tY_F*5Q&m!Rt5<&VfYKwmu!rt166ZVQgxarMs!QQhckJufW zofRwy^)g~dbRONMB0o5Mub7q@EE($?;R^ZW#GS85j4yY7MRAq6%V$<@lvM@zC#(Fh zu`=ADq9D{fJrfpOhA|>PbZC+*bZFvPV)#xWy`N-NAX1qbEJeI>glxP#oArY>r!np>=ZC{74 z>J<>Rr=aW-o4i5Mi>K(#;Or=zyh)Q;IE-^ zX2r&!FCMS8KIUkWk@1P>n(*1#!u?5}+qF3;UfXm16xsONo;Rk*zN-)J357Piy63gY zvd`*+yHUoRhx{-v3(oyNj97FE8Op zac|8=PxxfE2;CY^Y&1*EhIW09+#IOiQU~h2B)4PH_Q|sd}EBfmn-Zv4mTs&q) z&UU_fPvz25cfD(0eBam9Y*aH!25LrOY}C3j=emM5Kr^8{19Q)3;mq~^itlg@{Pu{^ z!?iV`;(L_8JhHi2^vejzq_mA)NBn&RX2j{KRhydwMHwL@$=tVSM%u>o5d}Nl?)Ft2 zbD8ahXjV4cmFL0gQ<%{PAy;1lqvwuXo+rEdwss2nTxM6Zz90Bsga7vcf++E#U zJFe+j5#0SovIspyzx~_6ueopebnTmdP4M7%w>i0m=L64#-a|IMOz?c*t+4Up`?DS9 z?s!{WKX`1%!#2G%@L+FunoTbkJlNZvYvYv^oBLMHNL-(e8A>D2yn*enS?4Z~N85X& z?LM?|Cfa!Xk&^QKa-$&JR32u@EbtZTxvE-W7OB%t)d}wIFiC_uMC)`@b!4>8cvZ(! ziEG*9Wh%d7N}K#{53!X4Ps`#I=te%EUY=cJ-^VZRft-JRxQ?Ht`p1C%R8XG&!J`)Er!lW&)TdVGkIo9_SKf=+F}3=>wMN(Y`kcz#F4EZX(^pKxx&+sV8r9~ubC1SgGFsw>pB=qL z=#0b5&#Mgu#HKw#KW2w0PC@8+pc6A(gvJ1;EuN_x1Jx5k;a(9u2dZ%pZt7}jo(|3a z&|Ky*HWydPz(CA{I8+?Jn@eE^V=#^rFpg`dEG_lcuh=JWj^B7(fc|Pm9gi_RP>*ZB z8N6n-tP+LtPI;f%)%Zf*C$T0qR@aQ0i+&l{dsS5+lqAAUpN30w&2m>ma>ag(^Bsp) z?&@X8e0jjwoq+XW%1)QZs3*dU4|lC@Ypr{A#u~~79xf?|Wwc>5aN)ezgf@qpmZHT4 zz-BA*^wOa~xy%nYJrg!}1>7Z&z8LoJ6{Y(d%w0v^f#s_qdjzt6A{nxWP#UO8bz#|& z+AG7tJg~WUc}ck(5N^6XoZn+l!@%-*)VkTyxHBwm8nsFz(B8Fr!}bvJyhz2S^EwuB zc@ftjw73Rwg`4Jw10g8`<$8raUFi%6@B>BLq+R3H_bwim~yDF+8CVJ z)!f<@oD0Aib7)4j9P9ad_4U)^~-5xw1yk_I>j6wOJ#= zO}_9qsF5k;@vrQ;HaXlR!kfJ%@ciHmwc6Z1~NN;cUBQ86RTpa2kjdGU%>qu@R=KY#*(^p5_<>tO?YH-cnw*>U3 zioT=919~;+4-~x?rFV{u+$lay+F`PY3 zg#LCgW>>gx2jF!v5PTn;~@u@h0N?uZN7#{I_X7x#&a2W;HZ z?5mNT-r7^^HM@!3FV%j%-3+<%ys;TV7GNDzldNLMz^k=}oihB_@Vp7Xh8Ii-eVmxo zNUbFkMG;m`Q#zKrwO&nXc^a;Y6GC?;!oEKlbAMd@mS!{9Va+30lgF2tjVlGt=E}80 z%Vnq}{-s?dNivif@7svAb=gb1GLu-UElUm!Ov>CyPvb*@#LSIBtfT+g9N#!*wP8y$?neT~9qwI2d`n9kJ}b@rvf0K_ofE!vo7lBgUmTV_rDyLDt>7Zp6%W z-{EV@-Mel<>7K(Ll$M}$`{8R*x(lUS4jU*f74nX7)AfhtvTN6-?ybE_mfx|~)v&~L zZAR-FmzW1P2Lma4YsW6HdUa2{5mS$B9IV`FlonJyjJ2U@JX|Fk@9-B?onpE^0cUUR zoQJDEGrOR?dhJWQ1bRb<1B(xBLbk^4j2m-tsA}x%&F1odjr25b+Os%5xI26q=uMkP z8VxC-V3N^L8uG#B0BtQ)f^yI*XQG_#R$f99<+D&;Q9UALxK>p8%pRXSf)#pFY(^;P zdA&J)xvxH0Zes3Bu+WJ)oZ7g#*}uB3|4Acvea0Ci{_xz~DI?>WJ;L>wh>dG@iKlbT z{(0p;ZzGO}4;jFb_G@8ZHj2V;tJF++n;xUSbt1HFzX*MeW8^^*>WL$%dBb*JP=wxC zPwB^yj0ZQ(;y$q6zs@rj^J%jP-FQG27S@Q+?)?I1UI)3~;aeAv9hSWZB-ZJ%m6akf3qCggZTjMV*oISl}`s`xNmXCy&&ki>|9d5+y>aed+#0KWfnzL%o z%I30OBJ`&N^^F}D${xYcziRh%J+Z#SmJhpPRaNWR@(#{d*S@c)7aJRJe!O;5XmRaF zU4x-#YDICl@Y|Z=*|T7Ee)!+Bm(MPSRd3;4jR(4ps*l~WuWNFB_Q$_M_kmh!j;LNS z^UlV@T`TI3be&Xx2>d&0u~XF;ZaOJ^{=y}t@{5ANO^t!}4_)X3Z*2I2g-c8KeR*Cr zI5W>*h(xaz`hf$aVx@`RGIVd%Orezkm6f^A|piHatBut&tf>hU~m*%vJmS z>phKF3#fLozS-D2AQ}UKaMQLUcQudbCA%68+}~(C@=SA?-%}BXm8dcjM%9e+!Sp=}t&FZwZZC+xp*4RU!z zgsL%y$Lz(}8!e_b2fBW24o zD32fE?~%~p>T2A%bffD|f8o;yckME7PpOc9<5lgc12K(q@V~anLCbf|6kjwXRNM?C zd_W3o;se3m=gyFKy7s+4*SOOMU*?@=1=jVC8_3GggC4Hdxy>TX;GMv%7uM@HtWGHR zLnqu6I&ckk0~0I$fpX)K;O=kc$~&=JUTB~`EZyzCE8LWOQ0BYg>9Ye|>A!zuh4ueT2PLsEF_Wj>;KJg%G;emN)up4I4Oh(UzDe_n(_I8rbY zm*MzSU1jF(&s?Lg2hXg>^#F6WC>XnYLhtOVQ>)zh%c`=fjLPh)no-=7`3^WsUPCO} zL|#63xju1WpGRWvg>!I3k7MGOu*43vZv*Q!uZtV+Mh}ng6mB}_i1(nmXW6NDmwG<# zTXt%7Y}wtV@}tblnm5RPDcyc<2qW*$1GDZs_6$dzYG4!&m#}|jHj1L2?R!)YKGpoe zQWWeB>>*|5)k}J(}+}o9V z>euY6#oleW=|k+qBsa#76^*&&Z|w28PG4VG{rA#X+>PPggws1?l!-avrd~&6Bkvyr zYJI&OZTZm<0lo*YwA_WA-tc_c*DO!MDz-Qz-JS+}-IOD==z~R$!wq4x+`nD~xL;pb zjkQZwIJ;Asd7OKnn7_IVnLb?D{$O_HNbgpyzY6-I6^iS!obOtIN*qI7DT1PgVUhPsEdm2RHn?Lw`OUvHgb6ZJ&^ro_rv6#Dt;_moJ zQ_4a2zS>zO>t(-{YjPS=nx%OP}Md zv)?+WS?5ga98%}{T~Z7r#-m~@eCu4*vah=#rh;b=a_ZXZGcWrL8?@Ln76*RgckZQgXUw~hUh#+bnoPdmgrjYI=Up^!)|@%>Yvvs# z_H%2_yZ9*V`P1gi(=BRiY{s;Uw7@3>{!gfxby4ZqsiH@Zp8Efki|5aoF{|hB$>TwEUtaeb%sq%r#V9Tix$+7U!s0zw!#i7Ffc?3&{wYHT6lCXua+z-Y znuaiU;kO>-c%*xO$LESYN%mDTxCjbwBKWLA2a1hfxd@1u46shc;geDI8pafx z4Q36FSh~Lihlo3b7vp(JEAf3%7IA4P8p|bpS{$-nyA7IDocLm;*g*Do1`XQS35{Tz zqY~IuvqBW{q<);kf`2L)gg=sk>rl4fcbx;7(epUX`A?Z}T}*D?rY-736#o+a}H z7WwCSxE1N01CrSgK63(IWA321yDE;y&-=(8s`w(gJz0!ZqoLz8R$1a^yCxgac0Ge4 z+trIw{L#1(07^zaSuSfNvMacHmTC^2yY!%RNi`^4dQiFyJt)}~T>Wdb8pmg(D@d~k zQ{)-MGP%;-&V-4?1=ZNsY3Nl}t;ewib!6R2 z8ajoBUS;ueFty!RY4;P7Ux6=QBgu>=e2*8pS&XxC^>&M^xZRde3EpWDh(wbHlH%#< zI7z(thuzgy;}!ik(l0T1O}|8XCFwy*{vl}fyE<0uU9rQY z&2`eHGhwNk#7p|`T9e_eMltyUI=NrT8%U3Cp?@K*f_Om5Cqkrt z#D_sV3LzkH#jdag(mKRdHi<~O2^Hi(BNF071R(1U(nX?br*R253s6k?nVN$XEhmel zODH}kTCqx1ya-Hxkc#IR%#Z`hd+T%{l0~klSEn-$!DS!b*$;j$lZ$=7&4J#r$VHGJJg25Zh#{a(?cT_S#TUWoKXP?dhX=wC>Zb(127=0iIO!fxSun}zgT zdhe#H`cYlhErhnezvi-eQ$UOKBV>%QsXZ7~8{wkbJgOye#K_YX1Ekf;cd7az4V>;O z2KR1daUWH$RTlLW@eA0g%JH?zfSf2YX*mpj7GGSPwRl0u<}i>w+Myl#f{??y*`w)V zNcYysoUS6p7{YIQZ89QDmW`r)KM#{2GMnD(&h?_kUo$q%K6R z{>9La%*oHex_q)5IFF(I-{CCl9>uGt1V7#-pXB&@7AnH~HL#Z3Nb^s3K<}kg+TWV# z8-$O80!wGIScrA2=pb4xmqDI#$qfB#RIkldVlo_|r zi~4x}SU%R5V()?DD-q^}$BRkM@HltTZjXtN07Qt&YTjw0h=u54P z?qTzPvKjp}YV;>%bfVqpi^np$yOq)ZqR|BC;xdW@*C>?zXE6Q*S2uDdqKiIN zxC)oQLi4H`>&`jH=zJ)ZS5Q zZU*wWQlooWXMo{X)*e@CfWcQvrPmb+9cymkL>!~0{nr&Gt2sUWu}I5!LRa zfqyFlaR|Tlyt3D2>+5N0Y)G8RhVV}Zm9T-0E`)6%0yt#d8#G&s`5j#9_WzC9&Zf<5 zdJf|nrVMD*5*E|s*^0v#S=R)U>Jq#jaEpYu81n)p4=8y%MAi-^q2y^ZS^uwX11%zV zjm^(g)QWkIw$>=!v!Ngorm>YDC^bEzU!$68&j(7a7HTnSlGF4PpjH3i6u}IuDfwet z8(V|A*(MPQYZ%q9CYR0pX-8SN9Txm*IsB_~$Ii~1TX~B6eJF8xEbGo^3@WBm+{Abb z=u8#UX^KvQt;}oC2&w5oq&KmtnqCaL-9X6MxcDoq!fCLA%*dcf{=#BuQuz8qPqz2a zmk(y1P*J==ugXgYCHXAsMbpis?}3ukbbbuzt!RWr_X8~wHqmq;w1hYVhpa0?HLC-8 z>F^e)MFEb1PyyV-+tP_z1Kigp5x#}=U#SeyML*@@R9IIhcWgi*e!%poMPoz1Dc7gl4wYLN^fJ(yY0nu|ea-a_kdtD1XA*HK^7eKE9kPuBD# z&`_~03tTa_mSz!+zd<3JFD|Y@xq8m|k{*V>0-jzy=Q1v({Aonl5IMYh$#L6SwBAH9 zhtq>R{jlPOu+Ym@Tu$}!yi|l^SybFJXyKC1t+~q2Q1Dbx86xK+Msw{1rL~Y2d!X2! zt9G;F`6%Y8mkZgA++9$T2lNJV?D+TADc>ZrJ)Jy-4Sp}aI&46fSU z$8mDjj1CQ$e~d=5w*}Oa0%cVB-`iBVhLCbwL(rY9^Q59b%4>_s z!5^Rob1h!gcw%=Dorw z1<4YSv45iU_0dp&jpVE-`ClOMUx>9ZJp$^sO^-v!nNT;a6e__7>h4+zL}HRj&pv`L z57R~o4q3M%8qQ%l+zIn`Bd%CO?;2<;{!WY~2X!KI=XWS4Ur88p)TTs6d|LwnyMdH* zsoGbm(nt{wS(gLEQ(vL!{z@Vzrm99;)MVkmi^B7i-=L~;1*#+~7|&BxO5r#8ZLQS{ z8W(|1Q#%R-6#>ijnMyy>gKZk%^C7;2F zx)HWyT@21HIK|$ub&XL!$-;=p7d;&*Ny|Vr8IO8Q^L|H{oJsV8?F^%xh(! zlzDzi8Q?IGbtX>9LuvLYWndtFb2^<7aCL=_%GEgT-mYW4ca#Q&x^4+hYQ^_8>2{;> z!sSXPU%Zjsh^(h+y!%A(^z4_-YD#%lL^)Yx9i-MEt`{w>6RE|qcXLFmTx6}F)}Jnq zYUP}TxXkYKoO2?J-B`3XHQt6=d-XC4Op&BFHMpKJdoyCaL6PGoqZjLmTX2_Ribs$@ zYpQz#(+j7%#HCM71qO~2QW-cuOq#kIBWWC(9y8@Rz};fM=2h{o?{EHb=Y%l z65%)L>=}6q`hx(TvW|D9I^<_mNT0){M)DaIvKKe_3HLLkbxK}B`ok7_D(IMVtp3R9 zFcRV%tIO?-g!qCjkQm-v5qBTlqf@$KU$#l(9yoL;zrjY$ESTR6`OCh$160B2|(kn?xk5cWC@yu$v4~4K0DPteYKe=nxg?{cx-^ zHAFS^9eW@rLD>ofO|=cI;dWR4bhhI(o0CtYPEJ!!c1E3?rkrG;LDAN}cq}Jp+nvPE zW=k$LBJ-tg%`jZ&b-%k*IXVu86V!gprA9FVo?&;yZMUPg=1RLGe>}6ww|pYoEGwf< z9#u{j(TSQS9#ySbZFh3hv79{7%E>H3_KtGW4~}GA87>yOCHey0Kqp%`J-lO#gv^iY zc@(mrEhqNrFdj;(8+>4Mq-s3MmMG~&BT{1w%ySDIHLw>=R3Jeg7NQCgk(z8WAis;C z?^a=Q6v(yR#*(NhjpFhWS$i&`|S$t#1-3gw6LCQQ)4!2yw}200bRp@;-4lI z9bA$02UIj%^5|$fsJBxtM>IM!9bAm}QG0ZSP(Et(*G+IZ)BpmF&9B`7&?v)ajOb(C_>VS-l{^JZx8YNql9R}fJ*dNM+>n~ zNq;v)g`zFdx$MeeTZsRPh8R{M-V+TmtU~;!J;a-o!`3K1s(3)rG+RcR!ks9-sidl} z+2*2FeX(tbS-?RS{RXw@vgI#Y2c)juzs1 zO8UDY9^YoT{ESvfzReK{v4l5uYBfAgg;N79O^90=qTbxsBl^8)hznGR4@5&;phEn_9^xG3uyvxBC>~I}{AeLQ^`Ap* zo9Jl_@j)Ak7vYXbqxhf-@z-dG52_HmXW0^+4&}Cq?yGnRaploMoUEk3i{hX*D2`x= zyg`j5IvICqI>fhCh~p8GPW0O<#Ov%KK7W)DKaGU=&!dI7?>~pw7R8O|`f`^o#Al)* z?ouH>5)E;e3h_&Oh^IrjEsB>a9#H)CXd&`G^*{8*wjmNeneFBq5V|j(?2n+B?H&!` zk!Xn7ZjR{w*+}#~Q0LX7Y5Y4*uia^be@2elg?lz{Y|1@f;ci<+J?A{ zlg=nCRwE%Eh=w>yg?JJ|(up3WLcEnBQk@|_q#U*$E*m2ujB9`dkAz54p}ELC=(uK;K`oR;3Q&GJ@HNs zs#HY}0-at@xu#LlBl-JCXqldtkqqm$`_U|$L}bue(4Qy*ru3 zh_dy&Fl8v_sFztZoUFDPv-P_$yd%n?%^co{iERBY3~yBwS7hsVVR)aS8M*ph7)^)| zkzP}9&(_+Hd<#PWV_Q70)>uOP2@3yv`;qr^z>O$|zfA}sfU~t9sh}Uhc3lYMg?Akl zPe(}vamc!2?Cf}y(&<$C8WE~&G*AXazgo&ujY07-dgH%zg*V?Rl6KVB9 zS_~?S#kiH#79XUm?y%_jm~B$zsU+_rxt!AL?EV@oe+#S_={-;_5!aEk1F!?ULif%aYByTj*cNxnXhV~IddzX?gN#05FJ(4kbxIquR(7fV~HiSIF|_sYf? z{X{sRiUxVFa*{`*+abOZ?YPNibT4>TKXJuA(8}ms#=KtgZSj7ng}d9R(e=vc7#hul zm`1;{87%@YYV_q+M!#f&+_DTB^~&fTtid##dPjT#jA}SF{UqtL7>Y+Z-AYQnN<0D_uq1vMAOE%dc$n1_Y=QaCx1>)+q=|OvCQHg)NOWLVY?58d zcfAStH!a?hxlhWT0`GdWAn|VIh@1A2O%y(_s9XNEihK|wrKsW`0s3#g{=x zBj0u`C)tL*%brRn$0;X8Fe&R!jygF`Ir-XdbO?k;jeJNeqi?XEoTQ8{R7O9G8a+uF zjmt-G*+{KZ6nz}%==Ah= z>@N9Wt?E$M+l)kA_EFNKjQ$&J+9Giv+w+KWxk$O(8Fl%Ha(NA0CjFiH()2%wf|?s2 zQ}WO3A@P|o71EP7CsB+(j7a}slcN9I2K-YtiAWqyJnC)1-2ht}k9y_YTM!9O(|tkf z;676Fvq4AQk4H%b_g^+AQR#&d=@y%`b#Pm45|NV4PS~W}un9V0J7Gl!s3o`91NboF z;*iZyYZr%Y5|L6(7a3}kh%WTHZyaV_?LteQx4Y;Ap=x7}t7X8nc9Cn7h(sUrKNyn@ zw%>5bx}T%w2P?;qL%3^dHMP(x#&B}42%4S-N#Fw15r8q^piJ<7N^$EGb3Um^6@D_jODT((DD zUax|whD(i$rtgY`z?MJN;w0Mge@4Q(+2#m5TkpTsCJ`w=u;ouiTONR>tQ(GNgKoK& zY_hxU2;os@y0xtghuOAwT*dT_KRtymiyD4Mx%|;?_^M+W{-BlNrx@{f%5WNLXv2G= zhQCvWGr{(8QSU2} zvLotbk#drbn4+yY8_E&1Xd>F0n_4+}nfZN8Iboc5z9Q=6G38_cj3yn@T5R()yOYX1bla%M7h7CYg=U)Jatm6|n8Zx~Jc#Yj~dkBvj{Xgx76Yt|xw?jo=p^R>h8r`9y z{|AgFsR!|Qn4dtl2ee*o|Dfa@I@%z+qs6I$k&vxcJS+l2HpfbOE2QsgDc%sVg2jC} z-q3?Yq$QXPCh;bQnC>RO42P_n28M2Ty4r_00U_v++9~=Pdq~AlwoFGu8liXyDc22= zb>FC@&%p7?Ej3gyZ!nm|S>VdCDhhNOMDZpVI*PH%>vDKaQXjk0^!xTGc++##Y)rC6 zAQC&Ez48K^ldk@VlM9rS=BN`*7j?3s?}zeHoz&Q!q;z3RZc^sZ5Nl-I6?LQ~6Ja<> zy~%x(8yOc@*d1MZEJuH_J4!s6ty!;H6MzZa??j!fSMKkp69t*3-?2O4*5pxJ^KvUE zJvcJHv_WN{B}4-~Ek-#k zwb6mf-A8t#CmqY^33j9DdQ0mDC}*gbSu~%ZwzSgqmKF!G+9u23ydl!{mKH~t;)-;= zrN!Z;8SV6zmL`M;Z?Bnx4}Do%T6Y6R^a&AAdB9c^-j-2YTCV(FU|S#2Hq(s7SAcY{ z#o#q=V^O$gp`LLU%ch%~wZ<}*eeTZWKge>tdmQywUW>AyyLr=5@TiM|F`HVC90!K! zH=g<@&h9R_Fd5IXDBKqzF5@MZP4{W!zsj=D{Q?cX&2l^qo{NHUJe|LL7m8kKe7u)> z7jTmCJ&0E+jG=V()z@0SEg&H|8zzPC&y@QXQ|v2%Lp+7PiNxVBq%qRyfOpfOwjLtk z`xUR!cN_it-l4K@EObo~djbgKc-a-I20zMf_BeIVKSWPNFY~0d;wmZ*?u@DRQv@d;rQ|Ol zBkPZ(O~6VHm0S%W({NI1AUERx2e_;(C;F=hi$zUJ-pXMbl+-hBze1$k4wLaUG_#ZX zAy}HJESWAup)4uEKj1c1RsK=ey)dzehJRwM&lut-4Dn}@%_QR}{e@&k7k*3&%Ml{* zDjcWFiJtk`tO#Y}@n!HI!{Y#7D?aw{_CxA+jmE~BNGpNxbqM$9O5BmkCNh00=Z60W$#F}%ODs9!M&C#Jh+f{i>1t`Akw+}x|-UTKyr^IdCStC*H5G^ zw|JjNcmqY+W0uulY*wGO^kUFLT3v7zt*UJ0*?8Nhx_nDC4DSlicwDn)DPxa?L&m-!UOzc*WzJZD zxxiy?hS!`^8QM!KR7O#cL)Ja!jWj|_Zeb&GI6yT0Jn8pHZ%{SAW1|ky(ndx5(GRlT zC%sA0g`{K9OnkI}9b!CbHSN5r=u1i8L;cr`g}`A0=qM;3T8&^YW{7O|Pl|_>z1_Ci zl=4%X=(eudcaA3Q9Fe|jljcS&D;LD6T&d~tKq2$aPXiLqNGRl8rp@^-5x!yB3q`(? z$Lmlj|Erd}FA*^Zij*)@C&TJUG=?$6#-HKJMECvzw5%(MiKO5R7a{u`km!DNhKv0u zr8^)~{pc(g`_WL+S1~1LEBfD{(+U!Fze@47#LcxHLF%P=0BNQRQANhwAWOWcP?k}m)q?XuTIeA&9}a>WDQZ`xZ%hrH@N)20R=fcrq#z+7dunU_3uX%l%K zlL59{#K~{F3cD9%vhLE@NZ8v|SPw?S+^OjI>|u6>GEL93g~?AvsO0@}v@lO^Q{xcA zytsv@0_61=pQPm!7r@LdL=XqwrHqS27BJbN_ac%^b>E`tu03r4zSd$hI_AHLL{@Af z=tzf!CDon75oyP^Qg$4E8qjL#Q6}+5q8PNr+7OL3sA4@CvFTVf{TF+z7q{4s#(Jyb zA=x9_M#G`^_lUH-t(38DY_a#-SaZ5@qL`3BiL%m3ygOiCxdzBUTDOl zb7bYP<^ol-J7^UvFFHL@xot1t1M#XCTz<3&r|TN7d7BJvhGAb4VZLq%6*rko6qgAI zrQJ7-hwoKIwzRLsDAYsjpDL=85LM;_T8lko9q4G3pIA{wre5N>ClcjHZKI?#8Rk_R zHru3lUrtXYe9A8po!Qa~zGr6#gEP#D+K?Pb!TchvOaY&)g9rBlPW@IbcK*l;NiRQn zvnFG3osN7TMt)+X-7#*q^8nl30WF1AG3egCk*#xCD^AHLgO1|Bw<_%cvZV)g9IjZu z8zTG2U=EVt&Z=>g{?wa&e~|La2upCty1zst9Hb(+-X6i(E%sDYjNs3ThyFgy7Qt_z z$oYxMy;+&ui)jsfu1j`qvgZzdz{5 zsehu9-#|K@_B8!9>1^tsq~s3{0G&YnlRX@Iso0>%;zNq^6h%)1U08%xW#ZtsJjg4& zOA&6-Xwbzc;N>c46!%8qaTGdIrPGO^UIx`^8VY++$S6aB{fuc^h@wcl1`x6TJ^^Fo za$4i4WAffrJPf9@JrG5P^KwhNJtD2LOaEa>J!p$c{5f_hA6{kO&sNfj#%1vK5n9QZ z`dc+_pUN6)e*3}_P0%9rEF~!sY2RCt^DK$AH0dVNLYCyumW%nlMA`w1_g72%a%~6q zv!(^e8cTACmJAfxX;G*qdG^RjdSX@AkN`XEO$a*h1rzwNlpS!^9rj^chke-AVIQ`2 z*p;>pyUOaY94GQw?>L zIWIfVd!TiPUGHUw{gJflurDY&{&>);!)m%eY1Lt0RPrgLRfpB|6{J;%eM!lefiC$3 zjz2&*D1bvb$JZPEyZ8Wj-$7W+38#sLf1yw?NQll6)lMGZ)gPQrDJWckLdF(Yv98nV zK18Hd0}6J`kuVzRnDfA6$K;2!ROfodYwMWTThgl|(pT-$<&d(QJ))%vzRP`c7l>Xx zN~Fk0rGtg&i~N8ol{RT5<5K1N5=j052?N}$BzlA71&g;s^IY?9lp^hQi+Lo%#FsXv zePA(DkyZF|&0hlM7K>RDVcrGicNX)62oo5jy$p01)=7#vN%o7x`=%wR(SnwEGq`IQ z0Tl6zb|)Yn`6wn^A*ylUSvdfyd)T8s_OL6F2i?Oo{Tyi2(OGy;htq0>zC$~8#XjM) zWq~!ABQFk>qbJ)+8CH&xwp=UJ1fXjezU6G*Jlpzaw52@{W104;eP)E$Pp|UGgTLR7 z)h_J*H$%HCUy`_c%P;&$y&Tnp_sTs3cr&6HJ$QpAdU7Y+bDUNY9bw571F&qccEZ=ANl3bA z#FWjynR#g6fA_nB8(~0}q@iiBf+YV9uWrt^-?EU0!cG;>;M{y1o8LjvnjlZ-;|mpHi!yieg| z7Ig>|4mt;;3GJuSlNQq59n}2ieItD zKNl9!#vUjeJ$jMUphY&o^{&4$50#AGTJCuRYDN}0eW$ZVt8aL%PIOZz4#3Uz~3$3VgKE zcLoZ#qaaj!<@9RMLsW$-pcf-yP|jk}?ZQn;AeMXHOQD|!mii(aaz*TwU_S{qzhl_O zUIg~bVDk%vTiEeSE$tSTNEivH{AT4uz6^^DjHCAMi^fGDIzm{KfB z%14ld=`+=2XlWCOL<4PZfh2<m_1MOd$myQy?dbw4MyFiBxk`ZG2R1zonLbFV%8o zG4wJqZh8MF>-d@clw1yDbT$#Qg*yG1b~PsiG9ClN{7XhXPVT~tD{=A^w%bUmn1+ad zCNU6M}EE*ojy>-PDr5GU^0F^4W0hC&98-$T~4@ z=|jiRk{HX{8cJ@FvPV>Mi)vw_8iuz>VkVOQLCX(eh~UI;kBQ&OY7a@G7=J$-Zj~R) zMfqW+@k{c}iUtvs7n)0Hkn-pehsB(qA)p13sUSnHuoWp} z7det@sc7DNP!pN#>P3*M8L+RMLFuL7eWj!yp*twYg}0; zgPsOKRwW9TvM>yVIu!D#(qRUuw?NS_hv#2VSFLw?$=)=4CwTv+JaaNiPe6)H3OPVd zpp6D-%MBCsCWH#6%M6jpPW3JrMbsWC-=a3Z2qh2N zIb6>Wnf%XC;MZzyfVe7+TtGspZ6? zlTC%C!b}usQkg^3Guc(UfQfBK{xU=l*1sIQYS!-qf%>}4OwK3+K;fnv`xw}HfZ9Ru zuBX}{2rgBr;WSnTN;PzG*XoiiRhz9U(9hE)I%G6=N!k)cmvM@`>eQM`n6z}9WxxLwulv3tSMRNR@| zMlO2Xt}c*9j>qb?vi!v^Jh|d(f53?@GFF=#C z-D5QBZiA8 z*G<%jSp%6_L|LpxM{T2;Vg`DOg~cAI5R11hEY2?g7XRH_8{SRG-d`X9^eHsLyEP&RHHp-%Mhu=r_?6vQ{2rar z6Qf8X)IALq&yOH)Q5}nkmlH|%gFt^By7wYBcQTh|C1|d1 zH>8}9ea$F5z``LERUV&hDH$GV&2TV5RiH&1y|t1^C2 zUS7@dJ{X=7=FXX6k*AVWYoV*BLwX5euch*}toIVg#CaE6)GkoIfiV0sM0hT0B3_fG zK-tyN{~%7Dj_mI0uE@MDX$?5;Vn08U@99L(NzDT!IcP3xP$$rOGNRuH#jJXDJk{`&TQWQ_1-cx3UYV)9O~%N+@SJE1^bGHvA4)0giQpYkS(x z{WF4;AF!RW0WTi4lKFu1wD9t#M-B@DAa$*;>jap56(A4digOqmeC#nEOuvX73w8n! zh&u~~EEHn!L<~RAIT_yz^o>#!n?w*+dCMbDtj6nwFd^&iHzF&x6ItvC9i6}v8O3Cf ziP>m@Jej?BF32Qx6L<<^_OHXsWiW-_DCAjRB9`PgP<$YW%hn?Bc>#P4+*ERXi^1U+ zZm$QYC8XFTV5=`pO}hpqeh(o&Vu8)b78UL25lEGzbAt#}Ia)(UtHGzEZs2N1&w@io z1>m$;iG2xd?T8C>?Fa~JV0>)H#i(?qs)XmUPG?nD4X%sAx6Be^IijNTo!uggWni?M z_NJx9_vMy-sEOT^Q2YUPst9sLw5hmdM}@tei`=%_uFwS=N1roa?3V zTY+m{BA01Cc6?z<4jfqK>1gLghqbBLoaaX)w;f0*H;<^hYJpL*u0Bn(^JHC zz%rJ79zGguKFD&shmQuED_PYqyb356p9wY}c@QkO-+ZbEA>}i{=CgDyJQs0w_mT?3 z!)JocS6TLX_)M_*Hp_S>m=6P+@9v{On%ho;jTrT?Z;X1_H%2||8>1igb#0=*!^cA> zR+=5;tyESI`^Kn;ePh(azA@@y-x&R{ZyX=?HIJ9Gpc+4&qo?9zxRRSdCyXIIhG8f0 z8%1O#MIw=#R`QCO?T~(|*6|lE64b1DCD$EEbEtdOP85yX&+y-i>hqvGmAZ=uKsPx; zru+>u=%hY_^F6~cie7+X8Tu49+`;GWe>}nqqH4xP5KV(<94u&oL~Ao>i=Q{18D&#H zqaJMbTYe;z#(X!cw-WB;Y?tvVgbzW;&CeDeX?wwb8timDCEX_-w^)o|l6uND5=P=7 zq)9$vqLZuk)ZKx8qwmijVevaOB2%po9yuAF{H2&Do+2ENT?4W=$fRdU{>?%sBMp{5 zgU=FFZsRN?02$jbQp*hAKWuFn2qA;jPwL`J(pmyW>=E2Df|h;$^h6u`+5Fz8eK3LE z%3yQ2{V3mO3QvKAXIa-Ca^1f7*}l`F4f=o$x<1;V4|RiPW}IU=n%rYPpaX`tM8Q(># zQ9&%RX2vxgF!&-X`G>PKD8T@DXzmgl_rm4g>C5(x3UEM7e~g5>W=33n5-!RRgV zTNIN#q`=^fu{?+K7prIs-68RH$fbZ7-;16TqXx=3H6ULA=~IitbEx<^$kn9rH)q>i~TWhIyv8k_pT^sdv8+{#$`nrw2+K+&7ZVhnx)Yht(D|7iA zW2cI?_XzBH?gFX4zSPS#x_pP0$_0^j(PHCwk?T@7kg1+Tk&a9z>stR=g?qPeqS~JdX@YS$D{RL2p2|29h%ou(E83fUQT!gT+a<0 zOOu#n;rWn}Pre*Q)02x!oSZTdWuGuEqtaB)E?(1+XR3Xidh*WJ71fZdBHPsOeuPns z;Y(OXmY>G?tT63;Fk^pU@fFElCI#2e6}L}WpFbbM*U}5L8Miq z5Q}JV(c(iAlkiXnF0#g-G58ZcW6iG=1dE`?w#qtw^@5I1OG0p&sF43k0o1b$>yTvl zScximta1n+Ex@&w7qHn7w&OeA_oI-x8XBBIl<3WfXe$b7n;;sX!@_+qp7!T`B?Fsh zqC(m-hzYjhfRQkWZB9{uY=*2BJXyEf6$v#(LHQ#r>-3~3v<8d>tvX7oLEXziXQ`<> zRl&6hbkf@dAzkV30G&N^?mQtgl)gMUM{S_AQ&gvNtKr-l;BBo882tJv)w;CXk7 zyAbBs`EG1ng>9QQ@jM3Eh*IO0-E|BV$itT5ixI zAjggIYO)91poU1?Gi-w{rw*p#O~!OYnL3p^i*-4f<(pMrQ*)?uiz(H2vkjexYeHmRjVce?gJ9Ggj{C4%93qRtFe zz2HizehDL9eb+%3?t7TU1Y51a^b1Fp=$cM5Bb5o()=!9=Vm`cDON_G+n;(LOsLsEK zy5RcshgV{eZ4><=T$8)avSbXc!xY}y;GVMVJ3h+5Hz3O5#MC%fVou_T?UJQ>Sffb9 z#SH}ufLTSvV)hWO#6fX`<2q#p@c9jQ4>>F=!Wf;JEK@we%)gdqNo-ws+RNUGb4uK) zab$RJx<Fd&-lFzM&>KKTb;j_@zoC2t*I~577aR5RwSj_k(B1NPHC=AY5QpN zqAAVODeVyLT}pC{l>UM7*)sh{;loUC_uFPnSaS#m*B>9Ow$`Ef(ecR?wwhEUxyAU@ z$w-PM!ygUF4mk}Z+r}M?x6H1;?P005G;oO8NYqSk)f+e#ZMlqOEHjcZe8NW$20h%f zb;fe+dC@cHvB%|6r~5yWGx-OHp)EwT?|0G_!JT-<+6G%RQ^=D&0d4w3LhBUR#~%D9 z*(WWeG2&M7iWXD2(_hUj?0lAl-J zHc9#)jHf@E8+$0xp-23E_GHA^l3||^?X}w^BffRk6C$7{M(ea4K}oIC7g@JNu6nI- z|J~u$Ds5>xZ7o;3DCT&lkeK8C{|~JCjx`=qZ3_!`%TkYDhUbYi*=t{Y_#&&17>nyx zz1^~p#$sY>SxTb2A7haHpZ2~5Fs`FK_w0_W_@OvXViIFJ_DYr=6YP~_DaHiZS-qUd zmaWxlZEsb({=5pbdTcFTh9w|+^Km#|0lm<#m zN^@!2@(7O-pp@M2n>qhEbBy}Y%ybgtJgHo{^G{|nu3^l#{4{Y zO_u2fM(-kDTeSgg1$?3x!K0o}AWkQ)7h?JOG&*lSVXswjvE18)b49buMYHGGi=5Yb z%#yzqRvH`e5HW_S&KbO0z)w7m0 z<@8=?h8(AGs$S#I_j!7qMb;PitZ5Dx+6wUq?Hd`bR}1qJuY^7KU^(`*ZRfMfi>)eQ z605?)`K2=OS6_k=FEayfaeO0Q8=f;Ru`{sAWTuSuGG)Zbi9&OZ!Hyp|d3zQO)||RQ z5ZGHXN!R{2TI(s)w8=~>mwIh?tqsrm?JQd4%RD`JQ_p3_9$#)_#8$W1nJ;99u=#l1 zMfJNg5~tYERzR?gOYD}Iu@Tr3m{+)YCQG?nf*L$|PCeb)E5E&^Fn{x0>vHEG`%Xn> zn?TFvZH8U*Jo_5+3t>#vHJ)=U#lqn2$=J}nwUaZkSxJ|PlrreuhS1}%CQ^DF78sa~ z*`yV7d+%)AX_%P>KTRt08~1S*T6Mf(7ItFYDMQKij#o6^+wXw|k;(f4T}zaC*YB`VSqYCoFeb(pA0G%r@AOF*UA{ z34X0i@cunKCPTCI%(;ixs~fuxzLV_|Q-XRsOQwnjyNg+HgPmbUY@=OpUQ@S5tZnu7 zcw1gcU2g(`Ye4E&ehN?5*3p9TJnS%E1%spISg`rCq*r^Vf@-oZG z0byMncIpv?o{Cucfw2+tn9uVyCb#jlRg8kF3OEfrSZMSEw@4nhgj`#=T4=cVvf`I6 zb1g#qrFC96+k{?2IU4a~R=i(Ykc@rz9(IM_SZiyZAJPwR&AYR>u6Djzz*{Hek1UZo|`_2|~f#!W9s*^0wJq0WYx)(rY1{Rd4j@CBVb5?e={&RtA~G z>Y2cOv{}#m@gHP>i9qI>)9_z8o;G`;SmWtsW;|VHj?%4E}vl!M6j?$J%o7#8lL|6;EqT zdFD%b#(YbO^p7Kcl1N++n8;a$JYGo?IXAj7pJMa6QU8UD;l@1M!;4RSc}XmIsh7Ai zct@QJmxx>sqXkbBflKHme#~S$!){D@-iQ|MaN*O9SGn}UcRqPVPNCcEmXrLL3rqV% zvyv}#f~S0Z?9K<_k0E9s@$*xBv5VJ16Y0|dOb)y77B?2T!?Ey}@)AqF#Lu{V7`+i8 zs=v`AQj7gz`f}+cPP?$Qzs-dk-8kXK%>M{>r0zl7j>8Cyc^x;AGwH@sqr}W^)OPS` z3`djuD9R^X`fnhvS!@odGpohWPWfAc(X%*~f}G`q0mOS_1d-{dBV z(7%vaeuec+_|1^my$)(U^~Xg|k6`4)TwKD9!?4&-H}Fq^p6!)iW0N0HV^L|h#8OUR z>BlJ&`2I~oFK;doPY&`Pg1jRjXZz&XGYdXqGABTZH4g-CIUzc2>=d)EGWv5TWK;Pt4e5HQU(svPh zrs=B)9FB>j&k@dlp)h&U_jsXvq&#`i_YnAWW6=xC(ib`1a-^lt!a$#efxg4(mOtBc zteZXy1AP_Y&oq4ofnV%c^toyU4k{zW5cVU;MOo;(Fwl2lpzp#!--Us`3j=+W`Q#;z zzQ=s{)6FLj`Yb}vG<_Ea`X-Vu@-vUV3Ilx-xtOMJ!a(1I;k^hCBhWWtpl`xJ--Lla zh+M~!z8T?mggdQ#elzOl2>gm6KO7_%Kf3ffggX)V@kP1FlRgateHsS(Gz|1<80fpm z#WZ~w2Kp*;F-@O^fxd}cOw$*Ui)s2K4D?ADb|J{cPXj!I@EwF7TKRnEzYbwJ!b*fy z2x}46A;b{mq8~#ahJii|1AP^_n5NIdK%a$yzKL8+(>IZRWSTw-1AP<*`X~(aQ5fEj zAQ%0T4$1bOU`A2Kpp&F-_lufxZa?eG>-yCJgjRL_VhJn=sHfVW4lq zK;MLcz6k?;5V@G9FT#+r@^1q{)H%ezk$+i!t`orp03i}@n#zqfjTduAvqhwwc)ZE{ zA1qXgWAIF;Gr4?xj5U-_l*Yg-(}>M^@tZogkDgK!@2xexTyg(I1^Ku(jXGSg8qbba z4jc94^5tx?Sec=Qz<@2_U-dun0$EeE1~N;|xA{sLm4*y@qUw2MTo!B8t&G+7cQmc9 zXS%gZEs5){1tDEk^(XkGVdL6uF5zBRE&Uy;b&uK?Q!VZF_3G8_YC5)2EsqhaCfhf5 zXtH7aRqgl_jn{74wQf5zTWj~!s!eew)yzan$5XM^`lgN8efH)3STu5}IuXB=_}W;l zT0Xp9orqoDv|SyG)o)fe#ngGMELuzUk%`(bT)t~d^VY3uU0mh&sF%c~30fV$qcpS2 zU1rznI9{vH9d29Gw!R51R!3v?YKh^f&HMJ+F9;Q|OZQy6Q7wz9C42hSxv^cnYUKp5 z(ONbTmH*lLrmp&p>cLy%>hBy+d%Eh6*F;vUnXiK58+Go7+UCwBzuNF}C%1(M*Zjk2Y^K7o=?yL3NvRJ;BI>e; zIxwLwi>cw*&b|FRuiLeA*UoGA?(EpPckkYgo!9N$xwC1~*2CXNA{Sj7X!OsJvscEW zHk1dW_IOkqq6Z?~0cGNYoUSFb*Bh#)n$b9$jiF^Y>LGwn_Iqi0ZGILNbcs z^O`=Xi+6YGN1!qzUsDB4=Rwcl=Heb-0Cxv%#IHHP^J5F(zPkYKO|_9t>lzXwyVlc3 zYv+myI zdW`Y*Sp8&4+>DILyFYR8}yso*SscC&v z?b#RTw4VmJd`3&Mr}offVBEapJdeYnrocG=^m&m>!z1H=oi}&1+`D3K-g{R>F6s!( zMxRH{Zr{q!U3_1Z{-g|yA1sXit@A?$`QC8GXV1s1)Jmg5=dR>8V9hJ;d8~HC?iu zI@j&Tio9Z29c@=jW9ldt-T0bTw};*agHz>+^=<31>^C><07VllYZLppF18cDR}+cw z>mgC+p0l`o_}LSgVQcjYT7tKJS31-q;iv`47&?`Aj6T3L_@g=Bl7y$HTS# zNhG2z{Bwm`(%u#@i+{zk;!i(^0-nV0V1co4_Eh-cDC(lpV;k2%?LF$e_JDo-Lo}j$ z%N6BN)7GY)>*|}D0u%GS${rVoeoN*)?q{j@0O?nLr1iSiNrdbACtF=PxZ9=v;Z#(5 zT>ZD2D!jlcy28@&_vaFkgl@c;_3z;bLZA~qFByDUJ?h+j7EMXtS}klvmgV?{Hz{sC zclXqvIT3}4`?z*Huhd@KzMYjoFTl@V72S66%hZL7Z?E5E{Zsp6YF7+{X1geX8-(hc zkw`3|`?bAReEWg7H2!X&|L=n9VeGKobwcBCL3TFXA!~~6mp=xTuo4&hfwwe;qxjU&o3O{APE1WEB_iGsU5Oovejencn*jqS2f%R%jKsbD693PIN zOKdfvb!W@E=2tac+gsmTzkXAb2KFM^)Eg-K36wSKtF^A`CVzci%+G90L!vq0JHHP; zkI&F{hGBv}ren><*7~iY5nbUAf%m+u{xEhHzLCebDNyC7fs)QS6tI|Y*@X`6-6~Dz zKCXJhITl9?sfGFQLddTzgnWJ>=Z)lrF*O}m7sU3e z!tgfuZSZM$f6-sd8S!3p``NlTt6&S})H@#wPm0{ySAXK*B$vL|ov3XP0SB|ek zGq<(ZswEHZS{E2nzXX*Gss4t*(0HiIc@Z- zWd|94wMP3pyi1^UdUqp>P3P{Z9jR}|9+AC(--b<$wT)}ZZ!Y*@oQ{5Eb@=V=IN8*IJ*ciigEL%PQu&S(To+};j) zYJUEEz&{}O-PY7BYwiu9A@f1dc)i#VF!aycC~r0AGc5IVRTLjvwFtJU9v5xx||U+|p85&k+y%=-St40^Suy+e7XH*9T9) z;L!dDpu{;cJ9F(EZi{MZ#)~*zEaL9oqOIZ(>w4dY$=}Sv=q{k)msq7b<|*}%5qE)Xl4cU5y>OH(cYbdK@x7Tz2yeKAi#Rs42Q`o=e_DK}G zZ^z^=gO5VhKVqT4R`ZcHW_Kl4n>?|rOGsa{u^}PzEFYMvFJqn+I~g%+4{no}OiW&@ zt!Cp0H+Sn|{CiqIeKG9ed5*_3c#OlOK!*J z`Szx*mtx{hwjG)3S9|uXSD$s->t*rf<6`v<_^@UVL1uE29$na53B<#-H|{-z zeLm z>WS%IOe9jtbsd*>w}kFM{s?$)CRBC9(hNRsS8rHm70&P7TEA=awb-Q4;qc~-LaQr! z8nogt9qQkCxghzRUj2Dpq+OkBw)vz>WqmW-c53PHYmTYa?W*qfb*iIXwZv3^Tz%ic zEiRvSY;9}8Q=m2KVLX%h3Nk-{cz;}d(ZR-1HnyH4_GeD~=*D_(Dc-yXkFM}=2jLpxuk@^SSX_9O4D!_%Xm zl;W3ntZ8kyPQ9`2K4}aO8>o++hjFkZ+!(cT_(YH1;OZYCzt=!;c|!HFwq`#4>gu?1 zq^&vGcf)`>9^VeRFdE|eDH0Y#wOtYfb`z;nBwZG(4S3_TyPxlloXO)`oq~ z)&`or`uw@&ksF(3%xL|;51Vpwv*_A~9wCQ*gKaY-;CDb7bzaTCbDl8=AMZMjerBw< zIItgP9-535W=x`-o6Z(ZGJkYhkZxi$Gj?RWP;l|wHRDkXwK>wz+}wQmwyiRI@?eH~ z)Iqjn@>fWr`q1|&#S1+G4?cz+D@>awu=30`ir=$Eo?bnUkGYM&l45dr>ta$Amghs% z&d8-}TAJ}>%YDSk!y@WiPGsZt&57%G!4lOG?*SYSkf^st@Ci(FgTR9%>Rpk@#cQxF zjSlN-Rx9^-GZ2rLs6WTPo?7*waOY7I^;|HI$4=BcqVAT~f7F`EpH9aus2Mh1_h~iF z_+2R-PJQs4iuzX-S-ESy=P2>aih3pzS-BIthF&gDuqZqUyRcOn#Q}C~ts`tKY?N=2#!c{D)Hs81SkTyL`ij7WId(ZSPZGtX({ONejSB z8tXTx_h3f&l)A4)efpMm^%hmeEo1!pR&{avjTku&l{EOBpwb@ zzi@C+7jXHwoxyUU(yY_{WK~M%o8C&Fh{`d12tpR z88v$o2ollJbM|2i=E(JaVNTcOs40h;M0reTVnJoM*7qZEzsF z^;8|Jy|TGaPa`9GynpJ9TjU>82|r;EPw#rt3pY0CS$k)R6ndMHbT`ez{n^+zZqfK7CCMHjW)K8o}H|$e)-KyP7b<8-9b+Iee zcbqs7Z@$$#N5O+->PHTbfZ$>0mGNDw5LYYXa2i_rn!D7Nm`ca+w^^lPDjwgUqZs~T zP+ziPLtk^-3H>x|r&jU9FQSUMz$~m`P?!@5P^ztMTfv@IsZ1WC<2Z+N2*(zGo7LX- zHLaMB0QNQa-_YFBrzUS#JNESL!l?xi9G0oK2It+>dn1wdjeXkK;^PoIn{*eAOl3|K zD(+c3Z)&v8v%!#!!Mbx{4Ngz04=-{Jwo99yzCqmD$|u7(njkkhJa?(ShHcHwJDay$ zj)yi4%{QykuqwB!dhbr?P7?i%f+^zPX55OX;-2klDAv)rQ(ZcNJ#X|3Y(cAk8DIvU@m{?xf|Zyz@9 zxmkN+c<(OWvLJbZPU_ru-5SeX*_`3Xe*J4>FK?9FejYPbpNvM-nh0)u)EZ0zn*L}U zu2@r3^FCGBgI#~QVc}_1^;|S^aRq0Y_U^@Pi(q&@RlN;<9bB;`?J0E=R5eVkEcYH3 z^XRJjFi3PC9j2){9cO58O;>ew=@nrF9=PR8@E9!a3A|CrA!!e<`+gVxp2CE9VONttA|EMa;bMOgZDhj>mg!GE;P{26c7p zcPLJ8K38^1li(ecWAH?&t31^;RTw)mm@RA4bf$E~G5N`CF*h@rEoP>A@|n@8tjmE7 zDEF3%yCIj;p%2;a&g7;l#jG=u#qrp&BaVM0cZA8zs53fM9?{Y<0S>L(D$Y>8Qp%3^ z4yGJ7(JAF_&hl0PT%dxof7EuS8*H6owv;W8OlI=qQ`w?3Gl(CD9LZ7-&TgKB9l~K> zw&CyyPTuX_mrVAgvWH7fWvZ)Cfxw!kH(wqsXUdh52X;+mp)uV-Y?h`<77JwveHEBV zDYyNVsgCh+$U(N?1uSYnb*#JDt2t$AMt*lty3En6Gc%FD+HHbe86^+Eq?OvykX#9e zb)Bp$niHBx&3tM0FGu&G1GD3%a4MS_@6S)25FuM2cwcTB#-MeS>hbF6&Xsf*SEkb0 z=^2x4lv9QQK}&g)*K<5ORw-vSQ!O8>xTcsZXTj1HFWG~%HY!)q(9alK=ut?;RGh8n zp?p!=s+qGO@s+90O#X;Njh80fS}+ojuY1~vhWx|D%rr6wXR^=$We`&yOm*xVgnf~j zG47$k&SYPoG&^kYDH@wgX{S57yEJpQ#+2#n?l(>A&SJeXMlhJ_N@?rU#@O9;RhNds zl}P24sTAfdgXQ4uxVqCbxbEE1-Nnq9i|ySuxDSo;Yxjrffy`8HT*&fepLcJ5rc&0u zA=v)Gt^*j_6S=Vr=M$eBY})j>kp-YIdD5WD6r~Zi-r({|TiqFM``}bI zJL9%8Y|SO}Ucnf8@IYazoH?9zs}SuQljzD+N?8s?Xm6WK(G9MewF~?D(Hgi44bC5) zgY!y>){M01T2m7g!FqgVE(gQYGX)>7MF=wMEEbOC`_U7H{6y}suXAnEVw#vTaz{~{ z%iq|jtEI38lbjGe(&RPh3U?aURySPEOqEQ3b=&5*Z{FTVSK4M_ECH>?3TEoo13_!u zwi4h)l**J|d%R`C84bwpm-mOE$nEEt?nAwOeQv$(m$6qC-U7p9F;~D$cjBsIp)vzIVm7BVlgoKmQ)TnxMn5b9z>r~lRyarY zjvo*3GOW9^6Pe0XS(XN?GM0vj6M4*F2h5u;rdOq2@a!uTW}M2HmlGaPIqy{ujwvuV zFMb$4dTPS(9?g`pGsPS`0u*Qss9Y6!uylb>9#RdJz$_4q&6F@9xruC`&945;;Ofj_ zaG?f~o-BxAuOpj&nIVLsnO+tThf9y7NtWhLF&a2HnVTqcrA2Yks5VUF<@F?cLDaE( zu%{1kch2>g;2eS8nzF1SOk(U}BJJA9O0EQ2re~35@W$`dEEz|3Jg_d+;oO?6_}80aFEIc(A&=#N)@KW4)pX2XiC#* z)D|h(Zeu(0nW@5Ix-Em{Vm5!cJgEuX7F${*eNP!qYCc=;>*;0*R}z~eW*Jt~bHL8* z-PUCqUoyGUB8xi|AtrCB0?QD&4I0TQ0aA3E=w312=EkjV+-52;7UnIf7Due>wq(TU zW!vE$iEmYOX7lA@VM^yGGn|ZQ0hBmw0kVn45ly7zUs=A_pvuQ>4G;;!}vds99)WA(zU3-#J*$iPla z9q-E?&C=RUGj;LQ@t$I_P&BqlNjfW~6B?5~j;<0_pm^FXu3IK6ZqM-YAXSK|bO=BaqD^nb|tMxR+YDRTYc1Tv2uv#WA{z){-+W!f4l2k;^bF8oV6b65A|Caf{J&ybL_os&9lZjX`gPcr~`=ZXfI^$W0;3$5c1hXPDx%f%C9Q8o?Hb0G3v z%_9Z*O!cG!EZtp6PGaTRHV9?uVd_emqN ze?x_Zcr;ziZ7*{Fi+~iXLz}pR6O*JDFtJ9y2MrjgcIVrh6ZMLu*YEL z=vh`j`lnMQP_`d5$e7Az^;Qph1D-(%%I+!`ISZr5InK}u z^fD)5)(a}P$15~E-KS$H<0=mpjv>Xehjsf{WTbb0S{qYW$IxJp&Y{Vthf_TRLp_7( zV8^6}17$F@db-l!h&sr5ppwa#E7QFF7|U>1$h6*cff$~#WUX&B$f%*2Z8OOh*x{Hf zFc{(fD~H8WRNdl?c2vp*ZSNSWC-QKz3p3h=TWG_m(48;L_|lE_Hi-uJT;sK{tABqw z)!*l2A-fqag=szN_a8Med`Z3croGsqnQ;!|u9=FN845Fl+2YaMSk}>Kof+C)Z*mV& zbYcUp8%H9fppGT8Ych-NIqt!Mddlg_q7ALS4?aLhJiko+a$7T$pRCX*23fuq~hW+WQdr~aCyO@P9Vm1MH zU=I*Wlq>0USQIFzgy}wyX%dXUS`#w1ve5*$E@?pqem_R|SYbLO<(&8FL4t=5IH%CX zF22cSK#_thVPCj8^BX6EQY_riqyJnz^rF4<=!XtXUbMmGN;h3NiR?yMxbJ6W7`ATn zow9EIL_ufcrUP4Wx+_bSX_r!Vzi?}Z!RacvWqZlN#}V9~0Y8_@7;D&opa`f#`lh5) z8QdcTYtVP`!7PlM8SIn?Gcf_d`8UlN} z(JmPOKKhQ%r+9V5(bK%Fg!8wyJ&}P;pVMDxT=8ZG6ORyjKIun zrIGx|kr9xZFJ3^%?m|9GJL%1%3!qRvUm(Ay$osSzvti5)Ny8fTyK4ks)Whv$s7-28NfAWzpvVKpoaZfL`lx%V+_T?OhHfU{e;|Ewb&lH{`s#|Bt| zXyil=`}|?X-a&~l!g>tSgr^r^GS01fPFuyByd_sd?m|IzVDT$uy*u-XVquzwfEI~H zID=;u zVl2p=Xb$ZCR*62NMdce{=$MORDb_vt6k4(})b=b$fsrznBYv3zmjj%eb|Ae}?Y zvOLMg4+$|{jaMJ?`5~Zg#N>770=$lchL`ZNVai3A-`gRtE{hi%OB_G3^CaTV<*~#a zsOp@=UA2k37bRX_lekAE?$tHpNw@c9;|GxLSiT~0M@`~RmAFge8!bG)pxv>2RpO4? z#GQ*0chw~BR*BcU^4olPrmqux?yO1Nr4o0~sprNj^{|bUnO7Tv4c`cO6@uVbB5n7B zjl=2H0M6!v^U?vnVVHbvdZrn|_OK#qzOwl=a93G+CoOTjgqICdPJtgo+U_$OHw>I@ z8`Q0oqi)$pCuyg2+>x4=u04(n<@9OqiWrIGHOXRfvRhwazKv7f@WE&N#* zZA{<<(qS75^9tJo%gUGX?Run~d_BJ!4%O-Td_LSH@HWoYF~?RlINT>6KgWFKHsR6} z=JgE(ww*9PR6$*{?RSROE1~am@%FkX<$-d_rzn50N_py^{N;=P^R25w2Xg0O&1e4g zSLCHlg!|H#_l4qPr{GV!3)fGZ5c!%l-uHcM*vc0$G^zHPd+c?^iEtAdnWR-gDvJ1();}m6M8h?8FmpWI% ze&^FKKa*hAgwx|G?c^5{s`aVhA*NeraJ<-fW2-^D$jtIF1lwNivR7-|{AIfrC~I^P zENkCT?34@S2Prk&Yi2ctF#|5+@fYZaXJ&`99-eij_E=it~+EXT{p z5}Z@ncY=Q$Y5LK3)+X*+l(@Sl@p_fG$J(HhWUzijf)SD^+&*;Z%!l9!mJt;8jz;49xzu$A;keI&jRz+4`~hknc&*@pWJ7 zOJMnBq-ynk2()}JckyZPCSUoLB+5ltel5wCnR*~Dh8I59X};C{uxj!oP==w}IAcCv zL7f86aNn~|;^kMEPFMFal=}$E@deF?kmmTc#zg0G2*SQ64d28}YnnQ5)0YI)1@U~} za*M{h_KnTta2p9XqAtEEDK_Xco<>tGBkAr@p zx`dbf5*BUO>`C9QS67imej!WCgFo_1S@fIjK4iUo#gE%)EE}E!s2}0qz!lL7*ICM$iOdR;k5nE&0G`n!I+@{36bP z&vwXXJ4Cj-5f(c$&IzZXZLP(7XdH-#O=-KDCv`O`MztE7W+V+r%`(+(5RAxPIpvyJ zCXCdaK?0Yh+lZcDLfF9o3?SkR&CN(sW`9l z%UeWCHLD*tE_wdfg!Tm;kR&Z-z-yf3MjTI1R?^3M#jV!BGJFEgDEg|N8+DEf0WHc) zy9q060d+^6W>4^{Qd&ZIy%8KmQn6K`Wi|8Z#^W1gX6Yh`@N4l(S{0s>_G}YyAl|6- zG{a~T!BoN2s||t?pX!~m?vT3t394$Nz2W++^QBa8H9|6aSSQnr_(bYg=lf#G`)lRv zjigiR;nzT5nMM=~Wcad%%jr{|Qf!OWe6*gDtf`;0{xryEnZMMAm1Oh^_=1>V7<~i5 z@MVh6G_7WQ99f@204<|O5a1cyI?KdoOui`LS+M<~u3>=D&k@dXZo*GTFRq^@V5&^* zK&f_JGPN7gYfSPUM1N(H!jDXh#A!y&8k=O4LO2Jjm}X62SmOrXjOZbgd@mxy&r=OQ z7GH!*6me2FyARutl-e!;_C}2z97Qx?l6jBNTRduzwMSz;&U;X{&*<$5L|;d!fp8~h zzlYQoO`B{+w9O>1Ky=U~{i>3z>bS`jnIyLQ<1}o+nvl=J-1LHF@Rlj76)WISEq&yi z)!R32YgEVKsW$l4c=Udjr|3}Yee3>J5MI~&6?vU}E*zZaHe&odw{gvLPPHZ3s?jg+ z>ANb{13MGw@fahb$HMW4vZQQ;Ji1_ zGQkJ*L3Yg9jex2dC3P~*=+!2d(R)oUqxU0dc1-ABiDjES_bvSyaRPYsR{&k+O*R}nTyV9ERcCPFk2bviwZ zh&o+Xy4y=DXK~yHnry(o!2sEA4`VA3>OT(wS&ULTnP$WT7Bx=ksbgL-c9o$WU}Okv zzr!a;`OFUZ%zhL}dCa7ZmMkHErrK!mCI)uAza;p~7M^#l8L#wXzhQpyQ}Xu~66{t; zG0Jj^hFKL?ALgfDvD9iQ&d>6i&(6srfQnHXPq#6VG2&s4;IQ#&2S_UfzIuIvl+WxM zo0;EypLWovJz&!gE^Gs5QB@JgTRi9MBTr$W{;VdXMe8Mf)gSbe-tz8eSxc#x^s$yz zc}XAZ6_|H1^J!0MNB{pZ^LoP?G1gRLmuDQw;Skl^3#!3-Q<4;(3PLx6r6eOxDp82xvX%ZNw$g8KD~4X~IH z_Tr@P@^jy5^I?TW>@1`p$J#J^Y)=;Pq`) zu}-N*t9t@&dbOFvQjKSDRAV*Ocw(J~OYh0xH^D1TGyHpFm5i3+jTCeYBc2Bi8Xa>k z)&xmL37t$c;`!hpEprAmL6XrgbTZB8d6UbC$4=)fHo#&}Y1#vfgw|J_Uqyc(sDX-q1fh!*W4s42OjSp=2%%mn^FLWmdLqAacbx{d2h6nu8|z-eF&0t|vt zn@*+~@x*&@T4K(Xnjp#OI-N{2;wkqa?U@)FOXoDRB%`}^GR=rT0T<-!(+-eU2wvz+ z;B~;6^t^J4=_(sszY?!tE6+rGArIn(dM4iNWla%hS)3PIg)fe$>a#p{Uv$1_VrKK1 zMl~VLbl)u+lGy6YvurMBG8B_NrXo=ubdSUtCRQ!$xjiG#i$*pIbq?9 z_|8a>7jyR*0!AdTIMo1LY_b>`LT>=BMOF-<)+yrH%j#LC4T*QBL4MaGfG4A;bu!K9 z?-BILOeXk#N>Hwckn>K2sM9k02Z%p|05_e{qi*(-hz&!pI`a7u0;*%Q0>5eqi)D17 zP9_=gWt3oL3mdwv5Bft@CT+`xJXz+e!m?velcYVxBIG&cRfYAir%`J_!fLfz_fUcR zt!npBUK4z=JVz2|f@(ZvRXw=qu~*J9|9G(VG{)yTEXgI#F=z3WtB*VN7H?0fRj^Xf z>PPFe0Ii|k7zd+&)X5=6-!-|6_?}KsvwpDw7W=xUO*0Z&U$g%exgSDUjnhfBEo*l) zE_KdZU0#gj_Qu7}udcrdO(Fh|5H!*spEsi9Zy`WWjNYb`sV5PA8-as-$){J(GHo>D>0B5D6nrKY=^4?P zj03B-ssaR$2U(vnzHB^BKc*Yw=!FHUc{X4*#Y+0B zvgS{(j9wgsBrKanRlaea7D!oZe?PR*;}6k!5k3=3#%ksgl+Z8cS;2ftviN$mmXa*# zeWq3!PnMtnoQ3`s0h}1E!q4)eM;UEFz>%F>W|^2U`Hc83;e0#>$U_Kxtu>;^Ce0zq z=w&*Y+K%W7lgp@GCx><;+GBDV^_koQh^{laj7Ci^qj8-~iDcG{T~!T%MXlOsw{+_n z&wO2CEr0>_R$BnQuAq8u(?Ta1tyn>g4>7tB0oHuuEE6jcjGCEBBY=t#-^&c@-{&~s zbG()ug~`CI&*WO0iQh*)lN6bJ?mSjF%t)A|G?SQ?WSG%@SCZ5$Q)G)0Hg{hSKKDU# zmp1s@f{!EjdkFAV-#W`gEouhvm02b>8Q`t6Oym(F&JDL9VjH8*Z>FWKQRlU3ic5Kz z&shIBGr5XANL4)H=-0I5d9NlSSkVq$(EI+I8*E70LCl4}uCjw<-Y`Xg(r8gaX za6Z1&7)vp(LrI=hj?G?#RD;Wps$;G2c3?MrJenA4gMfDRKR zqmSt1HGhD})On3x=QY*pq~)_7>WndK;mt}|W2OgEPrT}sOADeFtr!~B^WLD5KQr9@!;g=*EPVp z-l40#mXXlXRN!C`!KmUi(j+2bku2%Z;m(@UFc0S zp8)O=1Q-sZJ-V&e9YA!0Ns0nL3+xvRA)~U!dh68V$a=zH8LiOR>tl!-O;Xr=9oWAy zgpB@9CsTs`9>5s`XALp>4uWzj zHM2|@Qg12sOJ8r7{<Ll8nBjlLr{_!vI0Pr2QjJFu+I%d}e%?{|yL`n9&Ci z3cn?je}kv{IK~v{@&I7`@!&GHN%ujIK1fjK)naqZyOS=*=dV(ZeQ}(FaT} zqyK4g89ilk8GR4Ih|1ItG$P5U@g)>)h|y&ThK8vv8j)nQT_=Yaz0%||+GTPXbt9OP zOkHgdjP~eclF@#X%jix7LoR)G9BQhnSRr@{1XUF*1a)Z3MW$Jj)d+B|?gbm_gKfI( z&~`*_cL-sqTlO15!B+1c!A^mgT~+I-y$;r0JKuEb0HfPANrgA}0g~g$$Fj`m76kn= z7855;zHlRpn{`%-SXOBH)dXErkpi@n&uFToWD)#AFpJ=+tVxQ0543ZwO0d=KNw6Wi zy22QWU@eQ1tUM@=AuMv#JL<`5cq~$?3mLqOQ0R07TaNEXYDN0WbP3N zlTFAp0t_@G$#Q(z`>4~fA!2dSIi6AZg_3rm%A7*T@e1)P8)XFOkI`c~d4SOq2*H6& z+M}9afRPaRs(c4>KdTASj6R2eyVl4o6NYnIT6nXU<>~dk$oe3{BDAyYzXZiW@r7|bV-`^X%6;l}TjEJr|HjCSZ`n$a*qPz$78p$P^U34z~xE0Mce6C^tk^_V21 z{W|IO9gB_{ETfYK%gB7|#2$VV$bGdY@Ma@^@Z=39i_seqf|B|K12%y#sZWry34Di< zJl|rfU}Qd_VvFSy4A=yI75tjXas+A?QJYQ2w3U+F<(-B~4N~ei-2Ebk?wBvHaT&6{81rGR^4SCYR9{OfDmS zI|WtGEk58EAM%S2_{E2$IMv{*j0B(2Oou*;$W(Po+O$ub7QU8PAM%-sq<)sCO19;P zy6PmOrTA0}rgKIsbTY~43X{vI)8sPZXJM?VpPYWIISnvcuCdoJT8Us9%+y+gU_=f< zO_0e?HIo!0!{mU?1p7BAwMjEMz(|<*HcTep&`bsy879MiF|Xsl<6(!SipA`hWzH{G z>uMTxHA9R{HIF{GJ3a8rWw6YCkGfkj9|tSQy3pe2ew@ zrF?z|e10jLU&`Wlz~<+7**%b$rMaHJa^ou-XN47CpVt|}kR6oNInp+^_R|QkeMVo> z$uuK=Ovor`F6{tmzpZHxFcMn7jh{j8qnaSeXepRkvkdwFqroz|PGi0Pd*YPX5W52? zf3xP{Stql|mXjmn8I4XeDk5NVymgidQ_QQn4_Qfs#du16+-b0UXUh2tE%Je1B62j7 zqj(ZYY6Qz*39?RWd^2k~f}X1pH)!+_qecYWDo19S*kbZU;{-#Tn6(XooEUc_K(mZ? zBWO-cuqBqp`RS)GYy46t!Nd~?`~(H#uOi@{fYC2?GRY{4_v-oOqNUF!Y8z$=)gYXM zoRWhK0<{b}qhXfmr3kPjM*OzaCTD3)q81g_HY{DtKXv@Gf`3->&no;WSze(NFP)e! zXGRg1izc3w6n-oOKcb|6up~Z;-|vZ!XGbfC@rytBkta8u867QVk9rV(9PluHa@<7* zA^r17E(SF?as0a2G=HEwj$cWNXUgSbZWKS;<&~bmZ`I=`YD}H@B^K$RQ^!ywR6;em z*GV`A{Aitf;X4!hs>ff_>DSL4-ho6ktdTB`=}QstLZzld*%+yKmO(Dzw*?vGCl~2P zUnh`{+kq^bZtQgt=VRzQxdgX6M7R2PBF+vRVU|niI7dsGxYWBN&V3Zvy#z-UN}8x| zeIVl0z1$_Ta0NHr?4rK+ml5aQOM-Odb90F9*gr?~HynkMGz@njK*uwZ|31*Q3V>K- zdaIQt`WvA82$mhWM9&W)L&^|$-+57|eGgOS5*+raq;ECiv1_Bwe{pFT2_0j6jL5rU zhXCO|US$t&&Yg!8adA&<<;uMNTS@DX;%ieYam`)O)O!2 zpTxS9Z{<2yVYaX@Jj}5{l(!5B7=?{^`%(_hBw3fj{fm7m=Ul%9K-4%tc%CJisYHE6 zur~|eIRv{%Lk)j8WGiCE<2*RaeLajrz- zKLdUmvB*2+nMFkR5WhcVF2ny57EF2g6)M3K=C_0dW_zFV%*ycNH-v2bNzbkge}3^r z@SJZ5Q)isx$nRMTf1?Z2as(gq+E?e~kuR6DpWpE?m*KbBGh-tkKg?m{KNN!7yz+H= zUJ`2I&rexM`P-2AQ@{ztqTgRwckva~XT#_rL|#^?7ieb61t}d{|=BKjOi4j{b2+7c2Ggaf{Hi{rpC_ zz^rdA{AGcO=c5yWiTCO2ocpWDlL*n@Xu;&iClcl|{lSL}0u#??2LiJ`J|7Smw@uCi z5Q)`Fe-Ji!(;x4!;17e4u=K|l06zq{!zxc0ATH&66ZpRcjK~rGgo%~@PI~gyVMEAZ z_*eAHXBp-)?fa<(vwhstxA92}CZ0R>HlBO*HvFhn|Iez_&;5L%CqHhy+c3A$ZI~PF zHq398+wcPx%>HQe+EeG?$3?wM+W&zN{i7C4c^G!nNn{8=Zt$ARw0GQsS)LoDHlEv_ z0u#?oOMzMcNp7;}%gjG_S}^gCS}@CVGt69uo_kdSlfK=8N#AY3#D5&~ySXCH7}`hp zNo2@;|BTgt1>gzSAeQm?ov1$^UyFRgHh!&VA9c>_ftO3{liyx9myw^}UKg11-S6q& zbL2Fd<$sI(y!(Y8JWu*msQkeY{6q-;MF?JH>4W^5LU3;grvG3r*Zw_yMfLhb|G>s` zJ+6k!AA&zXmy+-jI7T_t-|oWa1O8J4sqYe`UjjV007<_I z@OQyq>Td@8Nyry-@jC&36ELdL^j8Cx{lgU~-w${%gyH%?eun_}0Ol4Tr%y$kh;e6>gnp+AtN`L6){HVc0l;Ad;h_*jAUjNj{RL4Sz6R{(ww>KA=<0`7pY zn_Yf;0l&%Omj?Vwv`_Fy0ONlQ$;dHH)PZ13%W{{i|&q~YH{;(X|n^C$85 z1O8{+&)nj|{|WFS)R%VQ4+H*l$or%VKLWS~{J}z({~X|tqWzD%@K*rSr%t%=lYp0C z{{!2hn*Q$rpO5=pssBfS-;VmA0*(I{z&W&k%7yur;@`B|9|L?XjFZ3PL;2%?>p?H} z_e$b%KQ8>Q2K+NHkGcFN0RIK(?{neffcw!HRIB;j1$Za+2kvp<`vBvL@y`nM(r*L) zYs-Eg1f0eC^$_rs=e>aMwdDOhz~2SE$nz(FUkZJH!=?WU;AiWEzjFQx@aJKlSG)M{ z14dJ00CoL80nF=Jg!6&2AVwC57QA4QP%T#EG9EPeCCtTQs%+T-jB2ha;y32qbSj$}cSZ{ZR)}Xf_}evjO}gvq_zvgz z*i2Wskjmba%#0n$mg8+L+h0MNj1!(%gp*)odu6pMu- zGY89=a;}i?9VgJsCr+baIbJ9fK`zPunQT4)q;q&=G*%$XOsSMDY7u8D0I38-U`7Ft z_vVwu!r@}JREoE?Zr|?ZquOGbT$ud|$@bgRy1h*@kN0Kshs%>Byxf51=hJ56Pbj0~nby7mtCUe_}+;&c_Jd-J)nRjbpXwY58w zo2nGiJ(+weJC;S4nSOMjsBC#;GLs*lLL;<^pgi?d9Gbxc!Yq5x(5CU!uQZ)2m$T!n zD4i)CaV@$#JC!-%bi#0S?cmar&x}rGolf*@H+t4&7hnp-qd8c~NLD|A?3$_c7H{g# zl{B$vZV27WKBVPBG3-i*_B}WQ1M4`7mKga`6kTJwCNsrkrM$n8*V@YE4{Kqxgqo}F zfUe2x*pX6YS_|*C#nnQ1V7lU?>Aj_{LOzeaM5Ff~wY007gdB8rHGxO6e6Bi_uavUm zy@M&%xx1LnIzzBRDcVy+3%v$_k==k1miKh;b{Z59m3ckfUC8U9%OO=M=`o$jOEKMg3#QtFLON2p{I2ev{GXzZm(dn5#dJkBW%>5TLt45 z)w;9VOhpfS=^#9JripjvI1#`~Ff+jvOJ!K888V^fjAS+c5zhK}kj}~1Z3H#MR@mkN zxm*e7YhFq>k8HYg#eIeGECrA;qLt{37-{qX)H?JuN?KM6dz{Eo^q%80T~jgyb>ERh X3z-@BsLWXLd%bHan<;8WuCV_b#BXw| diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.map b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.map index 5ed81570..1d290fbb 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.map +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/bin/openblt_ek_lm3s8962.map @@ -1,194 +1,256 @@ - -bin/openblt_ek_lm3s8962.elf: file format elf32-littlearm -bin/openblt_ek_lm3s8962.elf -architecture: arm, flags 0x00000112: -EXEC_P, HAS_SYMS, D_PAGED -start address 0x00000000 - -Program Header: - LOAD off 0x00008000 vaddr 0x00000000 paddr 0x00000000 align 2**15 - filesz 0x00001e90 memsz 0x00001e90 flags r-x - LOAD off 0x00010000 vaddr 0x20000000 paddr 0x00001e90 align 2**15 - filesz 0x00000001 memsz 0x00000cf0 flags rw- -private flags = 5000200: [Version5 EABI] [soft-float ABI] - -Sections: -Idx Name Size VMA LMA File off Algn - 0 .text 00001e90 00000000 00000000 00008000 2**2 - CONTENTS, ALLOC, LOAD, READONLY, CODE - 1 .data 00000001 20000000 00001e90 00010000 2**0 - CONTENTS, ALLOC, LOAD, DATA - 2 .bss 00000cec 20000004 00001e94 00010001 2**2 - ALLOC - 3 .debug_info 00007e62 00000000 00000000 00010001 2**0 - CONTENTS, READONLY, DEBUGGING - 4 .debug_abbrev 00001cb7 00000000 00000000 00017e63 2**0 - CONTENTS, READONLY, DEBUGGING - 5 .debug_aranges 00000938 00000000 00000000 00019b1a 2**0 - CONTENTS, READONLY, DEBUGGING - 6 .debug_ranges 00000890 00000000 00000000 0001a452 2**0 - CONTENTS, READONLY, DEBUGGING - 7 .debug_line 00002b0c 00000000 00000000 0001ace2 2**0 - CONTENTS, READONLY, DEBUGGING - 8 .debug_str 00001d89 00000000 00000000 0001d7ee 2**0 - CONTENTS, READONLY, DEBUGGING - 9 .comment 00000030 00000000 00000000 0001f577 2**0 - CONTENTS, READONLY - 10 .ARM.attributes 00000033 00000000 00000000 0001f5a7 2**0 - CONTENTS, READONLY - 11 .debug_frame 000019b4 00000000 00000000 0001f5dc 2**2 - CONTENTS, READONLY, DEBUGGING - 12 .debug_loc 00004a3c 00000000 00000000 00020f90 2**0 - CONTENTS, READONLY, DEBUGGING -SYMBOL TABLE: -00000000 l d .text 00000000 .text -20000000 l d .data 00000000 .data -20000004 l d .bss 00000000 .bss -00000000 l d .debug_info 00000000 .debug_info -00000000 l d .debug_abbrev 00000000 .debug_abbrev -00000000 l d .debug_aranges 00000000 .debug_aranges -00000000 l d .debug_ranges 00000000 .debug_ranges -00000000 l d .debug_line 00000000 .debug_line -00000000 l d .debug_str 00000000 .debug_str -00000000 l d .comment 00000000 .comment -00000000 l d .ARM.attributes 00000000 .ARM.attributes -00000000 l d .debug_frame 00000000 .debug_frame -00000000 l d .debug_loc 00000000 .debug_loc -00000000 l df *ABS* 00000000 vectors.c -00000000 l df *ABS* 00000000 main.c -00000000 l df *ABS* 00000000 cstart.c -00000160 l F .text 00000000 zero_loop -00000000 l df *ABS* 00000000 flashlib.c -00000000 l df *ABS* 00000000 sysctl.c -000002a8 l F .text 000000e4 SysCtlPeripheralValid -00001c78 l O .text 0000005c g_pulXtals -00001cf0 l O .text 0000000c g_pulRCGCRegs -00000000 l df *ABS* 00000000 interrupt.c -00000000 l df *ABS* 00000000 gpio.c -000007c4 l F .text 00000040 GPIOBaseValid -00000000 l df *ABS* 00000000 uartlib.c -000009c0 l F .text 00000020 UARTBaseValid -00000000 l df *ABS* 00000000 canlib.c -00000b8c l F .text 00000020 CANBaseValid -00000bac l F .text 0000002c CANIntNumberGet -00000bd8 l F .text 00000018 CANRegWrite -00000bf0 l F .text 00000064 CANRegRead -00000000 l df *ABS* 00000000 boot.c -00000000 l df *ABS* 00000000 com.c -00001d62 l O .text 00000003 CSWTCH.8 -20000004 l O .bss 00000040 xcpCtoReqPacket.4167 -20000000 l O .data 00000001 comActiveInterface -00001d65 l O .text 00000003 CSWTCH.10 -00000000 l df *ABS* 00000000 xcp.c -0000131c l F .text 00000014 XcpSetCtoError -00001d68 l O .text 00000008 xcpStationId -20000044 l O .bss 0000004c xcpInfo -00000000 l df *ABS* 00000000 backdoor.c -20000090 l O .bss 00000001 backdoorOpen -20000094 l O .bss 00000004 backdoorOpenTime -00000000 l df *ABS* 00000000 cop.c -00000000 l df *ABS* 00000000 assert.c -00000000 l df *ABS* 00000000 cpu.c -00000000 l df *ABS* 00000000 uart.c -20000098 l O .bss 00000001 xcpCtoRxInProgress.4366 -2000009c l O .bss 00000004 xcpCtoRxStartTime.4367 -200000a0 l O .bss 00000041 xcpCtoReqPacket.4364 -200000e1 l O .bss 00000001 xcpCtoRxLength.4365 -00000000 l df *ABS* 00000000 can.c -00000000 l df *ABS* 00000000 nvm.c -00000000 l df *ABS* 00000000 timer.c -200000e4 l O .bss 00000004 millisecond_counter -00000000 l df *ABS* 00000000 flash.c -00001904 l F .text 00000034 FlashGetSector -00001938 l F .text 0000004c FlashWriteBlock -00001984 l F .text 00000050 FlashSwitchBlock -000019d4 l F .text 00000080 FlashAddToBlock -00001db8 l O .text 000000d8 flashLayout -200000e8 l O .bss 00000204 bootBlockInfo -200002ec l O .bss 00000204 blockInfo -00000000 l df *ABS* 00000000 cpu_comp.c -00000000 l df *ABS* 00000000 -00000800 l *ABS* 00000000 __STACKSIZE__ -00001248 g F .text 00000020 ComInit -00001a6c g F .text 00000048 FlashWrite -000015e0 g F .text 00000008 AssertFailure -00001858 g F .text 0000002c CanReceivePacket -0000013c g F .text 00000044 reset_handler -00000c54 g F .text 00000098 CANInit -000018d8 g F .text 0000001c TimerUpdate -0000135c g F .text 00000010 XcpPacketTransmitted -00001268 g F .text 0000003c ComTask -000003c4 g F .text 00000008 SysCtlDelay -00001214 g F .text 0000001e BootInit -00000cec g F .text 0000002c CANEnable -000015bc g F .text 00000020 BackDoorInit -000015de g F .text 00000002 CopService -00001e90 g .text 00000000 _etext -00001c4a g F .text 00000004 CpuIrqDisable -00001c44 g F .text 00000006 FlashGetUserProgBaseAddress -00000b14 g F .text 00000024 UARTSpaceAvail -000018cc g F .text 0000000c TimerReset -00000b60 g F .text 0000002c UARTCharPutNonBlocking -00001232 g F .text 00000016 BootTask -00001b84 g F .text 00000044 FlashWriteChecksum -000012a8 g F .text 00000030 ComTransmitPacket -0000038c g F .text 00000038 SysCtlPeripheralEnable -0000134c g F .text 00000010 XcpIsConnected -00001884 g F .text 00000004 NvmInit -00001a54 g F .text 00000018 FlashInit -00001894 g F .text 00000004 NvmGetUserProgBaseAddress -200004f0 g .bss 00000000 _ebss -00000130 g F .text 0000000c UnusedISR -000012a4 g F .text 00000002 ComFree -00001640 g F .text 00000028 UartInit -0000188c g F .text 00000004 NvmErase -00000b38 g F .text 00000028 UARTCharGetNonBlocking -20000004 g .bss 00000000 _bss -0000136c g F .text 00000218 XcpPacketReceived -000015e8 g F .text 00000004 CpuInit -00001c10 g F .text 00000034 FlashDone -00000df4 g F .text 0000007c CANStatusGet -00001804 g F .text 00000054 CanTransmitPacket -000001d0 g F .text 000000d8 FlashProgram -00001330 g F .text 0000001c XcpInit -00001ab4 g F .text 000000d0 FlashErase -000000f0 g F .text 00000040 main -00000508 g F .text 000001ac SysCtlClockGet -00000a10 g F .text 00000034 UARTDisable -00001898 g F .text 00000012 NvmDone -00001668 g F .text 0000006c UartTransmitPacket -00001890 g F .text 00000004 NvmVerifyChecksum -00001058 g F .text 000001bc CANMessageGet -00001620 g F .text 0000001e CpuMemCopy -00000958 g F .text 00000034 GPIOPinTypeCAN -000012f8 g F .text 00000020 ComGetActiveInterfaceMaxTxLen -000016d4 g F .text 00000090 UartReceivePacket -00001c4e g F .text 00000004 CpuIrqEnable -0000073c g F .text 00000088 IntDisable -20000000 g .data 00000000 _data -000015dc g F .text 00000002 CopInit -00001764 g F .text 000000a0 CanInit -00001888 g F .text 00000004 NvmWrite -000015ec g F .text 00000034 CpuStartUserProgram -00000d18 g F .text 000000dc CANBitTimingSet -20000cf0 g .bss 00000000 _estack -00001bc8 g F .text 00000048 FlashVerifyChecksum -20000001 g .data 00000000 _edata -00000000 g O .text 000000f0 _vectab -0000098c g F .text 00000034 GPIOPinTypeUART -00001318 g F .text 00000004 ComIsConnected -000006b4 g F .text 00000088 IntEnable -000012d8 g F .text 00000020 ComGetActiveInterfaceMaxRxLen -00000804 g F .text 00000054 GPIODirModeSet -00001584 g F .text 00000038 BackDoorCheck -200004f0 g .bss 00000000 _stack -000018f4 g F .text 00000010 TimerGet -00000e70 g F .text 000001e8 CANMessageSet -00000a44 g F .text 000000d0 UARTConfigSetExpClk -000003cc g F .text 0000013c SysCtlClockSet -00000858 g F .text 00000100 GPIOPadConfigSet -000018ac g F .text 00000020 TimerInit -0000018c g F .text 00000044 FlashClear -000009e0 g F .text 00000030 UARTEnable - - + +bin/openblt_ek_lm3s8962.elf: file format elf32-littlearm +bin/openblt_ek_lm3s8962.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x00000000 + +Program Header: + LOAD off 0x00010000 vaddr 0x00000000 paddr 0x00000000 align 2**16 + filesz 0x00002514 memsz 0x00002514 flags r-x + LOAD off 0x00020000 vaddr 0x20000000 paddr 0x00002514 align 2**16 + filesz 0x0000000c memsz 0x00000d10 flags rw- +private flags = 5000200: [Version5 EABI] [soft-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00002514 00000000 00000000 00010000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .data 0000000c 20000000 00002514 00020000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 2 .bss 00000d04 2000000c 00002520 0002000c 2**2 + ALLOC + 3 .ARM.attributes 00000029 00000000 00000000 0002000c 2**0 + CONTENTS, READONLY + 4 .comment 0000006e 00000000 00000000 00020035 2**0 + CONTENTS, READONLY + 5 .debug_info 00007bd6 00000000 00000000 000200a3 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_abbrev 0000174a 00000000 00000000 00027c79 2**0 + CONTENTS, READONLY, DEBUGGING + 7 .debug_aranges 00000a18 00000000 00000000 000293c3 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_ranges 000008b8 00000000 00000000 00029ddb 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_macro 000083f9 00000000 00000000 0002a693 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_line 00003c87 00000000 00000000 00032a8c 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_str 00020952 00000000 00000000 00036713 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_frame 000019e8 00000000 00000000 00057068 2**2 + CONTENTS, READONLY, DEBUGGING + 13 .debug_loc 00004d6b 00000000 00000000 00058a50 2**0 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +00000000 l d .text 00000000 .text +20000000 l d .data 00000000 .data +2000000c l d .bss 00000000 .bss +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l df *ABS* 00000000 vectors.c +00000000 l df *ABS* 00000000 crtstuff.c +00002510 l O .text 00000000 __EH_FRAME_BEGIN__ +000000f0 l F .text 00000000 __do_global_dtors_aux +2000000c l .bss 00000000 completed.8603 +20000008 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +00000114 l F .text 00000000 frame_dummy +20000010 l .bss 00000000 object.8608 +20000004 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 main.c +0000015c l F .text 00000038 Init +00000000 l df *ABS* 00000000 cstart.c +000001c6 l F .text 00000000 zero_loop +00000000 l df *ABS* 00000000 sysctl.c +000001f0 l F .text 000001f8 SysCtlPeripheralValid +000022e8 l O .text 0000005c g_pulXtals +0000235c l O .text 0000000c g_pulRCGCRegs +00000000 l df *ABS* 00000000 flashlib.c +00000000 l df *ABS* 00000000 interrupt.c +00000000 l df *ABS* 00000000 canlib.c +000009d0 l F .text 0000002c CANBaseValid +000009fc l F .text 0000002c CANIntNumberGet +00000a28 l F .text 0000001a CANRegWrite +00000a44 l F .text 0000002e CANDataRegWrite +00000a74 l F .text 00000068 CANRegRead +00000adc l F .text 0000002e CANDataRegRead +00000000 l df *ABS* 00000000 gpio.c +000010f4 l F .text 000000dc GPIOBaseValid +00000000 l df *ABS* 00000000 uartlib.c +000013ec l F .text 0000002c UARTBaseValid +00000000 l df *ABS* 00000000 xcp.c +000015e8 l F .text 0000001e XcpComputeChecksum +00001608 l F .text 0000000c XcpProtectResources +00001614 l F .text 00000014 XcpSetCtoError +00001628 l F .text 0000001c XcpCmdDisconnect +00001644 l F .text 00000020 XcpCmdGetStatus +00001664 l F .text 0000000a XcpCmdSynch +00001670 l F .text 0000002c XcpCmdGetId +0000169c l F .text 00000018 XcpCmdSetMta +000016b4 l F .text 00000028 XcpCmdBuildCheckSum +000016dc l F .text 0000000a XcpCmdProgramPrepare +000016e8 l F .text 00000028 XcpCmdProgramStart +00001710 l F .text 00000044 XcpCmdConnect +00001754 l F .text 00000040 XcpCmdUpload +00001794 l F .text 00000040 XcpCmdShortUpload +000017d4 l F .text 00000040 XcpCmdProgramMax +00001814 l F .text 0000005c XcpCmdProgram +00001870 l F .text 00000028 XcpCmdProgramClear +00001898 l F .text 00000018 XcpCmdProgramReset +000018b0 l F .text 0000000a XcpTransmitPacket +000023e8 l O .text 00000008 xcpStationId +20000028 l O .bss 0000004c xcpInfo +00000000 l df *ABS* 00000000 com.c +20000074 l O .bss 00000040 xcpCtoReqPacket.4275 +20000000 l O .data 00000001 comActiveInterface +00000000 l df *ABS* 00000000 backdoor.c +200000b4 l O .bss 00000001 backdoorOpen +200000b8 l O .bss 00000004 backdoorOpenTime +00000000 l df *ABS* 00000000 boot.c +00000000 l df *ABS* 00000000 cop.c +00000000 l df *ABS* 00000000 assert.c +00000000 l df *ABS* 00000000 uart.c +00001b48 l F .text 00000028 UartTransmitByte +00001b70 l F .text 00000020 UartReceiveByte +200000bc l O .bss 00000004 xcpCtoRxStartTime.4475 +200000c0 l O .bss 00000041 xcpCtoReqPacket.4472 +20000101 l O .bss 00000001 xcpCtoRxLength.4473 +20000102 l O .bss 00000001 xcpCtoRxInProgress.4474 +00000000 l df *ABS* 00000000 nvm.c +00000000 l df *ABS* 00000000 cpu.c +00000000 l df *ABS* 00000000 flash.c +00001d3c l F .text 00000048 FlashGetSector +00001d84 l F .text 00000038 FlashGetSectorBaseAddr +00001dbc l F .text 00000038 FlashGetSectorSize +00001df4 l F .text 00000026 FlashInitBlock +00001e1c l F .text 0000005c FlashWriteBlock +00001e78 l F .text 0000003c FlashSwitchBlock +00001eb4 l F .text 0000007a FlashAddToBlock +00001f30 l F .text 0000005e FlashEraseSectors +00002414 l O .text 000000d8 flashLayout +20000104 l O .bss 00000204 bootBlockInfo +20000308 l O .bss 00000204 blockInfo +00000000 l df *ABS* 00000000 can.c +0000210c l F .text 00000074 CanSetBittiming +00000000 l df *ABS* 00000000 timer.c +2000050c l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 cpu_comp.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crtn.o +00000000 l df *ABS* 00000000 crtstuff.c +00002510 l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +00000000 l *UND* 00000000 __bss_start__ +00000000 l *UND* 00000000 __libc_fini_array +00000000 l *UND* 00000000 __sf_fake_stderr +00000000 l *UND* 00000000 __bss_end__ +00000000 l *UND* 00000000 __call_exitprocs +00000000 l *UND* 00000000 software_init_hook +00000000 l *UND* 00000000 __sf_fake_stdin +20000008 l .data 00000000 __init_array_end +00000000 l *UND* 00000000 hardware_init_hook +00000000 l *UND* 00000000 atexit +20000004 l .data 00000000 __preinit_array_end +00000000 l *UND* 00000000 __stack +00000000 l *UND* 00000000 __sf_fake_stdout +20000004 l .data 00000000 __init_array_start +00000000 l *UND* 00000000 _exit +20000004 l .data 00000000 __preinit_array_start +000019d8 g F .text 00000020 ComInit +00001fa8 g F .text 00000058 FlashWrite +00001b40 g F .text 00000008 AssertFailure +00002230 g F .text 00000030 CanReceivePacket +000001a4 g F .text 00000040 reset_handler +00000b0c g F .text 0000009c CANInit +00002290 g F .text 0000001c TimerUpdate +000018e8 g F .text 00000010 XcpPacketTransmitted +000019f8 g F .text 00000038 ComTask +00000420 g F .text 00000008 SysCtlDelay +00001b08 g F .text 0000001c BootInit +00000ba8 g F .text 0000002c CANEnable +00001ae8 g F .text 00000020 BackDoorInit +00001b3c g F .text 00000002 CopService +00002514 g .text 00000000 _etext +000022bc g F .text 00000004 CpuIrqDisable +00002104 g F .text 00000006 FlashGetUserProgBaseAddress +00001568 g F .text 00000028 UARTSpaceAvail +00002260 g F .text 0000000c TimerReset +000015bc g F .text 0000002c UARTCharPutNonBlocking +00001b24 g F .text 00000014 BootTask +00002030 g F .text 0000004c FlashWriteChecksum +00001a34 g F .text 0000002c ComTransmitPacket +000003e8 g F .text 00000038 SysCtlPeripheralEnable +000018d8 g F .text 00000010 XcpIsConnected +000022c4 g F .text 00000000 _init +00001ca4 g F .text 00000008 NvmInit +00001f90 g F .text 00000018 FlashInit +00001cc4 g F .text 00000008 NvmGetUserProgBaseAddress +20000510 g .bss 00000000 _ebss +00000800 g *ABS* 00000000 __STACKSIZE__ +0000014c g F .text 00000010 UnusedISR +00001a30 g F .text 00000002 ComFree +00000000 w *UND* 00000000 __deregister_frame_info +00001b90 g F .text 00000024 UartInit +00001cb4 g F .text 00000008 NvmErase +00001590 g F .text 0000002c UARTCharGetNonBlocking +2000000c g .bss 00000000 _bss +000018f8 g F .text 000000e0 XcpPacketReceived +00001ce0 g F .text 00000008 CpuInit +000020c4 g F .text 00000040 FlashDone +00000cb0 g F .text 0000008c CANStatusGet +000021d8 g F .text 00000058 CanTransmitPacket +000007e4 g F .text 000000d4 FlashProgram +000018bc g F .text 0000001c XcpInit +00002000 g F .text 0000002e FlashErase +00000194 g F .text 00000010 main +0000058c g F .text 00000204 SysCtlClockGet +00001448 g F .text 00000038 UARTDisable +00001ccc g F .text 00000014 NvmDone +00001bb4 g F .text 00000050 UartTransmitPacket +00001cbc g F .text 00000008 NvmVerifyChecksum +00000f38 g F .text 000001bc CANMessageGet +00001d1c g F .text 00000020 CpuMemCopy +00001384 g F .text 00000034 GPIOPinTypeCAN +000022d0 g F .text 00000000 _fini +00001a84 g F .text 00000024 ComGetActiveInterfaceMaxTxLen +00001c04 g F .text 000000a0 UartReceivePacket +000022c0 g F .text 00000004 CpuIrqEnable +00000944 g F .text 0000008c IntDisable +20000000 g .data 00000000 _data +00001b38 g F .text 00000002 CopInit +00002180 g F .text 00000058 CanInit +00001cac g F .text 00000008 NvmWrite +00001ce8 g F .text 00000034 CpuStartUserProgram +00000bd4 g F .text 000000dc CANBitTimingSet +20000d10 g .bss 00000000 _estack +0000207c g F .text 00000048 FlashVerifyChecksum +2000000c g .data 00000000 _edata +00000000 g O .text 000000f0 _vectab +000013b8 g F .text 00000034 GPIOPinTypeUART +00001aa8 g F .text 00000008 ComIsConnected +000008b8 g F .text 0000008c IntEnable +00001a60 g F .text 00000024 ComGetActiveInterfaceMaxRxLen +000011d0 g F .text 00000060 GPIODirModeSet +00001ab0 g F .text 00000038 BackDoorCheck +20000510 g .bss 00000000 _stack +000022ac g F .text 00000010 TimerGet +00000000 w *UND* 00000000 _Jv_RegisterClasses +00000d3c g F .text 000001fc CANMessageSet +00001480 g F .text 000000e8 UARTConfigSetExpClk +00000428 g F .text 00000164 SysCtlClockSet +00001230 g F .text 00000154 GPIOPadConfigSet +0000226c g F .text 00000024 TimerInit +00000000 w *UND* 00000000 __register_frame_info +00000790 g F .text 00000054 FlashClear +00001418 g F .text 00000030 UARTEnable + + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/makefile b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/makefile index a93d3cec..5ebde5d1 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/makefile +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/makefile @@ -1,11 +1,11 @@ #**************************************************************************************** -#| Description: Makefile for LM3S using CodeSourcery GNU GCC compiler toolset +#| Description: Makefile for GNU ARM Embedded toolchain. #| File Name: makefile #| #|--------------------------------------------------------------------------------------- #| C O P Y R I G H T #|--------------------------------------------------------------------------------------- -#| Copyright (c) 2012 by Feaser http://www.feaser.com All rights reserved +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved #| #|--------------------------------------------------------------------------------------- #| L I C E N S E @@ -25,138 +25,111 @@ #**************************************************************************************** SHELL = sh -#|---------------------------------------------------------------------------------------| -#| Configure project name | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| PROJ_NAME=openblt_ek_lm3s8962 -#|---------------------------------------------------------------------------------------| -#| Speficy project source files | -#|---------------------------------------------------------------------------------------| -PROJ_FILES= \ -blt_conf.h \ -hooks.c \ -main.c \ -vectors.c \ -cstart.c \ -./lib/inc/hw_flash.h \ -./lib/inc/hw_gpio.h \ -./lib/inc/hw_ints.h \ -./lib/inc/hw_memmap.h \ -./lib/inc/hw_nvic.h \ -./lib/inc/hw_sysctl.h \ --./lib/inc/hw_types.h \ -./lib/inc/hw_uart.h \ -./lib/inc/hw_can.h \ -./lib/driverlib/cpulib.c \ -./lib/driverlib/flashlib.c \ -./lib/driverlib/gpio.h \ -./lib/driverlib/sysctl.c \ -./lib/driverlib/uartlib.h \ -./lib/driverlib/canlib.h \ -./lib/driverlib/cpulib.h \ -./lib/driverlib/flashlib.h \ -./lib/driverlib/interrupt.c \ -./lib/driverlib/sysctl.h \ -./lib/driverlib/debug.h \ -./lib/driverlib/gpio.c \ -./lib/driverlib/interrupt.h \ -./lib/driverlib/uartlib.c \ -./lib/driverlib/canlib.c \ -../../../Source/boot.c \ -../../../Source/boot.h \ -../../../Source/com.c \ -../../../Source/com.h \ -../../../Source/xcp.c \ -../../../Source/xcp.h \ -../../../Source/backdoor.c \ -../../../Source/backdoor.h \ -../../../Source/cop.c \ -../../../Source/cop.h \ -../../../Source/assert.c \ -../../../Source/assert.h \ -../../../Source/cpu.h \ -../../../Source/uart.h \ -../../../Source/can.h \ -../../../Source/nvm.h \ -../../../Source/timer.h \ -../../../Source/plausibility.h \ -../../../Source/ARMCM3_LM3S/types.h \ -../../../Source/ARMCM3_LM3S/cpu.c \ -../../../Source/ARMCM3_LM3S/uart.c \ -../../../Source/ARMCM3_LM3S/can.c \ -../../../Source/ARMCM3_LM3S/nvm.c \ -../../../Source/ARMCM3_LM3S/timer.c \ -../../../Source/ARMCM3_LM3S/flash.c \ -../../../Source/ARMCM3_LM3S/flash.h \ -../../../Source/ARMCM3_LM3S/GCC/cpu_comp.c +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ -#|---------------------------------------------------------------------------------------| -#| Compiler binaries | -#|---------------------------------------------------------------------------------------| -CC = arm-none-eabi-gcc -LN = arm-none-eabi-gcc -OC = arm-none-eabi-objcopy -OD = arm-none-eabi-objdump -AS = arm-none-eabi-as -SZ = arm-none-eabi-size +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories, but +# exclude flash-layout.c as this one is directly included in a source file, when used. +PROJ_FILES = $(filter-out flash_layout.c, $(call rwildcard, , *.c *.h *.S)) +# Collect bootloader core files +PROJ_FILES += $(wildcard ../../../Source/*.c) +PROJ_FILES += $(wildcard ../../../Source/*.h) +# Collect bootloader port files +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/*.h) +# Collect bootloader port compiler specific files +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/GCC/*.c) +PROJ_FILES += $(wildcard ../../../Source/ARMCM3_LM3S/GCC/*.h) -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -I./lib -LIB_PATH = -L./ +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +INC_PATH += -I./lib +LIB_PATH = -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m3 -Os -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -CFLAGS += -ffunction-sections -fdata-sections $(INC_PATH) -D DEBUG -D gcc -CFLAGS += -Wa,-adhlns="$(OBJ_PATH)/$(subst .o,.lst,$@)" -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O binary -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +STDFLAGS = -mcpu=cortex-m3 -mthumb -mfloat-abi=soft -fno-strict-aliasing +STDFLAGS += -Wno-unused-but-set-variable +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DDEBUG -Dgcc +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,-script="memory.x" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O binary +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).bin +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).bin $(BIN_PATH)/$(PROJ_NAME).bin : $(BIN_PATH)/$(PROJ_NAME).elf @@ -168,31 +141,32 @@ $(BIN_PATH)/$(PROJ_NAME).bin : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).bin + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/memory.x b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/memory.x index a4d45592..9964d4fc 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/memory.x +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Boot/memory.x @@ -10,17 +10,60 @@ SECTIONS .text : { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) + KEEP(*(.isr_vector)) + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) _etext = .; } > FLASH .data : AT (ADDR(.text) + SIZEOF(.text)) { _data = .; - *(vtable) - *(.data*) + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); _edata = .; } > SRAM diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.elf b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.elf index 307d3a225ce8ea11eb27c52d148fc2b59295bedd..2bbac9acaadb76cede63c4cd117b758a2dc08a74 100644 GIT binary patch literal 286552 zcmeFacX$=W+Xg(dB{}IQB!LvlAps0M^eTj6C=m!Eh=LNDf~bTl_6Ue5h#(e_h>EC) z4Nz1Nq=<^x5=4Jsje?5U0t5*)-+j;So@|1szxVy#KfdqUb6q<#Pnl<)x#yX(dp0L` zTy$}klu|e!O{5D&WeU+6%(=r2Av9sO76D}GqMC@Yh?dY_djXt|E)T| z$F3giUvFNVHlx)BBRg)b(fj42B44JZ<@q<`cM1Xo=2!k^LAHN=%35C^pOX7}>*Mqd z{>`D;qVcK1%?JINiM_-Y>PDiD(zol%?8MwW$m)UitrsPCo(j!=>XgXH50fPqoRTF8 zxKd7uK>6`Q<-W~g%cuQD`B7(_Q%CC?H%_*SbJ+;*ElOse3`2V7NxklXlFWc8$to8m zm!8T^8&Ep3yUfxdTYB2J8NayT#}yD;MW$GP*BT>DTkKC0iyIdE(&Xa0#beUMDZSO5 ziL%8jrw$+ea$UDhU#<&p9Jkk>Mp~ZIkNO)&7U_+|UhM(JJFG7npB2_ymNY7pfv{rP zQ9d9a6^*_qlI_Jpu|%ZrE;83#S!SFf7DlFj{hT$i@TDpI^dsMLmT7~NF&782M9IqX z^5d_Tv-Dee=8T%BN_HeQEk)W3iSdSIfGAELpOuEM$#67J2B0^aEwuHnL3B z&ozh3yK04jJQ?tB7QD8wzrOY5$H$|E%|Mm7hn{2}$WEEDY(t&i<;TA*m+9?_YJnDw zTNRcczoSwp_AEdC9`qB6;`+vc^X0m@yy(8wW-RVr zy-1`+KsO?L*o^YVF-2k7%Vvz;P=5Sfv|4^Vr931%W!#unOS)_OM5DLo+BUw-l@nVpo&I#c0? zZ+%Rk`?4akBl_yavE!0*jaEj>us-v;mwg)EJG{?;5~0oQ{>GNfGNbXyFUybbRk4bJ zaDLAtz;%Q3g<%y7sIfA0(>oe%RD!p)q;}d|6$k8x{<;U+i8x4%!PJZRs z$vfgdHQ+(Yj7}S5-LUMWyl}*tD0$#aNU=yYfu5|(5x@70%&wVBJ2PPCR@>GS$MWN3 zC)qgj$o=_~vX^uhCHLj)$MUDJbT3LmmF~{h59beh>R6_tX4)Ee6k77zkmn6r(*2e~ z{jfi6N%xxyb75&lp=`CJ`;CPf(&>c;(rJZ0q*Dw1NT(DAkWMblL^`Q3A8B4;W_n)X zn#2C)6AK5T{td8t8?0Rit86=O^pfu53bP@(rZBJ5lI~Yix6atY-r$UZE`1(V_|&m7 zAvVw9uD+X<=)W z1{KLbUa$WzTmpV#9%HUcj&G*$=L?Ym0_&NdYZ~(aih}Dv`EgMm*f5~<^dui<_Je0r zV?whBm8JT9<5sNOan$Icoz#!&Jt9=htkt7QcB{-DrD9a$?6l0d z9^VVCBvZ=_r1$aV4mzZT7@wtO*Ut5Ks+Fr3*FjtUR-j;Kae4icva$6`bhA$1)Xan) z4N6WAG)hhnis%tvl0P7{@FMgAJ<${U)XhxlA&b*W^1H>Ciix3x(Z%t7tBtExk{M$b zVP?^<9-?t+QGN8eZmAdl*HaosJB$rPeIFBf?puKT|&zat|c@`s|Vi>;wT zWM<}|obl>pU_7~R>^RX-`$CrFX|h-^$8Q&!8Q{l%HRqj5zRckUft+@-e1nqN{o^^n$~j7sUsy}#foe5WXnqgx$&j>14Ln_595hE-`4}<99NnjlZuv-zKF&6 z`%$WBJxsM8Qj{sS9i1~swpw%QXh~%8wxjRZW6#^fDow@yG1) z3!(c(y;32msjx2eI|treX|YAPnnK7*{*2YoT_IICHH}_8Ne_+?wM* zsyY72q)^Q96{q)K+oQy0LvmpQPz^ve=(`wkmkO$JxH!T|UI@auvfQB+g$v)B64e8v8>5L^Z> z4JR@|8fUJjx*Pj4kHo~rqP1qH)8We_<*)t{QpeogctVeofZllYbe~?_ctV;g9}use z`mzoxGu&8ar5pPOlzuiT8Ja(wGMbo0NjQ}vns6@lZ#F?EC|W2w1azz=Poq2rbTsJ_ zO((tbuwSp!*xV~qxi0(qlGnV6xi6zLPCX}$Qd8~j^Wk?rtjqplMA~ne+ZU=gJt0mprfX+qU%BEY`baR_-YSWEvx}i;90Gj8I`k*6`r&XFY?iuvomeq{Q zH#76>c~E|QetF`C19hum+p!C~Gy}^I}q_0^_VR*Bs!pLS3g<;LY3L~0@7DhEQ3mdG>Jn~L#SLiG~ zX&ifEbPeUxo-$Ft5!z**ddP%N#t~ys4dv6GGQ*Y`j_lZzsAs_6J!L*y<_pT6g3PC6 ziMA}!ksXN~WMQzUEYg-mIBx>f zJ-YUWJ!R?j6I9>Q$v11|mMKj06sFaI=(@8!3k{s41C{8LJ5&hgdOw0zJjrF2-*gHDl;_8*xt36MUej{_{8ks9_ zx2qM)WwMoAESDLLPkgPd;rX&`eQ1_1D=q#IJ!@k`*8|5UKDb;Ug(;-?ZKH` zF6xFHZjF9iuS)Gv8domshZj@-9;JVx$cl~O<+4qn>5;D^Rn5Uct?8At`q)|-@OeD; z9`?uDYy8T$j&-@$>?ouC%gX~LQnJ*noO=y*t#zmT_z4xCmrrT|?H9}HA@0VuXom8$ zWohHIPSK6IKTc@T2%P0*qsPfkq2LTC9X_c(I1iOQ1&*$CrnRUG&iu0d;Jk7yf1>ee z=8;=k)C6y48F8;s9+H(=(oM4b%h{3RWQQL{O{JX^0AD{Wr+@$KN6$7Z6m`E1Wa zqGuxb3qif6_z$48R`DajUju5j;;%#LX~oALS}%~rxkg`_{#-I()*3TwUe+SD26t0F zjTm`j@3Y5`l}8*dZ&gPj`r*ha;QU%{G$Z0ykDLhVhjP=7s2OLih}u^sGF~}`JBHo+ z%6x%pSlP_N0VOipC}xWLh7u8NG!sWQ=YM-Jq(sk%sZmeJ08Yp^;;cLbQr|~qB7Z>1 z;_!5wDMPbDvhuUULec@y(7TL!-To|}G2qYg$>Mt0RBdYs|9zHQRg7~A7#efHRA9@#!=6ga=1jodH=YvCX z527^u4958I5|l1GgOh6RtaZ32nXDDnC>100qSUp_Wl?78>f9Qo`Q0N6yY-QWLVMLY zdC!SHheAV}=RP;CTZti`%hihCJ9;l@)E=N0f?JwD={Zs(S`4l`yp`EN+B9#b= zcWRbQDk>k;^XioQd@j+bT_{Rov_rYi<7q->i~(#4Wcv8>^NI zUd&ldou&6+&c{Q)Y(4h8O}h0CNo5=5i z8;ER?nSC*I`iuPgh6%CtO6cVYQSD~PZWH+rFH!e*BL7XX_29>1%*|im@Q!;waZ+42 zepK@@lgCdVJDH^^H%%Em^}1%GA^I*R)4=7!Ras}+$jMWcMwPnbZnN8SK-WnK6%b^PcFmO32qJ{|%d0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$ z0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0v-Y$0{?$NAgP-W$1;WJ zmX6+x96NHR zxO(E`K(|Z!1unXN)Yvg&#*PW(OrAJ;?35`JCr@b@Xw$59vlfAt87H&_ME7)XRi}NA9cAD5{FV>h0r^mqC~ z+CK-Hmfk&Jnzy}6W(F(f2)%1GOtlye6(S`Ib|W=Lfvf`y#tkSmW??c4ZCRL(LN^pb zZbgCZhRPfXW4^@iQT+;|@X}gD z$UacUr8SKl(pm^!`UV*>)h7fmt!2b-HKgFBHHjFCuJO_`#7Yx>i9*=4!5lG8Dlpj$ zKcnIA)_8B`_ew^h`I5_gPkR2RV!(dpfVXc06l47RM@21&L3)NCa$hQe^rvfv$>t41W+$a}!i7LAD* z0x7$i37PT@VTC?j1@?tt4|mui&*@Xd7`w`ppiKG!+I3*}L6y5LwuUZ5<$n*!xB%wwR4YOe_DH6UQmaTU}!J3U-Q77tSkPRgYyHOzIA|aj74c-G9 zZ~(chsP_fP8L%tD*V3_jz5>mllm$m2uD*?R=CNq%@HtTZ0Nt8T_f0*Wj7z9-pT$6E z2dZC9I}134OuY#h5r<%sqx3PXa5R)55p@#m*=RW`xrZf>>WzYOzCQ{Si5j%4W64Wo zlF4aU2kljx%0@4jdC<#*hAdbHaqLqBVwhyp`*Habs5RV)3jc13uas2P77dTM6_xKt zBiDkq0@#W(j8en{kS&93QB|31Fk6VIl~AL@mY>f~br6EbBjddJH+qNTXj z(VCw^&dYo;r4$x;X$xe^EU$45;WFs`Y*YMN=1<7@v74iV2uBhG-5KZSSvx8ogggDLyj|4A9{7 zdG7-Vvd>mHlL%c|z(vd036k6EwIEC`z4`i9DMCI3yB*jeg(!4KL4+=)PtD&%aW8oB z$YlYkkZ(Y;w;|u7(2tdVL}4fkhfv_whaJWof5TJ}Hi|~B{1t2~_^|bh@CAy=qRa`O zq6i`+2S&V3VnhlgkvFrL+W^f}`8hjynQ3A*>=>d2Fty<>h6>?c0|7rDVj6BaFWf&v zTXrf#S2Lk23vNMboF(%@7XKuvV@NHsIy^VidkVp@z&6fKgzlrZik8q%S!|}b$60L7 zqK{*u#-)M6+Ysw2yqyl)^mZ#0`S~*h;f;gdUxzmFkPy0L4fJ_8KjKr*_M!J}W;WYo_=!FbSai9w^nR^(`z$_q9KS5{WIf=$USgt2gE zvC^K9;bCZkm;SRBo`IZ~UaUnp%ZX86%#1*j;z|s+VJ#blH(1#2g=73Q#I_ZC8L+jE zclw2Sh@iTiAhFRlhJqtsWJlhBXJv3(7EAzTzKG`Ji!1^mWr4@+kjt+J`zNybv1VIH z$V4ztI8196hpr>DcJP2w2z6QT9a7&C+Ndq5|15kDLoRwmp!?kEa)z=nP!H!(I`n>e zkry;#onJaa;#2de15jhcuH_0OjRs>uKtf0|#%4j0O zGU`@(`l_T%TQoJ;#w^f(-^K=LM6-jD98!5yuE}yvmc-cd{-|oGCmM=)17dprwafAj z@Xm#W)gxD6oMmkd73||`Ka?$_wKX=IN23(eXiEtH-rY24M4lzqIVd?sSDh_ zC?(t!LMhQeciy(RNhn|JwA>$K8VUxx<7>icaufzsoL>%+#l|r%)}V>V``G$GW!SF_ zUjeQPi!n(11PxghCb}#{_9YqI|0UKz$X!zM*+fF-~; zn+ASR21=oJp6OqTYSlW^K!iaL`LUdee39KtLyOL6Eu`6$If_f&+E`D|W40lx#qr1| zyBY_xFa}VU1(6@q^%2S>>VmT!Cb?{inT2;$x zrw#(%w~-Tgtgy}85OXWN`cpN>VCUgS4mCwDA;w@SlLbRCRF+ReO=7$a zbrY0NS19^7(q%Ma(GhSV;Xd}vqH{n;IZ)C<|VOzU4+8B|#G+O1WXta$v%a55ypsW{CbP&zC0Ve7KCQ60^yy=_Pj^FDIVSSY>(gEI=>~SWAm~#Q)x>|! z@6(g?=^FyM1tn)5o<;?0)!Y*5teSg>?hh`dy6C2*^g~c-Dj^9&ZO2kpP$|r3TdMvx zn`@wy>{)veBe{>_SVxYfsODR4aS6&vfN~yGhoD;I6?ATr%fJ^w1Cx9-&;Y}H9s{?d zTJ@21`~hXa@~L_{InTH_p%duOyUH9pco3H?m<7*#mr~b~T!MytJ?M@_ZvmZ2pT|)h{2Gs9Pg_+%DpiMCVkE zcbpoUNPdvc_WQg-Ydwm|@3QW&Uln&8j%Z1WyPdk@HTY~>RNO6e|Hj11T-8of@RVoO zMRFh3oz+EYmEusGR13uv-udy;Qd49h6maQnQ>uN0TYOylg(IQcHIjb$3J8eD`MRkUR@g&6DmRHsJE% z_%O}p^?~=FTRhyr0%#we8~SpsF{cp9F2PvL|4-+_^6j+DPXL!J$iiA~d7uQ1qFhZj zfs9Q~wIk_VtFv%t(fLMLeVi71D~lyTi;uIZA+Tuv$gNnBGf57)7333?zT|GNz;184 ztBX94SMJHv7AC6y=LlDyum3N@)8%)d*A5r-#kioIxiJ3sbaW&=61!_0e7PXZ|y@Vi>cuas#Ph7Gf8B;7;#mhD7 zzG4zAM>n}mX|bipNXIhJ#z{KzKIvq-Y0<|($E4m3`dU@LE}}NZyfa9*1|9UY-1Zbv z^p~FM;n+Y#)YXiXrSd@pwOVWoc%rnNT&f!BMWY1}cZY|ULg>WFD)6dyUk~SvSX7OB zV044r0S)U^vm6nj#d_(fMq-8jv0{ql)M}0(C}=lr(Iy2J>?{<4jy|t+~Ni2njRh{ zMQExmz4;tzG^7h{>5_A#F_1nCDFJ_>qP4J(!DFP@t&6CgH^IekRUFk*aa0WlP^;tL zD#xeMaY)n<9k)%LZz}8I2Z*L5F1%9}!ZLbI&}f-5`lQ?F#Sl8<&MN1Q`xzP?gWGPI zfWUKtiO;z#PZQJ)+D9-N{TBO^pk+Yogu9Wo|JX<}Oh={Mh3f58BbMiNajLW$X{Q=V zj&;Ei0^#3#-kC;2>G=?4G#`G+f|Ws|LzK}h7>$|4$ud;YlbuEg#WbrCS72PJRgB0z z|LDS1bYUEKj60PJ7(2L717m9S>rU0=B6pJq&uMawtI5;<*d!kgM73dmo>5KCRZYGc zZ1Ne^+4GB9AECf)b#1q41*Z5~aM&tSo&=JKxImGM!5$ z9)-%e`O3M0J_nCN)p&PUwVbo)8$esm?N;(fK?j{nMCHoO|HtKA+jFFQ&+FVixa1!^ z8_pe9&A>PNOTbry4q6g^fgE&T={ZfDK5r9e*u+4FWOaQ4?c*~87h(cyxfK(ZL3OUfffu*PHO)aZ6z2fM>9|6s;IZV-b!2X#5h?U&MGlP{r`(py30`@F2J075`}XXBy@gcG57aV*%Jp`3!T}j zk$Ny{H8R^^BRTFy8bbN+dvgyPd5I?mK0I`uTRazRWR+@U5*r!DxLu|C{Fu9u1^?K{ zi!kkfjC;+_AY=hFCJQv4gVnitr)uaex8a}u(J-H6M%Cjn`e)^RZ)No9pwXX|(L?lJ zJ< zBOtNuh}cMjK1F@O6KMj@Le6bN2cBD1_Y>6Pw!4F#)ll>gZqNP(y|P8&q!sFd++yf{koY%^wT)#-i&cx*&V)9~*g#jdY)i zM!r^!)KiVLuyS6jw~FjcMMSyZ)(XHnHEo<&uwcotQy;#rj7>p6zt zgI>dW0CfU)$m;zIY;gRr-Gab zGVBvt-9hO(lAi_1B9Qb$+(y%oM{u>7Ka>SLs(N32d!vMv1s|iA*7LHcX!ROKC^3^~ zXeynS3i$ZM^6CuRJ%EO=C0Y%+ZRz27ok+x7L6=fca`vcIDEptcxHRBTKCgiQ#IdOa zpcP)Kr3uH0??6>sL?dmKq%(}fsoq<(yhOyrvEq1DEfW=GL3fOnU*S1kRT_p${&h4m zUUGQv23<|vN=;DmRp<8%At7QXvFbgN_ig;pge)LsHFA$K^7Z+REPxT+dbuu!@hYE| z{)>f}uk3shwDYvG6P5y)QX%GmR>pZX?8Gq2<L4Xa!J==S&>pXl02Smr(2ate{rn zKp5wxHBIb|jF;9V(OIg!l@%EEt2-y#EynSnLiYor!MrS_1afPl3ZvF)mp^%F@4@ z`t@rAO?C7dw;JRHb&%Mh3n=*(bm&*l!^$^Ce<5-qlm&Q}Z(I0=79KzqbJru(;Y4|h zWZTUkzoqnBkUl@^b^;NKnqk&n=t~A~G_a^=@l1Ucmas4`VFyHb16v4Xm*7XtkE>U} zvMFhrA4EMo+7BC%3Ij_L5di(>Vx>u++JTO`?J>}ys^)0W(Ysy>(()39rE+n#?c!7` zRF#W6tGK9#M@nlAW(V9ps;879CVVSQXQGx+Fa61a>4<&H!A#}A^Z;muS*BW`R*Ug^ z+)Akn+)5ur&FfXoUZn5BdqOsS1?cFu9C}Oc!jbQLRCSsQS7o#&pVw)eg++N>H zy>gC=8>R3)Y)gyIk>Y82_(oe=xohu8bl~(dxDo;=gjj=17JOpBAr+}18aq%c6|_P; zL}R3mBK;^G4%Mi;fb_5mdL!tl>FXg6Q}ur&eR~CMq=BAOK{qA6pn@I=Iv7|Fqk*bp z^s2>k1y;0nzF3dZu!9A&MJc2a04t&Fx4XDBF&x zqs_U~svAtl+{RVz3RfeK2OGIUHS&G1ktYUKK0BhRWvZe=5C zk$6`1W|g~GzG@^xH4=||Xsajht47{uBM&{Rv=SgY<8I{jibhn> za9lpKc&-5XShGTE0vhZod51Ubl<)NJ?kY8AqsFodp7QH{mtPUH2wxrD35Eb{ z$btmevmmUaj{)aOIAx7f9lbT^d)!W*tYV%{qT4P9>*?q@UuTtF^*3Rj0-qOublh2WjrXiql!C%zh%qfl~=xGixiv3fR2 zHJaGK>DegNXiv}zOg6Hoq7lVoBS$Qrt9RGvR*ki&5exI~KnPQB9V^ti6IB(p2*Y2z zAZlY~@uB2A{VVi@_{svlK4SSfPx(1E=;u7;=OX&~qYcKb!B&Ouhz-W07SHA9Lil+a zQu?_eSi=ff`q@&1`*QFS{$Kdnntry2pAU0=;tt~YITL-e{Cq|Exi0AEE6UGr+<4Z5 zvOQ=)JiA*wm!EIA@EjSeQQ>EXHM{=G&mf-nFl_d@{A`40xR#&$l%M56Kldp=8(-iW z_YZ@uR!qA?=lJ=J%g->FuR6H@~@*XA2SD8RGNeNk6Z75`NYqr((x9L94ff<6H=Z@2?D$C&^s}SIbNSf_eo{(5FAvtJOBO$bK$A zQ}I04^0S}v^T(i{{gj{8(p}@u=i^oV{Qew2FLC)PVZQ3PV;=pjpBTBAfeYcM^%kf# z?z@71PFH@mf?w9SPgj19aQk^(u+@tAyw~En@Vv$4Cm*i=w|;WmZ{}Uj<1RcWM>}{v zuKdgo`uVu>^98q`@ldXcCtrsF&*kSzm!AWJH7dsapYZGmKkwo?ztiPsa*X5WPUYuE zK|gmYKTo**+!SoJ0?#kb@e>dJeH>WE=ZRpAfA1&p{BjHYJnZswd(h9r%FpD6&bS{| zerADIt#ZDPfpS$mXIeZLo`1Uhd@@+$zw?uSG$)hIo>&*G_`KHd;F)ZWfh*Sp{Y*Bg zv6z1LhdTX?gtFu372t8cH?nvxKXD}Xje(SS_6gRgz3IPSxt?BGcg#Pep0=kjx!%g?338Wn#2(|(izJdbnzT*rGCXaAs|OO>BDyZtnvT-DE1i|6ulxy#RP!5S5Q{?mNtxcgs&pW9r1hU2?y%g=4f z&t*YBw<$m0bNl&1u+@rj-*t|k@4Ec_IauRg`58PfCFAZ{{_65`UC_^8m7fQLe*UWb zjBo53_e-H%70<~Q&xPk_|4d?jthRfe8eADg`<{=+{%(9G+M1UDs;mY$0XKy!Wqwo5>{+O zBh9aZzJ{IdRIm8vqP3t!j z?nc?~Jhlu`YrAIsMgmt%1HX|_gxs`#BY{O>TECINvSC`kk-)Ogw0mnG6HNl4)sdrV z?jL?xA>?gT>mb`fHDcHSu zYM_5V9(m#cW&nEHqFY6*^>@M5STFj8PavBhe}%PXtXoh3Zk)+BCP)tCRo?Zg2w~J(!t^`Iy(DjbALJh- z{{)%X42C%hwO|&lhfEa_q9j=)b^(7szB=q^@lAc}P%7Yo^$C$U6vE#j>~9JAlp|p} zcqhPP7eI^X102Q`ayIxDa-T!nLT-gZE(vT4xfOU51JA8VE96!vq$Ys2kXxzfdq^wf zo>s{10iEzL%&$OY2e~Bvp}yQLUj@GaZpZ@ughiZ+vE8cL5t^w(6!s{ywVMNmO;G1s z%K^hS1>R2Z2mxQ%h4~-^diXvm5(^>akX;t60d(+JzKLHzK#wNaf~hd|tF3&O&AZWp za*as*)0QlE%(SMN4EzP$C6@iy9YK3r5Do$Df8es8Xe<8^Y5EIrL zg9b(781M+|Ll(~kYe!8aUTZh>Tt$t9so*)Y18$0>x%`rF5HN5WZ-<@38skB#@q9K; zF&i(fFr|2Gyc#N09@C*{oHYn-14~Lw63DC6cr?C$k<(P;V<3|SM}mz{Q;olX#^WYn zGl3RmdqKyK_yY8eS`ljS9i*Uhi|nSR+dMk=vc+>DbF1wfr5~Lmz0KwNcaFVC%k|*g zK#L*9UNoN^!o_HlmX9(&dvM8uZ8%|C2wIXj%~nP4ApO%`(AyM!lC)ZOw=24S3(${#3HjR^+rAof5R_*^t(ctQ0%eEA zbAhtc1hx-i4JBiVklH+~>d?XAhr} za@KP(c>p}($v?ZSjMx}mBrdn5H=HBIi?WGpZ0Y=Sq!S?BZcA66Bh7c>g&I2bhml+`zaJ>43qCW9j<^cYrTuHfwe^sT1fdlTJK^~(9W2n|MXP;&irGyytGpT^@#~f7NTMPQjLGKSL z@16^Kdq~lrxxF0oF^(w2jQ#%12FG$5RWy3QvNYyWoZLj%5dsqN&k9_ zxQP2xrpr|`%5gQ*$QMFn!!^rQi@wqA>dFe!L1(s~hSn#b;{#mWr>mMJZudu{G6xO87Ignki|0Zb zPb8I;SU%*`@K^9wTReT*R;|)Trcc{}8cFXGVZMIr+@!9Jb`btZxm2$;=<9eAw&)zt zszI)4AyBUBVm*uJa&fl{;qK>1@mKG`4h(VZxp>#wys37(M17W{R}ZsMwzmvW@I+AA zUWmFTSL(G|?~|V6T}K#BGtQHv{xMFc!_0heZ)KN`5JQo@d0F9ZDGHSD3H5P!!aU2`uak&jE7Kux2?VBx$J}n=ohZQ#Seup_5 z%r!Q1rNevz%#Aj4gTq`2=1!aWvBNCJ9NBF%(FuLp0r;0(gnM?mKR6=RqIp}a1JZs4 zg3%WAVV>jmo4g6jAia~fuLl%89CWQY7DMfwu%Ar}2P>6+~LU?op(54u4GeF^CujGiG%K96+H z$Dl6@VUT}KdN5bdp^A>jcTS0aqC1SHYpPX5lXj^UO?O#57ce72L}I)xed-)(E~Kfp zblW-7t08RyDI@VSyS1tCVBuiAr zLeHksXY&@Gig~+oA8=0M@8rqXLW`lcNkz)q1Se8n3uUBS0OYMmvFJghRiwNg%1F6` zbON8yydKJtdmgkEDH}o=DIbznk+M_K#HvInwQrrb5aw+-BRm1#Psnd0*N* zg`OIs_K+|#{s>A$VwTM_03TY{r_aSxj2xSpM(H*O&oI>j)3%oU$93X7e}_Nw_MH#0x&FhFbs6 zk-lh4)%tHqgZn3YH`eA&Q!~u>gk_78+Z_ofi+a8TwXaI;Gt=h3)%VIAjz zx!Jak^Jv^`C-4Re9*uv1bE9EB8n1uAdNf|94j;IKtS7|xQ}DRd`bz?xzdkHG7GS`z zC1Ekw`Gdu>AG=~PjQ+47$C6PKmn`7aHr2h**aaxQi;=>esSth9G=Dp}YpDGLSHc&j z)&WD%LOyjkq_SWGQdMQJQw1yB(7qJCP~ph;Ls08w*0LSpZzjtRHbEl*CY}zXwt1Wz z+y^Wkp(7tdnrm4#^*uxB5gvRNqQBPP6og+$U-na~9$X_<`fDuhUIPu`*NP&#fQvpglU_$+nbJ7jbR%7UTB?hD8pZgOhBO=%v)rF{_{r0#+Gh@*0KJYrEdXSGU7^u-F z&Z*-|hpZ;F!-9O1w!)jqzl15m0fS1BE;ynp@QZeIFtIAkxFBL(zYT_IfgI~1F=W;3 zdS;bTigOJO)Z$%;f=oE?=vH?rV(e;2*(Z)(B-Ryu;+muTNexiIIj=&mChqoAs*Xs_ zN(|Kd7eiFzuZIW&gz@rmAk-iwhzpW1JkFr`+=EsrqVARzk&A(<@R-qrFFKsQMLK

+BhAof@WToM&Nc35!vuzO;6IouVFl~*gbxk^Yz*|6AakrO_yCV-vanxL`H z;8qLzUD-RFn%ok1JY&JCKs>?KD0)!cT`B0@zgwy}w3U~te;3>T!|^)b78>dfNS|vM z7-!Dt1P1{daFsE2&25fpq=R%+uuj$O0RJUGMUEW>F_j_pyX#eHE7lrKHHiOaLb{>S zE6x)}Gka^jAgS^|o58lv?dSOx$>6BF100)!3h>G^H{3y#su3=zBOL*jL#vC?4vg4~ z?TJ^!P7|<|Eex7 zu67Yp6)Q^BsVq*!yYrZb$ioZ+l(4QHKyJ0U8T9zD2WxMLY-v!EMpK3%UmH=J%^Ubs5%vs?{x zB2k?T{$dRO>WIL{qIk%FkNP%2m3Tv!(+%T|d^qxSOTQ_ zd+_!mpNjnA?$+PIJO94K`Nt!Bz{f#SIR8N;uZIwspw}R0yUvHV%bGZbJ6+2^=N~sX z@+M0DN~j}u{+GgD*um2u@#|mOOAT}M%j|X?d$_Yldxb%H&z@HQouA2f^roW6nrMQ_ zX8Gm(ggoVJmmfsC`ryu4eTWr5LvgLivT!0zy!iR2JfO62t7YfTI8@CY{G9e%+xiZl zcAVd7rikOfPe7#=_&av#m-EZU&W{&6zYfeQW9)iPJGnN^vEe76(sOgizJrUCJA5ZU zXxC>pT;aYBPj@WbogWRQERzX70hL}a8Grj?vPIu)8vMc;_+IReR^c;Mh`n~P?>=!>yy{dUYNzau# zd?%+G`=W_fcu;JRR3FXGaeJ*kPVS6XRsS41)7Gco&QBsZzidE}gVQ)$&sQZUSx=4k z?xv1E&fmgQR*cK&k7rfL`MKE2)SqFqNdLw_ekpA5v&PEQdd8yTr;`V4xijt#{^UD< ztVb30$NBp-hjwy*jwN^Kf15jhU+{1BsprHM%U|Kr#PwKJt#NZ+GIib|b>0Vc-sE)N zsC3>>bY2*A-e{vur~hL};Ijvp^O_CmsyKBf6CWq-}xrY`JRJ( zr(KpgmYSG*xzj)AVJl^RCiv8}GPUkH&p^qd+ep=kem6 z$BTQL^I@5L953#1ytv2l;vUC~dmJzBalE+4@!}rGi+h>#Vfif=&3%k}7%%Q&ytr36 zAC}wqbSV6y70TSBcyTXsJ}h&ukzo9ri@Mw!{*CVcg$~>1Uh{8lQqJp;QGKB3Pb(S_6lhFNPgSbC}%n#{Wj zJuSqn^=l)R>V=xM;(4;s>-)@F+wWwlL0IUkO6`L1&^vi|p{GZLMntjDFfw#tT^1Te zg&yq6LSsGj(M%SaM2Dte;WPB6F`?suxS==mhwcTWhTc3jl&{tpdWL2uHw{M#e+6ye z)>7QkgsIsb{})S?_h-6#7CE`AnNI0L&gFNpoUx4QgZT3tQ(TwMH1R{G3o@A2tWM1) z@hsPUhv|IW51L~5_bgu>#_}}4Z;I%aOvm5LbX^1T$8Tdf|t+gVP#faT^miz|z_e@R=W2{`JQB77aw zoA52JDfTR2dHW=$E0l-N+|Tl%3z$}Wjp^Mhnf|FfUsZ>-Hh)7-mlI5l-Ao@n$#lyg zO0QI&H#w;I%EJqXk$>|8*rU8(c&k1XHOg5`jU ztFS5LET~CNTig|zV(3NW%>A6{n-`I@z9G{EUy(ECGM0Z-@m8!*x^FD`^AwUDa4%wt z+mw|5P|OtduV6a171J$CnNH87g-1HF{J27F{z#Tfx-yA{r- z)!Z4b=2U(@rFgXp=^|`1rWmK9rO|gRivdiRtFiw25|(Forp;q&2ECuk@=@j6@mxyh ztJv5)oaJ{PVyefhTFscgR>t&`sZ9B{6Xv+8J1T{CiWKq(UL}9Lnq@bJv;5#MOg~Fv z8mZ#BpPE5Gs`=Fuzw%{@3ofUH<;wFVYV;eNBwqxW)>rX%i9*9v2+zNm`Y(P;>5hk) z9(L zcTjdp_K?4S4AUGn#}`$j^t!jm8S^^RHYzq&rLg>qnuB3@g8?gEV{+Eu|8YV`jlw*v z!KS$8OQyePQ|Ga1ENecd2c|P!g*WlAcBM02c!Zoxg}?_pSl+&s>2q;R`Og}$)@@^X zlZqT!!g8YWCs!d;s#b~2w$yB^BFc}~Logx=pQI9L3asFVhKXb&74v z@?o867uBzrmswstly-^>SU#Y76e7u~uSWK}o5*jW=4mUuU1Ew8YCZq03;D&(nRZg6 z{A(%8IcohcY{K#fYPJqL%kqnRnZ_y$TjsJ{L#+(Qd$7#kV>LzDKBn{bGriy#)9x*q zeq$l5MstTkEJN9^(~yNSA=U1lMP^Rxa&Ga!ff0yO4 z95#$;xr&E5jac4!E7Jx8Xg{wt%d^#fv_y^RV`{hhS*->+Dgt9Rs9Fk>S-C7fS)X;A zH)DFcn&}b6KTPSDYncvto9UZsTn>(7dFM{1ZPhCM^<6Cg zq2|$vY69mD(6zGJ!{$5jKC;;&JPc{8Z@99wxt~4kQ^2({dq+)_`}MmS>44`se+J%u z3(AAC79$-jIqJDrEJQl$683h?WUk#;f5X{0u5K*S8=snlbdDUuYIEfZ{OqZDuROzm zen@`O92Qr|F6{E_@}+jt@+^5lGWc~5a3xEvu?lJ03lT``#m0hPKZVvC=u41ZaM|Zb z)4xdszhUQYNHdybfYTzGBhzx+M@U<3ACI&(f8f$=Q^+W2`=}pjJKt!e?H}ujS{-h> z0%^w=iF&7eu8y6b=9%TfIhK1Vp)`i_r5nr*Nb7sot+a=*vsAno5K5}X0~>}k#%wleT_ z;(iJKqzG7_xdhTnZ)G0`&*y9#@)UQT%Z9#=^3W8n{g>ZyIm%bWa)u4Nk7F}@0sDC6 zIwEk@A7hb@=bu@@)Zu|-Et!rrn&M}M$bKRKBlS)v*i7p#;MH+Pugz- zkk&gyXY0>pC^QJvKzczG13mqfaHI`eyn(dQZ|jgYzJ3JiHrdEQYdX3C(q?aPBWYev zI5Y0wjQf$OMsK-$S< zXmtLB19joTD^c!p@%u=-PLD#Gc{U7bw`2}@_wjX6?lI_Nq&?flA-(8BE~Z&yzCgKG zwp#z(Bb6)-gyYyb}QDy60yj zy}k{7zF|E>Y~uc0VUNUZBSF`_y(7}pConu_+Am2+>u2&P(O?$)c0m%Cfb_;iNE>d= zLfR;T6QuD^-0+*MyA^5E5VqIs;P)su*K4Dk@qRC)EzU4_TE?=^t!{W5<<_qecM zc(AsdLy&>QK)*{)r)DP*f;SKlXDnV ztL4uBAbVcU<%qo?A0|*Q%FdjfFUbjWk-aRRSb}Vge2#&(R<+tWq!F)vy_y*al z@+t*i!pk9-co<{b%l&6usA-~&&Y=a!e*}PE>X^QMkDLz8B3IE0$^)|~F9s>22 z+|9|eMPA10Tjdj($lj9!c>}Ri7W$FBFZbO8<}UdM!}0_94oBicIeQSYk7To!V16ve zJP+y<$v?0+3uSu_dXXG*AF|!@cmUa_@^BAm?vabSfci}KWJ90JVS|zVNB$}x+bgGr zBHJfJUj_3E`80>)OZoL7WMARMR%BnxHe--|BVXep_JiEQ1@=ezeq+@8NnSwY56Yjp zeEcl$KZ@*-9P|USUt}lFqhDp69iV=b4_$=pce!K&vOnYaS$!Korf6C9xt}P%_P>3 zXs@z=OSBN22F#^eE)RQ;YWKDQbD7q^H?jh4^)Y0RY0oismun#$+sCzo{g6GOjVng> zq?R=e*$V9uPUfeyn_ob-QtL7n+4EW$*WeelXSySMQQKJ)Exe?KJOt`xt$7%-HQGeB zw^my+3fVes1o3=D8@L_RtJ<-jkgeBZh|6nQ4ws|XwMjd{d_#Mdp|L^xjv>5Jd$>EY zH?=$4gSkm-vVCBYRtWF%sDht?M3S z?`XGkQogHw5RL3TZSE9gJGD8SYVT{C_9EM*HRJO6fwr1{?$&;;kL*+J+5^b;Xw^6o zKGRM;3+i*NeJy1F(ejAkUTyAlWc#!>oK;_Fi)idiZS`?vUuj#OK=!qE^9RVj(c&i~ z`&N5+Dzaj&21EZlt@RmX-)nm~#QU|q*~kuPrQEW9(3%puAGJA6!2C%Y#Nj`v4dk)0>xFvxOk|7nrAf$^=p#2FTdF6PAbV7gzZ%&xeF0bf0=*-n=P~_C zg0)JKAZqYoH^>~;O+ z+mXGYw_{9f&_g*m8}%F>Zr;>SGc-2oZ`44xS^wovWN+zL)ASboc0O*~sy|;H)HeO~ z-;r(Ce_)iqtsme@v_tR2)%zViCjjQV`ekhBJ$(+Nb*J7t8nXBGnM*+J(%<98`GLOn z6Hp)O@8lx;NKd&4*~j|!0%V`)>n0#8)Z0%-R;0J&BZl4j*lR(3swZ$++oKO`iR?4I zs|MNU`k9`f{-Zy}`20q{d^V_Wb&bsy>mSqy^_{-09NG7J+^xv=>u0$99?(~Q4(bQ} zqrS*~)Vncuf6|M27CflG*#OL+^?~W24(XBKf%-*{yAs*2`n|ot{7uiGmEZNTTmb*j z@8V`!qF*=|*Abr zEHv(>*Ncp)oahf2y%r){YP9A2d(_C_hO*4q&uLL$)M6|>X0#wY%Z*(j$R0Ot;dFY! z7{#^jS>q)_zRFn00e{Zu#3g;Tv6hSY^Tw~tUNBzcQ;HXjRv&?S$=KE%*?J>`1NWM7 zExmr-=)gtb4WrSW$Tk>F7*ZRJm$^W^XBHLx$&AaL%V-siUZljQk$EQYdA5eRYr!GYHnQ>DQvd@i? zosj*CxUhU|dxZa=8}V0_Mf^GD;W zUy%J~MCE|_yHOqq>JOtY_nZ>rSDthZ8>8vq5#u?UK5BgaG^kSJ)E&r98O=DgPaC&$ zWjJFz&k1|hs7dF`jdb=s-wb0++-bhesXf!I%UOJnIh3>iUh^WZOY_WEbZE{umz06J z&wT9}WDCqM+912%tjVdp(A*OT>Jjt6R%A;|Z6&g$<}BVzJ!-zq&25>vXa<-CSi_J# zW{y6IY`OVaE@V%eu`575W4^`bT+f=fv45+~T?Fzu^H~nnYV#}?z~{}M)JkNEi=g?6 znY0(oSIxj!Wb4gQCy>2nroD*lb@N#sC*Lsn_tWMEGqpdM8_h@mgKV2Qi1E4I9LfND z+dTaNm^;j34#zuY6yxPxa|;LcJ#zvF{A06MF{n?>&p2>}W>hY+BJ(iU-QDKoi;#V4 zuHuBMMe{b=6JIrfv;=x$^O&6TY8v-#!-WF=;HG_u2H-6P13nA6#Z6Xs=%vy6f&CJ}p!bX$Tb0xF7v zy^Fmhw%AQ#qF4dD*s%BB8!A@pz4xxzd%>>X^Q^@}NaEgipM8Gcy?@;7bKYmYqrQEN zIhQWcI9zw)$vi?2yAN)hUbi=3f_|08nyB9{1#Xh=S`#o?pEMaTMUQ3UY&~ylz#Lsw z1$%T>JFn;X4%`L(X&GqOL`nvxvUH7 zcSX-aZ(h?ajPmRHPdNcediS3JH}tnB0XOxk6#kZelE=$!y)Dm&yZX=6_MYDN7jXCW z_GJJM^gGJ|$$EZD{X#Fj0q{~!;=AQO#wFUUudyvVAkuJQE$?Rpu}1bc){h4lV^m-* z7-BTs1&B4eX9A2d+CBzMGQPhEm~2=Y1Ev^#cp;x^v+7hL#LV>j6AFw3C0?R#zx~YEwSC`vu z?J~Y+_U|?ZG8pz4zdi%(HU3xw*k?@J57=*PqQ?#xJ6`|}8ePg^!XYES54gj|q-ekq zV;>KVqeeCjaLhPFn;kb!(6=Xy`pMu<8WUL0P8nHv44yW`0B~oFb38Q88toY`=Zq?C z0OyTowK3#^k!Lfwi$>MvfJ;VlLBM6>*g?P*3OqqbY~nHGbem_l%jb6gZ)lIheEFxf1V6)?p-Obbpm!)svV9MgyOWv-cJB4D2R15c;<<}dBREi~V4 z2P`&!WvVSTtM>%A%-oa!SZ=!0aq*_V6JVWrj0eMdbL|Mg2J;ymkYLW=0Z25ja^7~c zU=U!3Y4SMRY5u{AvfJF-2e8-t=_p{oS&H9C95Aai0(a2-rUkeYW<1}Hoiu%e0jEs< zfT!h**`+w(ylEW`xM0Rp&5LIC27pUuqq>00=C1mfaMg67oY%|~)Zx0hpW-H&o*gjc zo*BVBx^Gru=sz%XwnO7Xvkj~8BlFDHfX8MV2J{m%GbcPX^W_0NGkbRfJU5el0m){= zOql+{^rSmpnlqT9ugvzj!M!%mj|cb0Jjh^pYi{5z;hia)0p6RXsow{4BXhHlRjZH2 zzSdf$z(rbnvf4yh@4^3;XlpM<$Vlr+hW9AzrUQV{){_j=SZjtQ;Ko=tvKWuGE@o$^n23~Pt;fSJ}_NId)d+#v(ekn0^U0lD8b1I;u1 zFk14KtO%MffTbh&wi$l|^rzP}=FbyDK|568bKNhm znU0+|^C7g$H@VT?Et1afv2z<}&$7JN{F*cqpMP6TSO4C+96tBH$WZ#D9z%VAh^_=? zpm3w4QKBJZAVwVJ=piDJMj9!KP_I#9Q(eGl@qt%`SW&1j`o@TfD*$7~TqevoakDI7 zyoenIm>}Nx0pf%ovtgq6={aDMs10vhCW}YK08_;D?0~7FbP=pMO>FxC+;rhK05C&j z+YOj04#xv#iKbZqvqfIk#5v-RTj1u3Dr+DfD*d?yfF1y7C2JRklnrXgQoac~zqCBrH`-RDa;DETy zmoNuKKbriISalX~ShUUvI3kj-0FH_?e*%t)MU0%|qASzsgjmI|=T3@htY)Xg#r=TO zB4=g58L^O8y|dzw0(VaIjsTn&8|a)1VsK}`Me*Y)z$H=hG~lwBR0k8Th@_R^u8QXs z0M|qV&buzo%mpNgd=%n_XvfgMDL!K!+!BRPfV(Y<9|PPG&UFBHMVAqPd!ob^z`=hL9E>mMQ|Q8J`!0O;E%-?R`Ms}Nd~}EQI%o(Oeo%Zo{NZ*XiOGcsNV}QA{p>f z{KWlUi5@KIuSH$~?u}@{)8Va{R~7J1%%G>=iCPpSx+aYqqEpWEsi6DRKb4GF2XDMVlsDu>4Gy9yP(ukk{P7&6J%V zfSV=P7Xr+d3#iH*IgdqUu583>?mU^ySeh@P0`gBfvu~mNoEPFnvKi%EEL-;gERi{i z0+!0hTyvRxO6x9{-#B6V3i*b2(Uo#26Lyt6I2e7aWuT8StL#Yqw#ni@0}|zfK7bu^ z{%pW5IZOxakt_W$Z?7yH2yUNz^Bk~WW@Ee@kX!SDJ1G6G0S?I;6ymV##>>wU8Oic= zR5twz+%fsJ1e}$bBhYwG9%Klgm)lyP@q$cT1-K~Bu!3Kb?SBP#S!Q9~z9Qf7ZNXKU z^G9&kWb5jH>vD5_K$5(17;r-tNdVlG)A|B#$q?r4Z8>WS;EpW*2yj={FOOC3N%wES z-IoZ$DvTnHRp0UfW1MQpQaN5d zW8YMjuMA+Cnp_Qi(^ZC0a5GeaD8Nh=w*fFqZH)xXR>AIoIVym)Zmw!E88A;xWTMYk z%|pN~P?Cjgq1xFCut+Uspe$BlJpY!cU9|O5HGu_wnR?HS)~cVGGVv<=ad7L@_BVj_ zD#;VDLG7hk6I9jl;5Mr7%7NRYHuL_sS#97W;TDyJDY{h+eh=8D@-jse)q7_Dc6F^J zV2AQzt=p-7rZ;z~7P$bs)u6p-+@qq|xK}l?1+5C_>xKO)X%6}hs7X}wpjy)sa7cZ} z06VOb4}d$OerDM|s-9ELW2!5&@whsZAKVF5bP3?RS}+iBK~-kxUsN%S-Aig4opV`r zpki0lYFp5%!#|_(n!4K@a9wp{kxNop$=y(w_W*9HBB7XYOYLd}?zZ~!0pO0B!s>lj zm7fT>r-GSB_thE(*fUk0Iy_hW6(YQ;%*)(-p~mqfd8y_s1H4i*X!+Ob*bs1U)NT#j zTXmV@zEjH<0p6=<%K1T+Yyhs0R+VMDuQrB#gSEMp08!f5Vi*#wU1j-=(Q4ASL$ouj zileoYJSk(f`MlJR(aO{EW3`Bem^V)QwKrhAW_$&hpta@FaoT710TZ?9^8u5z)|6$k z=Kd5gMf>qfz*NnR+fLKU@{pRY&7f~*Xx+X5%+v}q+-7OLiU4M72P*>RXuYWPT&=+v zz&!0-6$mk3`<>CVK-;|)jSIEgG~FW2^#r)ZTJ*PoC0au|XQ|ec_kv~GwXEQlYj4hh zTcI7Gnk%(qES#&fd5p8w8h@7x?@0p>0oH1_ZUN%8Ocw#`v>#cC)@wOiVdMra_7b=R z?LstQqn4G$YLoV%Ct$NyhlOQ}c9H?MOAF%eyR{<>{XN9iI^d+%pU1>0 zZ68mB)7opkZabqX`u420djlHJX%!jz=e10qq49!tttq&R+6j8)l9p2eE^9IU09Ujj zl=G^#uK>7fTJxoV>)K()V3HQi``Hbx2*dQg_G=)x2ihSwz(cJ_CNw_M)?^0vLL1i_ z+)M2QkAhcPwnT8RweA$@jdpDUxVKs?1MHoaR1@5Ltp=_1K`UJaTp!DUFTnM+e47tk zq-7Tie3WHuVL-H{_7gyig};r6cdz(a0ls_vp&($G@u? zf*3Ht@=Z1@9cQ`5B0kYFlVLi^;n+2N0XA6HG)7~Br8%c3 zS`PdH*kSq80PM0H4?*8VRSTM&5;o>#umAjL^q1>PG6Z4AW7%P7{pQSJ2_H z`nfECF?z@gz*v2B5MZ1h$;R>eJm%O0J*FNYPS4KhnW#^pZzt)=g8-BDUes@jK9Luf zse09o;HK%BZ0D_BWfQm=y61hsOua4RdY1l2I7ZIab9@GFj-EF=V6MI;2{2DDw+1j@ z56q7t3-q(pcA*|XSr+Nnnxk>C?#I%#M4z`3jZ5{K48CQ02}a0r{U|N5LT}L*uu^Y% z7qCj7&!k+fZwm#tM)zZRTC4Y62Z-0V^YC7$$H#(OuYbot*`VL*3`o$QFpoCsxoN>o zdg5VloAogqxkZ0SLvGc-XC7_SPfrFU>R)vSY}dbraZyY$D`0lW1b z&jEY&KCb}#^@4l_bU@#}4BSC|Ig8FA{b47-VLcl!N=NkC%-hqtg+@N3e|HUVR>u#J zAr$piH2~-JfvkKN^xKT&i+YBi(0EC|#)IglzMQh$)_vA7sDx)>hILC+aiYySicH;OTABLK^dHH`8V#(3TtRvOQ5gIi@-7#gdMg{(wtj3D}Ut#OYPCEnOR5U|eJ!h>(U zv2r!I4aUohfCR&p0&X;Z=gWXiM!RnSn~jS>fGx%Yrr}oOYGw@CW@O~|Fo{MDS8&^n zS@io3qxojQPNR2I^zAZM-2%7Us9XTB$C%FoxYu}-7l8Nbc>w#3FZ%-y7!w}@jvK!; z2b?p60XT2`Sr>4@=*bs>7mdOU=u3thCA(}qXRu!}2AF8PYII?xy=Khp2)J(KE(b_5 z_;;-F4m^M+xM`gK3UJH#tt=Yv7!?^=_l$0I;{zj#=g~uBX9K_^qta*Sdt!v#0Qbyz zbrz6p1f2uCFeb6qy)^1&0QbRoe+baWZ16jvulYwjAktj>6`-HlkCEKpY^MP@#4z+!V8EwRLG{0y+ve9kCeW^t)`v;NHj}U1MDzIX9Dar@k4irEVCm;I%p1_061iZ&}N6t4)oX&^BdkVkD9Uc z$}#hOS#ZbA20QXF9zQnt4 z-mL`gfjR6b;GtQ?9gUC72Y-NjY#!n*;fcAr2)I|~Hg5FVyifDIG0V6B-kP;n0^XUQ zeFJ!JuAd6{V9sj-=wsbNEA_SV9}KVzw$|qDDazWA4v4m{83u^4HekLFvHCI!hFYgk zz+qOsJ{pHxzhOX+ur3)27-?mAxU*7|~88Dq`LS~u4E#b9vbtY^*x z##?dYKnz;T4F^oKCNj0BTZ5MZW?1X8qRh08ECHBht=AGT+uC#wV2*Vrqjj#eWLt3a ztdTVGeCriflm*taae#%^om6F!H8=#^D(m%EfYsJst^F(T5C|GcC}!0js~e~9wcepq_gTN@^!?V63PTQ9zv4yd zptTv#_e0hRyj>i&w$d=keLH&RAW20cWjQ8N%nRTdDhbYxaT|a>2TZNqNz_yB^?@HLMljvULcZdc_)(6L8hK zZ4=;{b?9!ub?fAkfF$c@?*KQfWAk9Ko7P5NfLqpablh#L8=ZQ`+IR)vuC+)Tz&&eL z%6Z?q>M`JfwSIO?cxe5N0zR@%W9&Y*KH+ot6YJe<81mG*EH~hpbxmc!b8Ds|fMjci z?*T8YpYfD=Y5ko~A+KOFG`_axV_?3q*4qNEpYxX2fd0;TSosDxA7Z==bpC=xXOQ!y zE8vDXk7*AW>s(?jV4U*`R=)AhnOSY(oVT$EOmZ&j1#XJ-livVSouimX)0_iX!R9(g zM6CU7g9`}06q>3o_Oo>k6Oo&r`o&!Q}AoTnTFtaXm64v2TY zLx*p6ju{7Ti}PGwGPXJ={{n8C^8xxH(OJ(9*zP=wI_z-v*#_9@+`a{1mva@Ksk@zf z)2w@(f6M^b>+Crmu+KT3$KZbFHVokd&bM9y4m!W!v+oIKooR8>xlB924d|wE{S}Gz3#Gk54a?kEj)1d* zlUF#kL7whVo1eoNi7zLAE?=iV%ySlTK%yE6h2wCE~ZxLXr z>u;?AYh8ysp)cOG*+g(#T!UD_cDwGq1n#iw*GBSM_u#zpzoOLK32Emu0PO? zCtN4dSSMX2`%by~vmBmwox(6Z<9dXZ;jC-@FTkC1J;=qbxxT&+xbAwbDj>-<=>_11 zYYZKJ({&@&yyY5t9dO$}^2iJ;=zxA1{-l2WGt6T^56Do4rW=}JVj;k=43gzyc!q@;0V6ULaKpTj8CDhs zH!8!Vc);ikS*swzG<^K}#n|m1G0E^%2VoKc~HNM z1JU02@iNesor;3~9LJO@hu+6>hHJ8D>_)?s`uTPhedATcLe0osea<2Gr0cZtB!yHVo6QFK=F(Y9VF^K0t^-%zXe2zJzW6NqJ05C zjOf?~Fht~FnHVYxHU(ac~nv?Y4k85yQLlL{Wy9-$}xW zHGHzTvmV?O(K!IzR8fZUH%GkrHYEsV6Takb{|$!h5RGWJox<~TH0}~F z8iLy`ZZg64h(@1*J0gbCEk{L@dVq7HSyRAyae`@kLB#P=c~P8L3ht8F&)~f*I`Wje8-E@r-&Y_|FdDE8#xW_O-~w z{oaV5S?b=3Y7D7&VjuJ1y{OM;kq@FgpV9irGOYc5r902dNZE}!+fSa(0q8GhGPwrG z5)6ic^3*%PAo=Ymz+jo|g$Ys8g*g{3Zwv>-$Pd(gh+MQ4FjVf20SuF?p8ol7Q{+MlF;)8Tl0HoyIs|UIEa3{6A$$G~z+ZadX*Nq9VM5H7 zb9(^h$Zi(^b7fy<+dO&sBw)V8KTwDuk~&MmLb<6JV3EwR7O+?r=Ex=TEaQ5q%svIM zOyoRbwq{$RsEobuSe~k=`0=HHUc>{=-ZW{pWq?QG+ULJn{ zz+aDHBqzx0e6_YwZa2YglGYo5&C-WX-6DVG+r_Q&Ix}vY4B*8$QI3|X!*S|JB!yo*@-9Je);vc;10;6%*}(c^n1V|8Bhm+ z?}=Hejz|knilg#zJQ|P5&TRq5Wp_r_3AsHpxRbIs)8drOaTst~y7mQ}k?)x~XXRs- z_H(k|5OC*ZbtcyZxkv|hQO;nAyCge41YDL=Mgy+M(|m5YDyQ>_?V4Q2P`ob3?gJ#r z>ze>KWVM=ro3i_lfLn4li`s4ZrUSS;vI2AEt~6hOyC>K2K)f&Gj)Qw3Gc&6m%EZRt z9?2?n<74?^1mKCRqX19kI?DM>-l7Sf%bu$N$zVMl%D|aW4r-2qCr z0yj`~U zb#Noq4?IansikGWjaECDPO)lXGm&xt559}`qS;uu-9vbQc}!b_&z>)efO>W1b->$) z)xjr@!udwt#Am-QD?v-=p@9Bb=*9Az=)?*k(?KhS(ug6Sv#?bvOXaHv@PMo_iy=|7 zaaqt>VN9?_Q9huJA5y1?=Y>FRn8T6F{$C)U*fOcXP|2TT&fjsPZ$Ke7U*2+JA3 zRFQ!hKTE7)VVf0rSOuKBz7b%{zfxC|-|P7}adv3W8Y5%JrXXgn&a^DH?gzRZBe<6=B@I3r?diL;^? zOYS*w@-g7Nh^Ay0#GOXqE{dd3z$MY5DH<;eMK>ggMLPjE#Q9o)o8mw*^xYCoXr9}m zqzB-R@aM?8BJK|0k?21S@Lb#!82MUUdk*e{h+x6!FH6y5QSvQyh?XWV6f7UZ!N`7RfWe0T#=prvOXj zf)jwH(wlX7nT({Zm&;^k${Oh&2Usf~7X`%2N36r^WUYRH_3}{?V1rED0Z5Rslx3q_ zNegb1xB0pvQO=@Mx6Ax4fE{ujEx%JX{{gT|Ui%S^yX9Gi+a4Li(|E6pVzTa&Q+Opf zEcIRBj>ufA07vE9J%D5KU;z4#%km?^osq-d0?x_=ihEApU~M=rOO*m#ko#$ZtMd6& zz%@CQ8(o(bf&fV}E(CBxe&B?=Qm07wWJ{L0`_hM}zytYgF}R1a6S-&7V+OeAatb#} zmN6_9FJ#AYfVc8|A;3Gie*xgV%)1rvL9Sqp?xPkp0Q6O>+5iTsm@R-o%E*s32dn$v zfs0a8n6S}m$mf9JY85kXgv!UO#7OlGE8Hmcl71MiCYJ#ht1P^$Pf({%qcKi(<+(Od zO=A>HQnkASCaa~a6H`=+K7g4jJQrY=YBdKiTRmgVo1dP=RE>;J5 zcU+=Ae+q7?DowvHQx)m~maF9)vPPZB09cEn4oFa8yxnY6-h6AnNtG%GNK{|&>3qA| z#OI40DvrAERc&~)+owEe>-}msqx^uXz)(D>sxnuOslx8yj;pyn0VmYDdw`Sb8V{yZ zY6CreTHT^OFQ~4M02fs&dgYS3#Ikl-CFB4ksR6?PH`IaQfSamaX}~SDEfH{E-RTW@ zpz3)79;({y0gu#17WK#KQUV}Zbzq>pP}Lhiq?c+JbMuvI#54B2I>2kx2Z#jdqg9|+ z`f68AK%};HIbe{MH6viKmQ)r)qO{+tfs58=WC0Aww97DY5Q%y)h_a8 zw?JFT&|j!UGF}#G@+G*%+M;=Y6U<4&r%hlGz1Mt;0X}FGc~(UVELBX4z&E94P1<6J{>p2a`SsM4z11+4qaWpvAKD&RX1!iC!XOZM2ljdKWa%jRhpgvpGHopK${%f0MPjf(I*D#Yz+< zq}?F2SE|Xluk3RWpF^+x09xfGgSVC7*U$JH$>!OCJq(9bKfx{2faL21d8)?Gj=yHV(BjSYOReVTr3_*Eg$<{C@# zPeXWWcARw`pSyK>2m0#_570<)e;pu7PG*h|m#=AsvC_X1V7vT4yX}z~ZG}{R!@7S= z*5k2pM!sWOUX74reo%l`9 zZGEwt%J1U%{Z3cM?{{W!{C;Of$M1J$`rGe!YL4IUv^ajhQ#yXXGqdCOJF_@`zths% zqcs${Bh~@_%4k=w6Qo1^%l>c)n z_v6I*=>Gq&+A>*BD|vmaqGBw#p|_T5NfxQqrJ+)$Ur0``7J}1jUMU zOxdMprnI|Q9J}Z#(URM6?4mh#F^!};HpBeWCe0h609+Ez_T7v%jWN>VapE`oZg~0M zt}C|BRg^Thhyu-%$j^DZbqCGYzAtEgw_iXDT>c%jP@A5hg&+0-EfUQ!-`D&TwCHb~ z<=*=bP>;{p?)ik&s~oBKt=^zMi#Xu#WpGWG{;yoWMJfJ)QEmdC!$Q`f!C#t2m~|1di_+Px@y?_Vy8t2Lk$v7u+FIgj4u_B8jW?7ri-f zfGAA)2a1AJa*#Mf7%aB2F-qj@1sEazpx`4#ZE~YT97P{3A_=h~2L%`-7ID9^BAMJc z@rc`w7vFND3F1Dj5+}}bzlmZkEj3BBrizorc}g}*^rixH#5{Uwt|-gCdEz~Hm@nGW zISWK9T4tf>OIR%8x%3j@&&8ICLu_0oYH<2;5zF1<#aSA3o!CNatrvUg)&%j4LTnOH zk#7(3Fj}^Vh7@p{$WAr4i~cnA4$+m3JB2H`-Qp{R8{Qstq6zkks^pG~?Ns`tc*@4p zVgNTfEAmtL^P(K5UlhHm-(|6as$3Ok=z#0uR|cJ?_^>I&rZ`d72~pOOx9UlpSb^!P1=qM#~=5c8DCw z>BHn!iZnvjpkm|Y9C~_!JVhPiWGL61Bv(_2$x>(I6uF-}OqG&5Oq0=UoG$%n$Qkkl z9XC@hrFCb^NG>*4-r&;n<>%CBxje#cSIEnhWuzuwxPN!m< zv7&?Ihw0nk@eVkRraMHuE}x~ z{<<7OyClgHw95^dNVq94amX#1gNogjZ`pW9p5sPOLKb51stj>(znA@2#q{k4X2tT)CTG|Qdzj>D0P?fMyszVV65s)Z%$GzId8J+$C0zu zJPNo(m8L99RWmNVOl9Vf<;sWiR;XC4SIen8V$za&5o^abOssM*TQ<4}NCeesU|Z`#g?YL0-qoAMXOzl#57#luH2@#)-Ty4ndNu z+YHd$b7DaA92^Cj*LgN*zVG-sf9YwU1$r$5E$Fitw2(i03zu0BT4e4N(C?c~1ua@) zE2w+N6`&p+hk$za=bBy~!$G~X&jj^x;~3xi<3NkeV0&>V%22x75>WrwY$@A&18BL9 zV?YCkO#rP@YaM9Sk(?FQWeaFH&KsQ03!*`*Cy~~ux(&2uan7oBb|`4=TdP3pY-LN` zp0S|y+^2)qzq1LnK`Hh&oWQ*rkDLPf))Pm7wn>TyZQF+Qrx$-XF&gVIC`eJ&tIBo6}&5nH+CP?3jA4->&O{%|pUIbeji#J-W@ z2yH$}?4pRHMMrY6;(Mw;MogoR$BJ!SdYs5WS;h;0audWHY8fYD>8y#O0IfMm^qB>i zEM{`)DdIK5ZmOug8Zb?K#gX{ld>UYem`>qmimViAmdHbA&lX7>GDn211$KPc(VHU|if6R+BC(vhuM%=3Of;7&1s zuuCjpAnz7u$?XvdOpLwa87J%$6}kI<@qzmt5W8r)gQ6zgct}VJcvu8bu_I#RM8Hwu zNm-7G2Q=Mr(TOp0LR6r2Pl{HItW)AN)jTbBaoaQE9<6j%WMf{O6N8x&=S5!{`MOBr zkR;KMe!n3ixx-D7nZCUx{-k2J#gsLGJ0drwzAIuGXZOTb#?pP^Lg62XT6E4sk)L(q zkqF{`k44R;fG45|L*uEKOL!){;{eY^W2%`frctpM;s)ov6lJ-?D^Z8l;I(jNn7$D+ zxWik~lF9W>Ab@zQ@?{i!5Q{jnkL*ot`^syyM5JuM#rnx}RHeT}s3ALK2vr#<&r`8M zGC$WGEL~`VC>cQ)N6Q)9FGdcf<%h`ZTyv(O*0WDL2H@<&>JluV*5qoty@ zu`&lkV~lLW;2SGDQ_XR*6RkU5X5*R@q&r25lNxP3Q7+<;NwO+qcb4o&BhQwbDBv8K zKyI$=v<@&&CeXL@WlL6s1+oD97Ro{tevzEQ{T9m|(SRi~8@Z*jI4!?SZldnX!Brc8odOzUox z-B|=S$qF2@S?1t`EwUqv@K#x#F}O|U7z;?0L+PsR^06%~WFT#}Q!b;ccFC-ibGLj> zzweO|lx(lu#hBkG4^ry=vJjnfK=!9|4$7+=0f*!Ru6au8l*Xvk@5CTqxab%&D8z)x`kW~!r9Wwr|CDsxl`$~jkUr4aMfDMsCVwVK9S zpo-A)3)NYQyGVUbSr)5;+;)k&Ku<4K7s)MCv+0%PDug9yh1$iDE7ccNWtEyoudG(x zXo)rI8)n>ERe=-YRU1auIu*i=)~o$gdV@MmE&;#!3D~Z>(?>hh2gbxs^&REhrTWlS zyH$1Wut)hYE%vJ646uEw8I84HRi$$dsP>fQpn6Af52=^b@387XA01J?T>7XA<3`6+ zXIkmFnoW&Ps2x=0q^ijwr_>@_A}GbII-_#Zx@T2pDt1maXE2;sUox&QsA1IaqFT-9 zxun`Lk1ngFH1ZX7jAp&6f;r)u3g=?i)m`q8q+YY{hWd8Ji{NU{It?z zwTVhUQT1twr|LX6dZyOWSkKi~j!afz)b@os&P0Ey5~<27Re*kft!8q_8?}bH@>cDm zvEHc|PI#~0a^wd!g{JGHEoChA)sE3hky`R1KtJs?Z*i!Ika z&|@pKToit#wvUxf%kkRM{^*m( z@j@C8JobN=3r{Nce|#&ymhuQxz+!&{vUm*JjZKPgxdK}H(tgmOmWM$rkKPMf{T|!v z|F%8#E#r!VXvnqWB53Yr9G>UtDbT!$mqGJYy$YJY370I;;0$P?bsST;+Y!(rk9LB7 zKl(Um(VQIbKKL4_M~0)Io-?_v*Qq_A-V0BI`eflO-%b>v*vxaF#mAfkEiw8KXvuHb zQc4{I{UMpV{P^TNsGk>iDSh-TsQ+EAT&4$m%P!dg8ZhbvXt@suKm$utiy-SR(BMoQ zU%vf5&?-7Ls(Oy{hn-<>_}~km)q>bk{V3(H5y{=_`%>lxhq-3MQe3l9Z%Wv>ANOkV zkh7Zhz69DVoGUl)K?z%opmr_i(@L#2aZKxz)S^u=KXkNf?b4II zzdoWozipu;zZd4`-i_&zKf*ck&kdBPkC;z|`-*;a;b3u{YDNhKC*m2UEQK8@f)W9v zgh^3G3m57XE9$dxjL6Hq$BN1{|2T1nmKiUSsNMvzm=>KTzN6!&i-p{Eo+w7;=8NfU zTp(J|e2YaQJ-JG}rg*ExNH(q!fn0j6C{8Y3?5DBTiF~xgdNF~9+92j|UV=Ew#S%q+ z?zdeeP>6kE6C3x7{WQn{@drJ9P&}m$C&V?{{G?dSr7wsbT=Sxs%psRVbFOk(jAqbX z5zRT_miUQ_-4?qO0e8e}_T3e=X{>wVA%(avYBKg7h;JCy55*r`<&iKsbgWsV%e{pQLVEeTDs$~{F;qNTrX5jD9Z|UlZ&lXHLd~Ht7?>Gg9@fB+tgu3PojEFR~=D1 zDAG}NpMA&F9V&KQ<)@X-t2SKay2`+jNvbuSdP800gqx}#E%8ttVBaGZN_eb1IpK+# zPkX*rQFKnEh7je+d6a98(k{}|aoQkGn4!&M6fDpRa`zQlKkl$z>%k%0wEPT)z1j{& z&r$6c+U1zGlyP=k3!x4tw7XpMq&AS=Jf+>IT~2ESXo53Z7cPBP`;M7&P8-E|Ij^nY znisUWT)c! zhxL{gG*6->FD6uVX<=QotB~70J|*1DA{gH1;QT79xlDtQqETEECmVsEgh)J z2}=*UgZ8m;s;o*$MwI+&$X0ZRXLUbKCAMJL8j+ySqoZjvc!?+1uN8z@Jd* z+O~a5cc7C~mtI}Ewr&2idmBy#)4Xdt`{y<-o3?1#8K3cAvvyr0ns@Bb3Y-Lo#q90v zTDGu%u&rks+NMWD+YVj3pc#Lt08IOq?b|o)l*)GK-nMxvle(RKXxCnyTBb~F-KlLy z41-ABns(-9_|&{<2m1%C-?DS(ZkbrQKeq@qzQGCTk+2c1M#soNVG zvcXH_?&sl&FKlgN`m^b*lgQ>6GlC5_ILX9__u{`XvCT?06Q>HEsxKD)Ck@kB@jo&C z|BlK3V%2nUK@(4-ZJs-c-2SEO%glBIo@N_OA%y5W?HPOm44SQnR=2W~;Br z?d9+=|7~=20s=W2_>ZKkC?N`zX;3B9zuM>qRm#}5uB4X<>?K|8%XA9FpfghVhL-U_ zG($>sdpQK-zpJixU`_ijU)dr+BmG;F{Vl2fns!dzTQ=|7v2z!Do_!*nu=M}Kbdu@Q z$5$g!Y6x#;zQaX0Q)frz%U5-@64-WLJAnOyv;cO z(+bqSY1Ik=-^nzs-`0^nVa$&AW7EjciUiK4BuP{Lzg6VB?P!#BR*gqHSmYZ%5fn zTIWZR*E?sf+%#LoK;=BbR&zXTmf~sfcT4^IQ;(Y4%#@lBSmq3gXn$z$t zS~ly}8V4~#BW<+++q_dZdpp9(QGArGYNbogPhJ61y@IuvQt{Mgu@IlDLVl{QU*&rB zx>d%1r5*oylrCMlH5s&hY(>CG58Y3fwX({rcC&Cfg}eb;#Wz$di>4Bk+tI)FYFcJQKQHuH;X}bf#4R*_DpX@sP>8^g3PtIEV z=`Q?;`KQDfs*5jj|CCO>)w;+V=C~x-WIckGfOIVnF)f`sgN?s5EMP;*P0_ZH$^qoI*cx-sLde_ek$TG9w>DTy2@C|BizF$S3dg^Z1mGh2bL>l<16VN9-c*gBb0Nc&^Dg_0RjFVWj%_O3-$LX z?eF1H)wdc($=WGHm5aNFuZNHP${wXHDq_pYuA-oa?U1xz8~ya6I3Q1|#ee$MS1xY0 znRWHwZP*o5>C{DqlvWw)stk09&_B^%N#%6+P~F|s+CTl2wNf$F%Uyl#?)X%~zBX5Y zLLLD=DNFt4k<-)TTjlB(>QO{l-Tm|X7w`?R9py+QkJ27xb9sDM&Ld|zHT}<4>Xwt6 z{4Pz-&CDqB+Sai}3Nu)dXe1UATLv1)Y8RT9qBpLb)8Q1GulG5YK$mi@TgDM8w$7Is z9Vvp@^5gn$dXtpp8nuy`(g-vuQ;I-!^+q1)_Su{Xfu264k}~}*%c3&>t!WOL0@4-K zJ(*LaqE2a~`m5IHHKBTjx{}YWjuP|uznVQN%U_~?&R-jLW)b=Gl`fax=hsk=Z;R8P zHdDFljZ=Kiu##PMALZ<)y0*&cn^R?W&*_yjS4osqk6fj@+YCAee{xznZGad4=f|n- ztp7KM>AyZhQ!i-t^ELJ4w6h;C_9>rG!&8T(9IkEf*CkYuQ-P4gt{@`+SEF3Heh&9_^u|)t32BbDFvo-DcJ{1G?Xk^nR4ujpExQMPT-IJZ z-E4)&UKTZmjD^Hx$XmNs0?oJxBvU25QMrKdV&P#P0r`An#x!w$DRo1=QMx+)@>3mS zv{XMd%H7bRm#q-2O>_9BTkNF$kt2|NdD~)r?Fa9_nA0fT z_&FBa&Ek{e{ZjVA#UoegbT&BrAIz+ru8_U>H!}L^^>d{Ya;$EP#eX4W{oL}iH2&G3 z+pPV`36(x-g|JUs!7l%stt#o*D(!@UMyg}&!DTxx-1NqO$*0ARiw7*3J6)#jNz;=) zAFlsX&-Ka(>Hdxj2v!R#=9w<#7pL|iScKlFTCQ{#kdtPrz>UK46-#~fVhf-CsrQVO zx#=XGm)fg0vLB2QzekknHX$(B^KR=j0KG4}tr_=|!G|znh`ds?>Z1-^~+oK|%=WIc8$jScp z$ier+d+WR&()KFb@vQN;mTe-%>yFc+k{%ZB0jDZPlCs|`-Ea`O`#K(lZJWKrOGKON z-BD^&o{?=G6CF=Z=-{hfhctL6nLUg*Kv}~!4{K&}Pj>>{mLCJKUboWe`l8Yl@KKI9 z${dQ6o9*bqem-sN{|js5ld|&3f7xkgQ?D>!QzoTcW(rn;0rxoxh3A%@ zylwJf*M7gK^q(S~uUE$TDD!=uF0JvsKz3Q>OO??*SN=5rQ&ruRznkjiR@~tUttzCs z^!JO7En-vNE!fLm(V}@6#eZo_SC9vMjJK2{0ukiFS=!We1Gmc5n^nq1g__tNYe-Vw zVdxS6u;D)m@lS2(irL+N7R)A@K7~8#xpa5Ev_r@iw90CQzfx~^k6lPQD@91%-eWrg z|0*^{**TZ)ie>>oce($h@Et3ansh8EXUbXx6qxEc7{E-x< z1lV3WnR-rs%uhne(-OTE8f;IQHtUno|6zVQSrXFRF4LWgXFt{PDoqF9k)%ER=2}t@ z#`K3DDot6)V|xu17LcxPK1uV!C(I{Z*Hrsu%r-0ayS?}@gcAORo2riW(oMOVW=bQ+ zRsTGv#C*JNHA?NV`D5iLd(Qk*Pv&r}_Tis;Y^%*qb4yCU&w)?&;MGp5dD73Bn&#q^ zz6aN&Pt1AzPd!1DIxfwPCjDx=KH2l=lRXpCTwKzxw)K-e_deM((lzDA=D1>4Vz#&W zsa!sfqMjl4*CX@s_p7DTg8%B6;@4gF3y$q8WgH^uZ#8MIJAb*xU|i~VWq&=bKHh!M zllB3fcb}fLeGJ}ZBV_;KaeEfKXA6;irTWZ0S3%XmPkrI$$g9Kb+$2Q-zIsc2cU#7B zXS&C3RO4#Jd^}aJx~M)(3)QI-ma?7f*!~;*?uxo2a6tO?N=cgVUjBjU77WanyR75V z(x2mJC{fKfz_zGuj%{6Cb#qhMQWsvufk##9O*?`k!<03$O+DMC+jf^~k4C9%5641B z1^?XL_7MM%eULfjHEeiwEWO%sq4Coj|Cp{i9s6X@b1n6XmU0s6jT--0;K%&cx|B}; zo?@d#C};Z%ILEW9{qde+Hu6oel%qm74pmv)eZ#9)Zd~r?c44X#pFG5ms*Jlz_|py7 z55HUv(SN7t=XDX7ZUro9<15*oR`>|+;O$sfZ&JBY{WkR~H!j-F2VWzmeL~o5r0Ue@ zFV*vwk!t-$f2p3+J{A?b5;iNQz3^`Nw>`&bPO)Hg+q!b*Gkd#0{(ovR#i6)FQ?i11{w%j_sRJZOcExQOBhTVK&K zKjBB5lxyU79;%CbQPtfY-$yAgH&xh8)pg6scgw!+Y8Im4p%box?sy?xw48^^=9Z%n zUSQiN+;(zT5C3pe2b|nIR7?A$S5EHr16t$Fy81N|_sS~1RlLgi`1%BR1O$btm4CRa ze>s0&f8X34>hZrusb67Tt_3yLWIx&u_E(p!S>=%3MRlf#M~1 zF8^Wy10u@#hx_MmS&$vJt8&gVO@h)e)zDqdKh!@wpj=oJTfT<1Z<0PJ=VnPge?Gb$ zFAbt0r|o4_fbHvA{7TS&@l1hRzwHkw*$Vbw%5j=P{V%I=nr|Z=%cVY*PQs5ks2~3F z^7w$$UmB8}_$^b~uhshCDYcO!;TLg{N%%f7-8;CYX&MqZ0utK0$M6H18HN9-Tw&~uf^J|2L2ZmM- zEMFlaD8e?~+iowr)IlLNJh``*cgkF9Z0~|(q1Dl9)5z1aq+`YE!8HOS>IBvNxLHZ3 zh=`zap%GO=s=}ZJ3%2Okty#O4g}mLpy^DF4@F`iWB*5F#%eQ4wAJ3AZh3xAGSG8+x z-`Q?y#~x{XSi{Y$c#4ICs|MMG^|qTaWlBUu`OumXRRZcpczH$y*A0gVJ|&$h1_uNM zSC6RZW}oZuYebEZ`oR%ZQf2oEPH}BH?})&_aJvUQy*x{L`r0SL$PpE*21NwctR5Os zt42VDVEbIV3)2Ur&4Hx?Y+WCX2>04eY?m7L#Q?{y#Ug^kLp*DErs0Y|tevVeLfOma8{gs)HQc;Y^DZd23@8Q@)#f(NBB2{Sv`%{z5?jp~8OetHEX?XLW|R`tL@ zNA*dUONjsAK-3gQp*;jkm4L*x($*XHMPSWVDgV_9bx7!N7(G#{d>}2BDo#+Kk0S_D zg3~6uV`>_k7xztxqLQ`>;uw))oAA(3tnOo5+w0@%X=-J0FMIt6E@9i>R-IVXSRTAR z)8tHW>hv16(qjuMTNq*@3~8^G6})Y=vU+N*ERLiq<&cUqyAQ|-5Y!Rd`xHtctp8cH4xoi zJ`Ry=rmPuUB|IV}#j&1m>UJqU^7XbS6$1?ar4**>Ay5`Y)YG0Wb}fSc4{z_<r>aQ|t2HDfGPpGoG*(MrPfvEt2gh5X zX}6>%-n3da#Xb_6_YOSAbP#Q!9hd-8WXmHJ)$iBW%dM%M%BnBs6XB)B+z=psS};u5 zmFkGWd$4*%?kLWX3D?7G)x)u=#e8tQbmd8i!SXP@oTaA?ixh9*CKYH(7by^A(hB`i z-;k%!(gbXE&Y#)`!{&YYDfgtbys&c>^DL+Hc0Zk6Eqyg631uUR=U$sC>een)<_h&L zCiMwZ*R5~i_^hVuqMcyLTihX{Lxv-5}l-qYJw;mNe6+^FkZc~BCogB56?F~oqif%tVm_<2{+e6K=U*+H>nrG= zZXb^yhAdcMJ|+GI^p4LU54x>c)=zY~d-lORYoA`$K6Xq4^5SG52(bHNkCwyrZhQIg z>8Fp6UzE#Kz6AE)-Au367s6`J7C*m#-w9=C&lHO?o~hD^lVy+v!d@0XcmdVWa~+3o z52)vC;V{S&&bnP3?qR8&8r_*cFDc$}BR+7quYR7A1R)BFzy_fd{WX^}A@(xAU7go= z=g=3MSLc^+@X_7wdk61*(=G&>0%Zntg$!$YjH$>m@Br)%Lq-LPFIb@qDZ>uzHKA1I1v*ZH2)aMrihTcKH1#@xYd(xVxfZ3u|n!~ z=LNoU>=7h46&6=})%|X`m46%sOBmGZXTYlQk_)Gu#X1@0)bqYXWCyVz-~Bmu|DzKv z@d{!Jx|OLoHvSEZDPZ49BCt1@kw>+?KCG70{oQs6&e<1UPZ}LzNOD56G*u%spKtEq zs&1fp5w`V{1h2V)-L40udo!VjZ4p;<0!{%SL=C!!%XQIA$uWVDFRrKaOWT=>rteHF z7gmR3T`j?mVP8Fpr?P{i$M51t21qG6jp+tE*IG|a`3?OOTEGH4<}e6N?2Lf2rb*sw z92>hhg-6o~Myw9B#2FbA|KRy0bLgX5;Rw>puv1$8|WJq)!>;dREZ2t)7RC^ zD@GRMi8$$bvY+W-(*qE_lGXKQLPk{-CB*Y~KHblY8FJ6OMmtS!TzsN}))#S>6?!xR z7(E+AflVK&BOoi_^MO)k@H<^-u)I)zvi|Sy01#H+$5Dl~o1W9e+C#grcE;@~u+-tG6Tz%OWBeq0bOm}+@57xsc#woyzjA#q>l#`#&LI`&Z zGHU={XcCD+GL~>JL%Qb{($&Y-p@3xd9A-?xv%Pt&!?4JQl?&gBo7KkS~a zY^VEW=S%~DFP%(aE=d@s03h_Zhg;?VqFq~15AY;HJ8>EsRkReR9yX)C8vOLL!d}K2 ze!0EdZ|=Yhdx(-+8VGOMrNp1~S1Pss5mgY*vkQ;Te@I|sr;@e7B*JJhJF&QLvvaDVc-e8&QqeQ3{F{-x`kvSGP>6x&zcK&>x|B5 zB~=LLw>K-8zx%7@jb_~OiA3jQA(r;8JqRZijobC~HU2*`ti)}Fl#vV!+sM}%i%Ia- zj$i=O*U&TRrbUW`DNi^u=^u7Tr!E;k(-YF~_<{nO=wn-2ql6cFvx)NdNbs+jrJQ;w z_yqA$p#&piYSKaqi~BBio}@qtNRS=O7v)9q**TuSEkSdNnS z?5z9yYSsC-f9sr$JFhx9*h3d?_wr@u|G*Pgs|dGLLNelh8v)hn)n-L4oFn;;I3E@- zEZ*6{G16lyAXrnZx7u!Sy3(jhD{bV`&Ef3 zHmQI^vI*tX3g)j!Yh^)LRJR{*_F@af4ZCl-Zu!$JE79s7$UM8ZhWiv1`r7e?=@!t? z{vFRKF?GpL;P1f`$XoO*Ghw{AB#wzRb0L1>x8HT3C|YbB&GmZ2Wfq&wb_tPM1uEDm3z zo+1^M`h{okQN%;Xtum2{pVltx#9Ph{mVMMHu;>SF{sM7Ui?8jM;FT##omg_Q-`*{O zNKycYrfClNy^B-i5&P4V*DpfG&-n6#mFpZ8zvynUQ;qAe(5R@i68>Kt22^SA-sOq@ zI*R3Uege^|0lXc8g-{zBKZJ)geFse<$co2sst~Z^Avq8%Q8VR37!}5z)Y!sLD|n86 zn)#Ftlwed*e-=Oq5>~jH1%_IPhAeX!HtHv*58y4u-6mDS{wg1^RAQOkN3m}(_o+2d!br-S zsOR$tHbNElP35g;igJW5by(hhCcYv+ANmK<8pzZ^?9U2M+eXuGafK5~EPSYj~-LvIw(?H5e9t&n~)QmC9|? z$}Jw|sc0s4DLRT+VbT{Y*+o?^N}-@if&-F`C}*5^Z)p=fDiK)Z8Y1-;TAZhGjSP8+ z8IQHSi@ti7e3mFAaNeA;Dbql1oV>b66pbwvIRXfcQ$d0;H9lEmvjR;}&Gf9V24_vy z#MMd$Qf&4fYL=X=H0r*%Ld8mAlfv<1RL}~`kHsUir7dBLdwcWN2T%`|XE6U&<2VY} z;pK?lM!DDOZu;8D%7}Tt|^Gw%HZJjmS0lc84HZil3v-k7W|}JE3PVdPPP($dM#j zAu*DGS}bpXK&>uy@ZDt49Sui=;ds#W2BRS>mV1LJ)XV{Zz46r`l}l#XajuhX7#THk zdQ_@S#$a*A4wV9S$ZHvIdN(*=v+T+*MS0)b@?uV0ZG>8fV15U!D{-KpQ;B9ym z5-@k zH7HR88(us<%|1LK_xs_~&u0(+`s2$E{xbdspW-h&KNhDEYjva`x<%-tL8{PbaB%^mRHtZVwCf3EgbQKzy~~khx_zztI-=3~mDcx+NJM-T=JhREw~e@lwlsx9sxkDeoC znZh)c*hsqbm_*(efrg0hP~UeomA~t{5%1=*8~ezs{dN&Od&B|2IgXC=Q5vr`P8)7E{L$Nfjw~i+|oz-KFO#fJIXJ zc)q$}m19mzMc2AetC*u=aX@PYI%Bms!YXxgNWC#R@sJ)bT*l87?OMwFJEyp zV^tuQZS%uSwCSq)@9B}k7%O}#F6ieQ%z&G8y(&6?Vh%D25)SbWKQvCMxk_!4UrIyeIi}bFN3|uct(o z8Z^(Uh?n8dC@a8Eg{FGOF9fk>!Xy<@2(xRO6IB8WK@@hn{gUIxTT5NthH*hVMuBvB zO;QuEtWaGg?s8&>{pHplz(aA_CI={lEap?=q({iMekh+ft5$lz;HIb$#^gtz!4ZX=cq~>3|Gzq zNdtKMR8LP*Y`bNGP}X!nS3?OAmtH*@F)@Kk=*qw`;l0~VuaWQW4c=&+Xjs+R;`Le# zVfi9)ML@kcDtHQL-m1I!zz4*1L+fOcY8w3HK%Y-~Z4aIQG5l`uU4y1LBmpOg`=5Pz z{P_6r_3>rr{{ry))8nr{KK}I6<4>=KuYUaU@ag?8oiC4n|M2z0=T9A&(ZOW&fB%mP zS(=q2vK-+((kfcDYl4rIx!{azJ&*lFQ4Y*Ew!zSc6(vc}uKc5l*BOTkFgPwz?+b+Olh+~Uda)-r;i5Y(;Z~0{FvuJ+=`TI%x z!vpcki_PKk_V&%rtq04Z6*EYRXpPX`{t~58#hXhsZ<>*2W#-CZvRlv0IzXZ@h9qh6 zjcO950zTCE`Q`L2fW!|sbE%0!GF7AK_vnmkBsk>0a7lD%9>2NDdHj@u=#P8Je`KFz z-HoaOp#f}ET>Y_B$lg*3Kn_b+zA=)0%0NAIQj^!c7~D98k|16vI}P}`{%JcZG+Lp_ zL0;!*H4*J%5Lq2wU>%>!x3|*P7>|dUkfI;Q5cX0#7(X&SOk-W)_zZ{RVFe?F-fg7M zp*IZY1251+x41~3dr`yhpCqHBgyN#W`r>k^WuJ9YH$y=Iefxf+Y^SpM8r}OF=e{>9t;sh!N7irVxEI$E< z!zg{(s1-4o;}`=OMAX=oMso;R0j6m={lED942j-EWbv{yh%gXi8AhB+)`X$MPmX<) z52+TVVQSZO`i;70D|E5~{Oz~5S16gfDYD_4N~l--B{Q7Hbm`Nc`NW|V1G$T6! z{q>7H-UThL|4d>+s-s$|2KGl>pGZqs`Ox&l*Sknc_=Yvm?(zEUzZsqH7q=I2Pw`bMCjCL;5Y=5 z z!7}OKj1Tj(_b)r|J;K9oY91)Ii1^r1fXt~Cr|&@<>?!QWLW?h*dvQpiM3kkf;-bf* zQZbpyY7D4OC!ys!@L_}+RmaZ!+HLC`{%`DAod=DWu0K63KM7%Fr9}jgES_#7C<56GUMGfPR{^~g ztLZBra!?fk+NF4a+z8Kv-G7`TA@4}hI?LAbTg7CSta%xw0hlAdWp@NxG+-vKwFknt zUw~cDEh)vU93fBl*%onep9Y8SB)LybsfWK_qr;H1Kkz@uW%8#im?^eMqH({4962yn z_q57(7*-RNY9TlN7QdFyJUbmPEQp+YVV#{EbxRe2Ama5-R8h5hvy(;{n>y;Z!fB$8 z5bu>nK`HQ8O~p{M10+V0BlCx6db!i$4tX`qA+u(U3R)5_2%U#;$rGJ>U@d)v_L>^* zX0K_~nQ+6561i-GNZPQ?AI>^WL}gLe1A3{t8%C5D;w!_LT2^5WU6GS>-y?n=ND~F0 zm+gX2ayx!gH)B>ayiRmw7Vnfix|(9L$bJbGmFLL#nY(7Lqu9#%ULed_JRTqrC83;z z(knsOLsThqQjhVFa#zreylKP+6ik`%ZXvdDkiZ%*OOdBJx+J*V-UFG&&R@`t!7*y`R&?ob0EAtvS1S)} zux(Yjx*h>mCXyr-fb6Yu#z;Yhat3@Xn2pr!8wE1~innmgEb7LimBx>XMNt3u2c_~A zdKeI2G$mcmmq@vx^vCHOFvsRT4-6NL8owncL^~PUVLlHUcNx5BXHGdNEgFB1904T< z#%#3E8+j~;mHx1}*5yLhak|Zxj;jQ=)qgQC6hCYZRv#dw#;3k_q9_U>g(PmBr|QX{_4*MCPG9l1D-qn}rCba1tgwAjB}L)RR|wAf%ha18}lYpS}4+1x5U ziiTw?EJ|&V!?IAFop@Uye)3dP*9+w9*pZ9?fk4~BD=IE@kz2S ztxM#TMbNM$ETVZcaoD_M|g>IEfS1H**N1_Y&&_r?fzwq#3p)L1`tefB*H{C;8v; zcY2!OqmqEdY?|Vc0T0S~!{m`D0uu(9Ok{svHJE?S9TKtTbvTrr$&alewXrz;D z5TBZ*fdK`biD`ap;Vdr^@W44k=QT&xDH%OgR&`4|s{?>OD!WsmkeN>dL9sgyVnIbd z_RZxn0}f_DL5|l3D(vM-hxRZMQrvBs`$oNMdn-FA%Aju!ccTVhS=JfUD6;wUDc!h+<-QfAxEgY@2x%On5`)lm@u({jVuCoV4XYjZhtgbZq^!WSJ zsR|VSy_cbwq6V~y>OCT|@Gvkx2JLgZ>Gj5&`$&JA3dKr}VfYvsa>#}qyCiZ*V6b8s z+ip=Hm@zDkjg6;{?l~5L;WLL;qBpS$W47Ghw%G--kaGu%Flde@*Y!2LV;v}vz^20l zc)$rJL?AbGCl#w6UQw)q%G=d=W%_dknAv} zEIr6(Oh}UwCMwc2nR)f2Cb~oNQMb9@DmPQ?<0v9WBWN^U3&U)$W%YK{Yq56QD%Lg^ z>?iXu(+CTCkT8>^2z|&)3Sh!VC2k^m;U9bY>)d_L&!TuW0&=ITK~;D5hl&+oqU52% z?^HjLxx#=d=S!YKK1t+ozB`|sk0uybG#HP1{bqQM!b;4zcExVsYYR*ns!Jykw1zv$ zd$Uz{u*P!=+ac~!E1o95bT<}`GNEdmLKg$BT}sOt4<|!~1_M#6T8-3C%rEhi6Fc-GW*5G=_k;0EG0NB4DK6I+mvAbpX{kjwmBa4&@L)_~#~)vL zh8(aOviYg18F-LdpWZ51IKSK~VW`<8k%Yn+jP>EnjCk%JhryNqmDP$!q^0g3ywPU1bablWu`t)cT)ITiU=pkz6ToV4avWS=yU6e|!SjKvu z6f%NYp16~&Im)Q|;@{wH^j<_VrB1RORzMXwCwV_U6f3h@uWn%i2M2T1c*fz6Su#4D z3thz};#|D!KnkHsZn}y^A>Oi??@+udktriYLJ3G?gjwlpO|nIO8k}meM`eb+KDHa7cB5{&!#1eas{RqyWSaelU7FyN= zY^;jxLdvL&oFi=1>uOPwDc*9=K4Vp;1XQ%F`M7Pb8Qr}RYbV_(pk?ryPF<~1dAXQS z#!8g*EF$)m0Z{#qxtgV~v>PUXn3NT8bGG)nqQM^YH0&yf9uG6@RSP4A*trs8SSM+(-ELemW2g4sY7w@rbKD_bb#T}=ojC< zMZY~hs@5e=OTobDz51-&ij9I2R59Rpq)!Ed3eJ{+U8AH^wv|pP%2qQ&?di|k$EJ5aABE8pQPls6*z_xJ&j%$}nZ24GJ&j1sr^b_Vz$q`ezIn?Y z2YGl7G0&n~94;F9sg+hep?O^+DSA(Kz8sr)XVt+bpp0x(@^ zJN{Mmhpv$m`!X0Qk9QMF+~GcIr#~=0Tf(_4gDwW*?db9l0TDfK;YZ9V<&1PW&LYn; zU700eR*$+vdhCarJzT%LoAqjqMqd_xY2r461TM-Irnsn_5@#C`*Tarn+cdbKQ!J-> z{Z_EinMK7DR-LkE<7v7t5W-LEkkJypjxwFm+)a^b5os;}gIU56#DBuPz*oQ9V17Z= zJYiSXaw)>7itNCcsKnQpQf( zcfE|ALJVZFgTo}xR;Fv<>(x7F7&QPX8$bv-zF-9%@_<@cx77jxfjGD|>1c{7ARK!U z%!<*uZZvfBAdIw4K~H6${#Z*`xf-3#y( z6;~%4$^=sj-)iw2R)WDdxDd%4HjZ^HSA&JaR_5lSRu_iLgpzf-DcT^l?#Drpia)JX z+h(eYBdCf6A;m0%)eT03-O7#Em;d2s4!Da|ONl#rD@!#g3bW*ljbbbqX=SsJFZzQ# zrV)qLFjgRlW5gft|M3=$p6UZTOA`uNWs@f`B8+BA)vLmE^2eR;gU#$coKidg#f+ ztD|(GM+uQnj`)^u5FClN!o^IAR}38)F(gWy03*lTdK&Xm8!J$@E3VKvR3Ke842H5U z)N&;eB@o69afUpaPsVk{Ld#SiOwvl&DO5WjVh%Z6qX^XmD_|Lusdeo*n@FaB=q@S1 z&|`RD$^EM5*~&g@m3rdyV4D%2dx5y$KRp_ZwJE|^l{&m~Pm>T(s z{~vOpSC;@^DgHNJ(c_HTF?aXHF4<$N{c4=i(-iiN#EZkN59p}7_$`E5Ij04b_FN?l z72+!!!CeuVT3AAl9!qg;%ddwcZvkrwkzdo$P1pyTGn*Zt9Vcfv)rDlp`>DoAC9zVu zr3;*)ObQ{RoiC6vm?lDu+tV$SX*`<-utauE*O}}BYUIc*TlkondHC{cjtiN-s;s-6 zh%LKOMK_leUFHSJWC$k;gQ_`kyn*KeD*dpNYv8ua?^RI2O9d}+|D{_Y&66@1kuZzn z5+V~)Uj1{QN1iU36c&*Z9=QW2*8G$zuVQGSq5P(;QpA>=&bls6g;Fn?^d)&n z1@JZ~&>o^`zL@ZxTU@ZMR{59(BHNxsSA{b0rm-6v`rHctj{3MLE?BZ~Jvv`iJ82_{ z_vhK=C15`CH7A;N&PD?(yN@87Pn8t5^M_}38$0&8;&h@t*_iIxH;oG+%6(bbFIdHd zSZ=#CJ<7`n6QYq5w>$QD<_P}C;T@TJoS=!#(MNHS38<{1?R1PWFFB6Krr_H}Vpz&m zo^oXZlvEm0bGrPIDyM`jfeT!FcwJfKpwbgCOUi(IDlW*p7|51es6|-nOwb8U`WSg4 zLWk+mQJ?94aN|~chva}z3)Q{d&H(vms4Ch~-fw3m19+;!9D65mhe}$hp}>J@u$rJM z0_bP2`sc0tFklqhjq@?BTaExd<)?TOSVBdg+d%?S`3C7r6qOobn7#}snGAYS%Yr|Y zdps!7Z(SzrC+kHR_H5GCm`izF7E{k9J0K_Q@FYCdNHUgH;8D%0RMyPsjf22`q!y&H zNk}R{CBkQ)a{J^@HPFx;JVcFJpuI8P&N}9HS0{CdM!rjpN96A+45~^*y3b3bDfO^jEpGFk$2GnR-(@Plh-cpViVW&I$mQ@p}1L+Y)@gMnN(9a+>sFYl+@GA5v)bSAit zD@KezVZ5a%$1kO(Gh+abZ5Bt^ts)rJa}aDaIxhqxcjL??HZOX1WZu4LMvoHIqqcQL z;Oot$g#XCVK-?iQ5+V|(9ZADD#qPP~dlK7QCnp}j&A25MLkb}k@f7t}#dLECElX@7 zI3tgVv#?zaX!a&Lu)2IEh?^DLqH5i?=mO~b;x`oWC8Y@<0&V7|-Z7rc)3rCtdo_5T zV~KVE%)in+k!8a;0V^aaQD@uk8Z_w%F=$x&+xEz0l~GHH=uTWfMFJ%GFQpL`=F2a^ zKB1Hm9f4lJK2Jjej-n4p0PcrcT^IfZg^WcdE~K_gI>o5T??s*HCG1tC#a2Q7lX$}T z(BvK#+!vaJ%9n{kPUVsW4;sTgYLJCERj-XQppMe?M-s}yN%LP4{vAD6IM*j|`VW~w zG6j&*NJ#@|sR9WSl?D;pu)Y`%6;iYKbCdP%jn36nWI?>XMqurk+x&uryUX=z-zk}r zusnwRgw5lPT3%G5NG=5BV2ay$vOqnpxw&b~yd}d3+@KfM;yH-+%E@>oY@PS9R|db< za8bEyN1-8dQ0+10^7ooBViPJz#0NuKu}Fo@7C=+}2omS$eVae>9{` zh$3;@bpCP7*eEq^U%T)Zy?n$VmqxzfB7)ylnZW_5Dsd@IoG9&exaF`cc6i(grsSJJ zsg|Z0&?mGt3*7w1m9Z7h%gg(cyx_P}jhnW7S3OW|5JVTWFItVQPz*crEV1v1?4dtY z8Ff9&`x|X9TISJCBTI>%rKYvC4kPaBpKzlUj!X}PF*%wC$w=Ej*8pMtcZO!<&K>Vlc`qHcxKyGP_sA2^^>;l4y8P z-ohpDMU9{`72IXfTRTisS(CV;tovt>- zTXCw3?`YdhYII~}bvmZd>5u?})?h&+a1baQBn0is)#0u`vYVE?zB<&==1wbVQ7^#X zoR-$Zs9ZUtq0Uw#gWxhRwzaXhEysxhy%-cwvm?`p(WW>%`<>HN^*_(f&H@xL)cx}8 zce{_n1mPvhiF0Ez6cwpxz0M%i z2vhv0E9t?C#TeF!X~Cya{g+cde|`A)^|6%9C1N%ci$cs&F*{cj2?ubuD^zR@$l2B| z;(ZPSl0uB=bW|VE29a^X=wW{!6F~^A`Ea;zajRnCN*0nLmlN{Wi#noe(*mO#cMinA zmYTHfyYrM+E#)*6UaVRC3W)$8#RLi6+e%r54d6_J#$ND$DwbweXqCAM(0!K>O= zY3qu*UD>GW3l;G^x8S_QX^tJ+5)m6u45%&i6zyT=R|8%OP09U0m%1~gZPK6}HdTdd z>7CJnqm1AE)NOq_pLdR5@sB+cbD~B08vbGzPQ=2zjKMx*ZHV3F!SmO$q!z4G+=}4Hex&2Yzp`#$i;x40kMBjp=V1I@r9C>063m6XGgvfD5dvuftqT|OHqv6 z$!NPFjt=c;OehV@+7Y5Ii(-Wz>x!vY7DfpW0zLGWRwrXYWV@YS z_)jdyM$j#e2BeEqmxM;;$O*du@k)|~o$TJ*kRV{iB&rc!OA(T{T#So^hC9%jMknv_ z);|+$_4;)Mk-68;S_VDWjnwVSu{DaWjyKbtE5pXeUSFxMmP)9KQv{3Ltrn^G9~Qld z@_em2SyUxuHhET-?fQ?WBH^7;girXjc6X`2L#5q_BF`|U#9&0+yx^e;Nt;0m;2j<} zKE|_1hoeyyFbbDwh0IZSdj+cSP!(f#i<;Jgb9e-kYnX%b%=PXSfIQjTt226Nb8QEd z7!xX=wV|KbaX{1VV+I#*d{SOR^~psJ#Y-Ing1Ec`N&b^WO8xc2sf)1FpO>)3w zc#$9*ZN1csj9d9_C~Sa%`w%3UL#z;I8?Y%rpw!A3q-vFgNt}Ejp@fKS3J$TMv1e#9 z^}{R7)|*&lVo5hCB)G;l6lCoy%%*|%vG3`PupViUGBJ(-viK0#!Pj5}8CemYxW%G; z%?L8RflH^p>!L!umRy?@Dk({~@(Yh}7zdz52Mp#qM*^l}A&pVM-mW&wgP(a27fKHQ zn+9>s0lmvPXmJduOHh{e?>lHbZmpAn(lM^(kTU{vXx6sSXiJWuX24BcG9n2quWKynrlVnJVP$yS}Jx2`x!P>~9wb5s;I;re(4aJ*$yg9%0r z@$^tzi3NcaTC*0sP9;*MS;wAb^*9?WZ&)3e553iv!8dr_q<$IVI1Jc{H&bp0)*$Bq zU&Vb2JBF~ys^AkA9N1!^m-9FUmw`SYxqpREDRo+2KE=pgn|1xyQsgMd*N)8Sco1rh zKdO$hwN;0qR@Xpt`GSfrN*1cgv-!9^GM#-bglt9X5>lyK^DX3Utb5mz?Fs?dS~O(5 z#BKEy?%*2xR11Ckd8$AuN{I-ssbpvcY`_k$ZpR}K8`?)4SL9cxuuZRJOK6>A8CLe7 z`{{kx!+=g)RWwAK**PXh^XeQnLJCbhUL~GuM9#^1z~H#|rrSZSRhxQ}B_m6S=-Z~% z;+@XV1ZscB97L=$9V~Dhd0NBar39ugE&%EBH*5S9dfw z_kc4JfTNpG9eNU+wk8iUOXsiB%CRn@G5RTp0>luXVq%$%C2^Ff>&KOOptD8T7@{*? z%c&yUnnFY2L=PJHyU2&e&Ba||hc@pN#HQtsJ2bhkeQWVC`NBM3fVzKon<^>;$YMi+ zn9Qs9`fphkgX4EwT=k&=Kij)S795mHEjrfgq#+zJ*0-u|5i0+fYDPfJD zvg6R7l`0H2KsV>Z!DP@McE`P5Z+t$4+~Z~$TNredO(|>XDcCFw&x>o5jxSQer*@H& zv2PX@T4eX{RuX`<1rFtOYd_g*uH}+Y8VhHb!nqhsYI?H5f_4xCOUhK;Y3|dU4BnRx zjnEnNWOXM?>4y(}5Y11nzIsQ4ZY`|4;V=d1_{~98Z$GNuH6j)_uMYe>nU`eHcb_y8Hc?k>?3$zEU zz(*9r&a4djPv=H%7I57^Ja;pQW{UW#3Xq8eIhnOp2$LH^dU3g~EMlCzxL}q!b3EXG z&Ky0iEv&2Ifk=i>fc_m|!?w)k(3pZ?;F($>$7vM81jM1t2>MoWhMO(|y7(6`o z*@Y0!!M!RTARk2y0rUBC$8ZoS*khL27!z;{{u6a`b%hQB{}nb8`+&01!C-al4R|`f zqWW)0=s&TTjDN;w%TNs}DViDoz+`n~v!DF_nG%oQziUxQcA8@_N?Ng9@z|ULjp<>0 zH+qy^$UtJgrb2M?a6oNwz_eqDKawnG3h{C9;Vo_=FzE;bcG$$n?kyTz6*SZBDDqU#w)E47pA6)eRSyo9ByW*^&^a2!y6EH2wxKScdd z&8GiOy74J|WnTq_dDu^a&8KNB)U6c#)gdl!065w5;-wt`V zH@i*5QW0HpynB9Nh*HSk0M>;2$zT$Yt#Ot0_G8*%5Uz|ljg^54{^m;m(S>QMix?B~ zkYglkFc||SQI*Z1rpOsd^5uOv&9Yc2rm#(*++>Y74a1+h5k9MeI2s@^h@+l>JT_`B z;`r%+sfGqzw6Ze4rJ8`zU2DC9$eze%_jXk*$)i&oj79yqa7@Iigzk?CQjnIoX_>p! zJ;qUCLD^DqH8dK$!CG$MSfF-pg&6f}eVFd9AvC%V{1u>&@fARduhg}l6=G?iRDXg? z)?|qm9|D}E4h!E)&v4-w5FYYq!}ui>WSQV-_(&R7qBmAHTZ|qB6^nkWCs$j1(X^z zk8OHf^UgR&D#a8o+csoU#LT&^2`g$J{xK23l`o4p;9 zwMv-Oi<_k)&OJwxmtAEXeP;43ogVgpiV7CRGjC0(U~WqdOYn4) zMS4U-Dx8UDn0#@mTum0QYj=HvKrM5Io^|5PnQ*iHu{`66#~3N+JPcgQnJ@Y-F;#0g z;|8Nh9EC2WNStLZft1<#I&WY~O1m%Zgld{;l`VprF|`fJ@X;5AU`W7nU95lNmDjjI z#*UM)JoIB9uke^4KOq?Kg$GKrP=5N}paI&qMxhS|2F^lH#+y2*Pm`|J$s3J zlmE5zz9egk_lj%5MG?398(DM=&PY%c{($=?yX$!1Hs=?!?oCy(+t#Wu82=bmq5e0`}b*R{u8}(8RrI#SqIg?zT z_B8KS3MRtnUW!rSJNq3~@;oc#*bIxEc(;c+G<44$_n}yn!MSpOC@$VDx(-jKnwCnM ziC4?m>lhM|``_iMZkhpjq0>@ACXBEr7B9ukG^BZ+-OOmlJ0%YqIv2Tdnqj4?y`1LH z1eir97oJWiO)X71{u2(7zN|u47As+-TRxQ!gF&o$2TIDdvt*WB&Dsbc?`|ez!VZY_ zh%3%|abGJw^$4U-bK=p<8}XU54EWixo~9H%vK40~Ej>;+{M>&jYR1akld4JIu-$Tn zJQuS@j>Z?b|GSi@!r)oekyr4rH-yG5`NOd$=^LufMJ33Ke|CF19o5hRPT0KN;>J7N zFt*=gyac|mhJ8oe5|?57rfU5wj!C;Ftr#>6dsJx}Yq_H@kKfS2o6{6$JKZLHK2c5> zdBvnfi&_nr{RZ~~${z6&R?AX!R7aPhXbm+!mm^Ac$si{=C=|RL=e)WC1X{qhBxHd+ zLss!#li2uF5;6MsNJl1st0@+x5e}GaC+xbx>e)tG1SCvZFGk*9w0;CkwB|uF=B#PEHr!fd;0G*uJAOI^N3R9%9J4OQkAT|dtMpUH}MYha2 zqt~|!IIvZdmKtHbprXXSQB-J4p}CNNdk@tBDdpWQH7x?d9RO9XTcTudWCbkwdLA!W zvp9?%upSUfTgo^g*0q?AnKJBko1m?C11!gKZbG`WsE zyZnqcMM9(s-YDJG@8WKWk#)$}7;>qlB(Q-S0&}x`H5o2el}4NQ{wV?eFWh){s?dhD z?nWOu%RJU9ZAd>u<}sb~pqz7MD#aad2v_(3p_WJ0>S3t%-Wtw2+yNIclyJ|c8>3eD zE=5{6nzz@fDwF~|kuz^&5KulCeuCH1rg%xXgPfWh^xmKCJ+*h8qr$-%0_OfsqlNln zc^Di(jVub#(@2Va!A=#oI1pscJtc5p3FsEX0daB9 zP1|9`S#zeI)_##zxsO*3B)#hj7yEiN^3$yoZD4XIcPRGJp&2BBPhUy3TwA#s2l!Ax z$0Zwb&i>`>?C+mHK0JN+_}Gb3&99Pa{};CGKG&z>nd=Va>`Cmq-beVO{zBUxX z?e2|?97r~;lj4^Z->FKfYca}+M)bW>YFgxCqY;mgMqR+ST2@m(Sld>ZhteqUY10ox13ZELZO}9u6}-9S0Id8$HnHs+DJx? zlp4XaSKaT1ms|a3Sfj8C!l%#O@|;>x-EdH!1t$yqRyBrkesb^$CYW!n8qj|e*+ITD zWd{*w@vqnk2v3YX6J6O4ps=HX`>L%lh>x)|&-ZW;UjSQyOQx^%SmTvYB3c>iW=muq zJJqM6rWNMIl4qP|meV8byu+t3dcx(jhuDiP2bFzsO~??6Fc^>rK&BPyq3F+k0Q*!_ zDveWU&ZOrsWy`>;b~@?1;0kNBH13HJSFa+R(rp|Ot*EL92%*~CxZXt#Dh)f#+p|xo z%XIJAYEu^64hj$x9=sAByxIm2iXIITcobeWkA@7EJNNInAm@+D$EX|OY+1%ZLu)V* zhhHR=dCTek_8N2875dce+b3v+a{V;WaIQ>_=f_nQ*nma{iZ?E*ZT15ji2}=D1F?P_ zy^h%_spAsVve48j5EORa2Tmw9SN(b8Mw(sAlEfKSc{nEt`R%x3!agMjmCE2$S{Ff! z#D1vZ*FXcuaineKz%jBk4!Ndijz)-IxQE{llz_|5z&jS$qZ$q25CU%Qw+mdCqy9bL z1gzQix2;4L%jdBuPrxO~e>j_N^c+iWM8+ zVOW&oqg?Ap9Xyt)BUaONRZmXFMKtIF5pIh^lk;r(o5sg7ackTHq|xfu*8a?bZl{Yi z=PY}6;e6FqOgOnie1vdJzkfIW0F5ODvf%VapW4Y zk`z;`kThBIU<4u@Q{01HI!u+Hag=Hr(725ovWd*%6w5KfUz5MJp*HCGU$Wxr1cO3Z zD91QXS_hQD83S-af-kHHPlZEcQDx%lphVotg-7IVHEyX=XYk?)_jZ3d{QcqM zw?}^wzxiBEqV5O1)Yz!$9ClKB>5-k&m9@(G{FSgDwcc^^Q>Qu1LPjk>B7#7b=7N`Yq!OEB`>c32~J+g*<;B(STehBGeCZsRrF{!I5#h5o++!?nE zKm&;~;fY9|og-rtg`gQT3}`~4@6U9~fEL$;pE*$`ml(Zfkpbp@-X50B|L`*B_01LB zsx;uClwjl}E>~7-3%-dJ%c2FSEbZe&lxEP^fYD5QWa)UNpa^eQEI$hgDEhU8VFs;p)&BPi%8h!74Nc&WuijosM$uiEg+E^KeA!je+B+e z^!0fIu7Wh(h;pKFKS6+u3=u|5PmYtR1P4Yz=I&(3*$fqO;@_vkC8OdEh4Cex(Yiaw zv;-zj4p4>2VKC8__^~ZqyQ2B-`u0{MVoGBdZjnqjbq90byIsFCva#Pf8|p0szQP-- zyog}b?CqA{9}wciY%qJgBq{-oQqDPrWOI>r07p41d!L)IP&kWeQ8*CJ)*aTWLs1zc z7KRbxTe}%vG*O|Iqz$ZQP4WWi5VOqw#S$Mja8jP{>>(P(fuTwV*!(Uk*2v~IBTW<^ z=!v3$TwIruyk4@Zs*R-Q6XzYYEcihwEaP93yF}BZCNU06kRg{|d`PRVQ({E&Mh?#m zz~L1srALjXTL1#fGa##}ViFPe5C-F!zP3t;r8bP^CH$jVHXCEZ(YfvUhzNT(Ne%KI zREL`DoiKZyDpF&DaJe>9ffC|6GYVmZsv@7fmc)y(9lIrFYN0xfZ8Ryik#bO4ARKqZ z*xNMk@@&hgi5K%(oa7f2qwA&DrNbf?#}*_N7h|*EdMiz8pusCC;UjKf0&hSFEZ={w z^G2wZbaOq1&$eKXmEjSSq2(;#lv?~HT3_tz>Us#OIIb?RXZ1&_gp^BB|A;w%L_Dp@ z!E)I4Si{{O6X!?xD5gkd1T}U#0c}s=^r*3-EVq;1rB6THG~=kwMBcM3!yUc$(v& z?b?esQw1vq5JAArVdDIu(7h3$1r}(-7uf+8u7&#pWRLMWzDmlJ{75`uh0lb%&5+m$ zs)Mn3( zDADr15Gk3~i76)dlF~qyODisv=^V}1L*V5zH?5~oTkY#*e#RPn1+jirKb{SuQt2ft zqwQRF{+02P%S^fpGhR@FHnzeLC=(HzS8^Ai{kp`^r9=L!pf-h?D?p zEc&IN`qN8>s{gvDJR>GDc3jjPRSnrfSi_7tM-jPs;Xvo28)Pu`u^Z?tB9_-do}^!N z!C05Nam1oKbmXV`k93(OH-n;IWM*8Pu%6cOGn+L%h~l*muyD&HVg94wAG)c6STz1HjXrfUK-{?Shp3bD2DvpQ&^w>2X7 zuClb0SKBr)3_z%FV#aX*i6n{?V=s_F7^=%MG0A~=C?9?`l#~(*7z2bdOG<$cdJypzbbA`;Se-!sZrDl7(W07 zU;s8wN0E5wDw?FLB~VrxGRL}XkYabc+OIYxtn3Bm1AC}2U$%SfMFbj&glBOQ;?|tI}nprcEAT!R;KMq_a zbWygA50fTtCL>KgX`rG0xhk+{^jFv*NqJ`*#AT5DqEQ=kzXg#Jj1*TSw3~%4W z4{jUC?KhA_$l$vn?r>oO9{)NWFc=;*UIBU~nwU;DPEmPEE5Hn@cJUm6e~wpho)yZO z?MGqml!BCCS+HlYyl6=crIjt1BXL|My>;29_lih^kNRUuzyeFV`@y;)2*Ei`Gne1+-LOhAK*9Q^crlX45ov(n+e_*_-_m3EZQ^zq!<$8*lu(vl?KZrUH zu`zQgc@1Y(CN&haVAK`kLoV^0boHb13gDZM%~R#0u+$fW=TogpGJ0Y)(G`=q)bs!; zlOPIfdLF;Bt0khIjx>lXRNNxR=6j%XAkQUwmxA>{U&JNgGX@yu5NVG(3FOLCY2*QJ z^kgo*%LSL_kQJ9v=!HWbBc}0=#9-wIt2b%_>9n#E2drG8LEX}cMI?yYg#m7S!KeB& zl&9F?LAs9zgXhl_H7P{|lp95$;}zH&8l`v{f==#4-MH%&s@o;*ZdgiVN4RNpRqVZq zn}=__6hR#^iYaVPr>e&CQcvm6=Q`vPQGb};lFUL%1=O;Mc$ZKXB!X**zJkh$D;DT=$57CIW5Gt1#HI944b2@{KK-WGn!?FDLZcqJ7BF1Hv_#!D+q>GV4NP%Bsu zJUEm_+3UDkFqD-X>HR(sz9=X}^;ODrGd$;qr^16$DQXMh~3;_Rq zji;Xh-Gue%CB@B7@(9ChvM)gfxU{0Y(8tL~*Hchbws^PrK96!DZS3l34(%_JwgdjE zYNY^n{3bGvILR!A3wvWJn$@km(fBM+%^A6GFN~qe$>COoJ#;nb4;1w7_xP|s@JHdN z3(pI>A44W9edkNm z(@hGNq7qgRMNty%;&1L|sGz{m;CI1E4sM~ab=U*v^uh#(5@x1|p|I+9r@}E%qX*0o zPDSO-U#kYA>3>^;(W#b6HW=v{+o*HsDA{XmC@b?Ib$E@Zn1e&qD0)mmxW~wEcfRAf zVmcc5W2874=yj)D5L3n5RjjChZvFXHbDG^di5 z4AtN;$vt17Dr8F}Pudv0Uu}0cBhaKX4D}#$sJQ@dV3XakTbp4gj=(-_P2F( zdA9i*74t~steMz1R8iqZPy{aRXn7-OSdK#M)J=oO`^&`$v&YsBSL+_i;;1QzKP@mt z&c`4BHJ^?b6K5JMlP@UAv3J#aaw*61-8xa3wZTuneEaoBoM+N6Ufm#K>hd86>=2Na zQUf2ecd*6v5xg%2?$EOCSz%AF5v8-nrCZ;Ue8}Kilk4_AoOEp&cFk!Iq z#1P;}N=oAAgt(r&*3);S3Nsw8&yH(+)=;3I2Oya(Y)$rzX z)WsmbkWXG-rO75MIEfuCVwkd{G|9l&o+>Sg^@WD3l_U8g8h}+fa)Nl21apKq=d9UO zLn{G+rfwlkq(tmY$n)mNzTLAdn&UB{E`;YBhJ&GxUNB{nqWiT7$&|Zx@qq0M5(x1@ z^J=gS*nwKjy#BsLM9}dp6_667PSTA?v4+#6vwC~|l;w=Z7oHE_T z6m1xIL6HCnZX5vf^P^L_(*7M2)UXtizFKtM2#x_^HQLQFo7s6XdiI9>B zsU%Hel#S=J@zd`k_2?wM7`F14Q61I7Z%p<5$6-}Na z=Q%yd(hT&aX}{zgJwf`O*A}AcWuT-BLiDhen>HfTq1RfRQ-#ZEH^YgCb+zBNK3`q~ zAN-6(8&axLL0Fw{B|W!4_9_X5Shg++X-ez;92m|CO>E#_xVqx(WRwd^ot~wO6J~Iy zMv|O0OAW2qO>;7Cf=ii_7lx@#MlKG| zT-w8a)4m94v7F0gYyy$sfQ?Z5zqrCWfUg*5YR8&96@^Qg^xUG3LnFbuk3tBt4Raio z=!U()!9@o^J4GEwuOW&mDaW^@Xi-l6P-5yq$f*!!wwi9=nn;O)5s%af;6>-4K`+{N zr79d)0HN|~hLwldd6X7Q0LW^B;VTZ%Ghu@>C-(X&ef2e!gb7n1_+dRa~nf3QfL~ra(M5NS38Vs!T05VT!M4Vn0Jr0^`N% zxb1F_GFOu%eB8tc4&KY^f6uqxv-1)at2r$s(GpDwKr<=kgOhdE8;(NmoLRK~&Z-<^ z6ZWZO;>TWLAS~E&ul0TttdO3E8)u8%VhSs;baj$!-23jmlgi%GGf%seS^_e)OU)B* zHw`Qd?vG-hUD4sQJ zbxH&l6_JHcb01->?AOdsLOZz(K~{7%afKUa(O;F%5qy!w_>eOLEDE6M8JJ^`%7W?Q z`X7vH>ZPJ7E!Y$P%@9*d6-#r2eMG^x)wRSfa4SN7&P$q0=y%-!QzZQ}l^)5FX$VJj zj!}ddLY#*aS5GT%!71K*qL@5z$_h7KJCI5)wXxzb&ZCLQ|Ef#o`}JGQZNPCzRL0mV zG_+hc8;N`lJV~QQBX~Vu%{JvUW6M>PRl%@RqjEfT)oS;3SqadrOINetW$`R|1%L}0 zh*6?*Rt}r+xfyinmBSjDs_P_I3=_@jj><#-b5FA6Q#?P zl@=h)XJq5Df;L5Hhv!yvI?-gf@&axfV(4b$vot}MeEoyArC@GOY5X%SF(Ow_priQ* zI@6|aL`y=!iXuvr?ikubrxZ6n5S%Vq=BS5FxPrAX7*|%+!Z7MDi_pp; z%blM6^!eM5A0J;1zQe%#(Qq^vjt3auIvVoAzTV*KC4aS?qZ90zOb}Nif8dey$Nj~^ z$v{1!PJ0B`5`RO(Saq24BQjc0fR>6Y<(`{Yl7};Tgmyu!w2GLelnP=Dt(21RYL%n9 zzu79gCMZookeWiwOq$AVIjY^TH_xkI4qEKWi|s;5B-o&OJ?jAn&3#jBq*!2CZVNl4 zzg}q&+qFinw1T*4WfxVBaC2Z8qfB43Ickus(uZTapv3J^h2(`?sT8hmygtF16YoG# z&h&4T=x5KP@|sV#FH|^6>olv(Z&ICiH)w>*C#Kt8VhV##`bYJlTi5ESceu9Obra|k z!A~`w)WPXQ2*0c~ClC?-1_l4|pF$mqUR6@CVb_W-P@90-M65d`aU z8sUPC!*0Xtir!rQ1y?tbWkSd3^4m#cqpVx#9W_R{VUd2|>REW#$&`19;+~E$c zT$Qc<8s1)|NNy49*xq@;5*{D%rnIr~xd3FNxj!;JEDIsp$jwh0fxrtS05Sjk4 z4|)~gf0#t_MqAr3E-D6?3zHsQOE^w1x>0Q*Je+wIs(*o(@?Idm?p9n&tP<-OpcRyY=%Ll<+hP~X621(5fi)pOl~6-FOJaHCv@-Wa)mgy7Ws_9lA9>hhB?_ zb|O}T+n{CjGbNTiJB0?=F0C-QL^f(aM1;3Willr>J#x;Mt^pm@bVfJ-Nc6Q0!zzxGd9Qrvt#B15+gnTU63!oINod)cR=^DUcJmSa#o{Vd zn!q6%Jbm&c9=>JUh2z`>x4G==brGp6KEgd44QMPtc zBCmGpLKy{ZF&ff)Zp1(&nTkb%mt>*}D|qSZhZh~lA~0v!9B99N9fEbWh1a@U-Ck8H zS2QJbBn3iDz@S?xaaF&jXjIe#eoDJkDt*y#6h^-x!@fimL-Ye#P*$bawaicj3DvK6 zwG8^DF~u2-ubQB=fPZ|GQkG|?p2Y%;$-|Y6+l4$Tu$+uAirFc+kF1e2tL$c{ldpq6zUNtnZ6VP7-@?XoumKz~dRtpS0S^|NNM?UFsnOLR($%Cgc}+_|`cx=YOuuxX5>{!^jUQg)F{ z%+titL4}0U6{f_5{R7%I_Sj2A5wf**15D z!SC0+)BVs1eLy{Y;$E*rc!`hZun3H>^A^1@#2V+9wJNn=+o7V%U-a52M=p{Zc8X~^ z@~Uu|MzWn^8oz5@=7~$*_8;E=ZTaZ|VW+aZD3$7U4I&Q|BKyPi!dneA@)Y=|2CTT* zy6q&`{?h5<-Zbip=&%gk-Jf-aA*WKMAbQ-6NGPJOgW4O@^XoG(Su~NF6O^!2+z8aC zaSW#F5F$W^$JeBwM8(qxPR6y#AW;X2az2_teZh5wHrY$^m^SF zXp40VXV62GPz;J(Q64BNM|dLz?dayjHI9tgSr1cd{|c=F~hSs( zHPP{q(*cCuFE_rKQ&7zwEeO*eo#gfwTaKUO@fyo!5F057-%`JOZhOi$&b2I+lRvpK z^D_CYF{Oeh%fyRT16S00m>i*+14SGAt*(jX91kW5;djS!;R-1caYI(zIn4X9dhJww zdyJjLx&T-tFn#EJ{QT+X4#WJyD#`7s8+il18l3BgRZ0Y19O`Dw-1CnSApcE0|EI1Z zV$Z*9$PNv36EoWzn~bXll{!0kVd6jvo4E3UAPC?ztOw~Uv6t$gPiJw}TR^N#Sg?As zi-x)>!zShGOB-h?oeoZ;a*!=i0BB<1%cZ%96y~E&cOG-q>8^~3zb+%u?yQu{*9Dz; zblrrBr73HC6ZF(Oi7KS1Ri|{RGVcsec zI#Gq>;&(DpWJ~Rt%j`F_`*NzNhQJ!n0ogZb>;%~EIuYj0o;&K6g|zC7JMGiVuy)2Q z#4?ipTeX$KWZl_|{bsGTBuWZJAv`NK%y%%VP@X^ul!B8&FhYkbW>`;ICEJdXLx<_k z>j0V}X~QC%SIFTav_+@JaGt6r!!@SUt0Mmf5H2Fh`S-s&M4GgEO7G>I|CwI0e#p9TkyhUt26f z40ZD5b8|(_t(IY)d}omeMmHqEgG>`1%aULIasMqhuk-Iq$>!;_w;V>Y*oEhaN`j?I zSy(^WnsmKuIWNDRy{E;>PEH%LUFFh@~El3Qyx8`(2=CSe#}Pjkr|F?K)P z;GSsID^9m)`ny>Gl%s88;C+OYlPWW6kv*Ak8ce5cjd|V{iC_*23{S@EJdyCr%)W?c zCakEj{lGWW7J9vnFF&XYK9UTL%%hcU;UBT0tcQkFxzTQVko>HSn8jqim0Vr|xwKX; z?R8Aq)CytM{iM1-0LS&>ohq#^aia@r)v&o()@V73yfYFEIA}p!L?PdC-=4{d@=&Y2 zQFH8j2E$0j0k!VfOKAe^r;22v{fYEdBi7ODpoztJjX|jsBT|LioZR)C#Pq|X&R;se z?)^Sn0A~$Y*(2uo=Ua}~hm7*i__!YNbeKz9XD#xpg|)_m7`Tm?hIu3$xb5*C6k14n z#%>}&A9ivBlO`K(eD(+UFR>ZMU^DN7Fyz}%^}PO#uQGygM@++0C#*3SVmO?L zMz~sn`^t+^)sEkE(})A`pAq;1*OrAhIYRg=B-kVz)h0YS^J2{29IyAwaRihNwLIBW?U;eP;Wx^66L=mjM zQr=mrcNDOe+8wSn?hVQ}_Hm$8)PHEv?I0K3nkwT`gr);He5rAzl-QLi(|!yIYY-Lk z81N@JHlM`M3aaN?pH)f=OXPt;2PkN-&x`0}%*a3hf7TA*6PWlBB}ASBq^xsru0n(j z3sv|!oI4!IOkGu8vpublCMB+lq$BtWfQ7m$A1hVXVSz{^8sSGQabb7A z69frOMMC3as+iJt@()@SN9R_Tbgonv*}>xTV4#%$1;3r>fYcvp10xnBYKbHjnTO`P zKl`26S*Z#*I}4|QLeKkHalt$;zvdS42Y1MGQx*Whf8b8~)4#x%#f^B``L}=T4EejW zGrR`>!Kp7&#AZ$EC?mSPK3q+IfR#c9PeQb3n9O6R1VBLyGE%1p<6H2@KTE~2>J{k1 zDr0%l*CIRHHD zi9+a;=#u4DJ`th{0G&scmog6ClfWW*9)GwfUlTu`_dv?Hhm1VrpGqnKaKnCxPvQs^ z5w}ZwoU0PwO(YA|?asuj^e4L=`-7?l`HFYJ8fPm>RN*UGB4~td*{3XP!io*KM3se; z-y#xAIh(|>^1S+1B9#M_`w00Akh0>(JegK>tXqnppy_<824_TNVp%HNDPNh{weCSS zYEr>VjfWnCkfO?zNoqJd2Ib{qyfux5)5H1UBa?2x+YLl5+cS%3SA0DoU?FX`_8Z5<&g6b+>)qnA&*#+c@XBwlU`n7fC%MX6J?@E%uw6qT&5bsALV327UI>Jc2je4ATlt=DR=g*{YtxrMgk3M?=>mYqY3LP@wR2;>TbEXsVbjyslj8zirzpv;(;CHTFpQ!^ zWr&lvN6v5WycbWkfiYDI#OLHZ5RBCd& z!8)b+y*S(o8)UtqtVB~rxDAWZ?WkMEBG>)9^P=B*n5uJ_>5K>pQ=Rcdd!Ia$JF$yU z{fCQuFL@u~F)2;Me9cmtW^Vu=MGYGfQ3N!^K11|lvryOi8zixFQ#VJYxZ;WW7#Di7 znDSRjKdx`yZp`ItDU4_t)su zz4Kgm^kXUQM_&m_4)*_4hWCG0VZXhzPV|aLTgeccN)JajFH`Z9a}v!gM^b45J4cjx zyq%+F5&s{@UwE%lYIWLx>=lcC;%!+^L==UL4u0aF@WAbENR`r|Jd$;?WTYGaoSBm}%r5RkfTB2XopO~EfvH353btXjT75_zVTH$i3 z{1jHvN!T#V3KifFtU@RnJM`Izwo==K>J~l_r=h}#h{0tll9gerDh{b?gs}nzuJYS( zwLAfinQoD!aV(WA0C95II z8ed{ad=-BwPxocY?Cf9yeBs5Pe);_C^;ohY$|k7f;#CImzYYdC*t#WY@wXL<7yd&j?m{OtSof&n{OO?SiJ6VC?l$3L~zX| zf>6cnDSVU}6WbDLnW{^!OT%s3FmNJ*=zxJnc(9{kIz_V*<1}X z*gYSc-5QrQ(~thHne@7o-gp8B`+SVN@#8CajF^aC>Y@Y20t2{wbxT47@gI!i#>B6~ ze7l+McCrsJ10_cHv68^INy8A~tWXDvaE@dA%B2Qzj+xzz6WRr7SW;^-TZ*&?%N4$; zAZ1PyPBj$69C0+pwNf0$KTi&GNC(#3_^>vexuqM$jlN(QtH|MvzJtm+lQKs8l;XmA z7$hj>xB`5;Tg!R$x=qvcQb)F6Y9XC~kf15rK$923M$kBZY;eP9ZSPQ7!&zH8F_1D{ zx}F5M1Z|A>6p^LII+=`J6(9%S=Rg#HF3;s&jr;XP2k>235tqq>R=0;4e>&7 zYi5f3s-OX3%+hctILu02FRz5fgd>KxPP;=bo3@h;kb%AH00{Tn5!&c$^J={3gj0$sI>aSw3Ff3rLfi5iS}*os>P;NdoI?PvUiJuDFl;) z!U^30Ji_3{Cn=hgB7%PDs_d{A5kZMdT-R}l;`J*|J03dl>xYjYKYV?B|M}BThxebq zeR_KQ60!Ho6nnpXHkAIqJNO>wZbQXG6M&!n2r5>rm|eIk1ri6YqKh{~c@TGdz2oH& zns=wC;?irn0>>Z%jHr;=zSq+8UaMFxyGPy{kBa_KX>z ze(^2V#^5-KrHC_Mlo7W#C$AwGkrHb{P#ukFHK;9A#{?236bo%=(FHqlQD88uI`d;L zR5163%_bK&cXOZ~mUuFepRQawl}^(d*;a45@tUo6z>}Ty>(T+zk>mI>A>rXv?*#n1 zsNU)EJRbw%4Gp*=6=SfJ5Xi*IF`DV@0rWXm1-q;gyC6naSU)Md(a5p~W5q4D8#|mb zGPjRvI(z9QAXT7XrtLzz6=F#gB)kr$$y&&YJJXbl7~(v6v{X>6DI`1xvS;tE&cj`|$4Y++B-w%BmQ z0L$z;B3(|c!q{;*lM_g*Unwc zVm9qQPF0`KkKPs(mhf#ZCZ^M{ckQujUHNM}GvBD)`m8YyxvKV5$+Xt67{eX4#?bCU z3DBMJxe=)_i4+kh2e%xcU(2;DEP(Y*TwhB8bnFBzqOnE!;lifk?n+Ci@oQgmuM4j} zvQ4H1+3O@|;=03rti=Q)I~)6HDa@>jQ1s|mFk7WZg?M7$DqAIfLy}MDVpP;>#A-#0GvCE_g54$}J845|WpS4P z8f)AD;$wSdjuX1OFXRx;lFH6$XBY<|MqdieI9lNpLap|w#qr*#9*jrQhgtZK&a4$Ric-pt=%&VOItDkmTH`bmf={e6x6G`jk}y zu}70)8)79~g@35nMBKn3OO3K4VvD}2MC;xQ#7*Vaq{C%LA5`={GnEviV9pAJZfg%G zfDbMNqGORPr_|z|z3RSe5a5KZEdMLYtW1)$_j^zybo;Dt4gK_>$h5XMHm@Qolp0rI zEme<9b-ZXG59YI)7q~ImB_2{Kh{Fy-26W0Eghuijp0~Y8ZLdUHG*=?hVIL-lTs2Gw zrRAU*<99rKgNG-(H7izV{kAK9%C11ZY(Zy4wIY{qSKC+J5&s;M(gNPYaAdQa43Sr*qJ({s9}{pAHld9mGN zuVkJtkW+$Cz4DpJ*S|PMrQWdBNQ##iaMjkh%~9jU;q>!xtQR zH(wsGb{g?sCpSe;%Tc>GQ-crXSP#h}igPpFAQ^O3np8_BR*&k`pi;Lt80ylVW+5*C zcF{?7tX2_AfL*k5dUnWw;xUnnFx-;|WpttHN)u#1fWpYViCslJRygMi0EsbXS*wlo z$3^Ze;p=uA{*wtT{TcpF8;ixaPd%`C7JZiD>>=0fz;$7St8nkr_kXAR8B&JLxL)N` z`36((%gpl;w5U5Y7{I4~GBGNpQbfg=4bCoV7IuC|DJQuEzHu(6xKFyZ)vW|*Anu~- zgU~-o2#1)yQuuW1;C1Hb56fFN|7(T+M1;Foor1==tb^Uk@0;GADeDo!HcZ zl;Am8Zy@OZM3*GrCDCA1v!OcR=78(wbTw6VGq;Ps#ugDwEB7=cvo0n@&chYF3xb5T zoSh`1q+3BS4zg=Hf&mE!CfY}9Dwut=lNS{75$c+yqPE#UtP2Ow+V_lJRafm?O^oD11=CyqQB!Lcv{ z&B+o)gpU&~y3(YfkAzwaebECaM0aRFqJ`0mC271ODV!zi++x5O z@k+`YX{83ubp)i!Bg-rqy`0(y7__YMA^f_{ zh+1r{oiZvd@Wy0J?uGgpdbfrP-w8aHy>&ZPE!Xo0qJZ;w%o|G;@5P}wl@E9L#y~uR ze64BeWXf1#k!3|gO!>r-WDo(htX2lIGK3_aQnqy_v}9MGk=^j8FbvhA-6~BEr9uaS z?05QdQ6p+!%n!v(K%!so(3MSezw6>}wkNO|-o`qg6J3y2^Y+X&4&u<@hjN0rBCf1c zQyj-mxG)rhP%+N|y&o4PKm!o1y#LyJcg9XlS15dJrnTwD z3=w}D+FvxyYHAe~p363sDXwr`T%(!G>2`kE3Bi<_B9y5g&_ETMw~N9gmn10~fR^Eh zVVvZ{Qu@u$Y%YQwed2J#HC*{P?gru%KlHd9R_iOM-_DtPW+?Le&(8Jk;&3~A4g3MD zys+<-XoFjeYSb5YZwJ9qQlTu_Tpq?k)9l?;rvPdPcLnOkX1G}VX$^gWVS9dp722)~ zUZ5D1W6B`22wE%{yzU;RxYthzea${R%|HHa^YG=@uP?rRTz!1_ng722`t#M}rx%!U zH-GxLef;|P^y16o*KZ%6Ui|+4xB1iO?eF;b=civ@eEa^}!>6Zjzi#mH`-hJx8^AMV zi1D}G>wEr(4aobp`}a@pQQ`O7=9h=BkInA!XRD*ybHMOnGo8P|ZK!mY*kOmu70 zZ!cf`SgjB1S}$za)Q$l%_R_{#i?-Und-2=1_xR%C;pw6NX#eiT*QbZ4Z(m=$fB1xr z-+cSEcm447Z}LDa0_*^8-~dlV`oJnLU;Jv1nSK8JH2?goYz%w4e*F6N;pfK-kVQ!d zzx2bWpLh6g(iAVh{qcW2z6a5MFaO(~G5_W9{okhVpFaE@dCJe9wvYeMx5uwfLX0^w zm3ZdO=TEbbpWputPt>DWFJzTJViC9~%1>bT^zh}04)Kd`Lem~;N)@sB@M-_=zdd3h zL8+VNJ#P1Safe@QAKyQI`1|AR-=7{|*pm3yf3&R6pZ@xRn_K?=8+gUIV)OXr!*9Pl zetGz4C+xx`r+_O(w#)0={gNa!VtoDZACFI1n7q~~@W>@%CpZ*RGc8DH?R#B+VsR*V z>}zZZuX{Va@?Xp!K0UL8uMfZe_VMwz|F^xbfwJqm%DW>ej%;k9gfz<}t~*IgkW)w2 z$dauD7c{??l94rfGm;fZc^=I?$rF!e#&6!pGIdxcvGZ3qO(0~Y)S$GbEH}&0ki}1+ zARJh>zwXYb3m|57DPvMdhOe0m*y$)?T#c`neNV2D`RBl zKv#MXuJu;ddevfQzTFcH+9(L@my+GmT|fZ$SGQ*l-gFbLMyB+`(!IJuH+8zUA*sEz zd}l3YaTb-KSRuqH_bq`!7%kF?htkZLCP;PHhy zk$Ig;jm)iRiJq>KOEIk(HSs1DAl@h1D{RnBqpc3c0xeFi)2y{x(v8Jb zf`AZ`vaC7BI*YS++0d8PfcN9@`=i@tv0-By|9w5GMiBg>0DC}*?=G@t0sQb?c@@mKgQce>UDVyOPnhSN|D4bM%AOyD%_ z>rd%RqqJ5q(p|oD2_lf`tnpa%03ViV(H(4^koIVAc9CQ8{v`;H2y3cMGZv&lL6Kpd zDR*j7oZE*+WtOqpkEPP2V$PB!_2R;@Yv;QdEV}fq-nBZ{TZE`7`mjL+soWbto)s$? zmc;69(`9chVemPzu*%Ja$tq0@!LK7SKk!?AE_=y`_{t)T!esn7Z6#rtq3iJtj@E<@ zv}R}o7mimto%xIml4$p>EefPM#sRg1ZzxqoEMRz1-LP_eXUZrVh`QfFmQJsSvb~PEfWbJYi zS3d8<1wDDD;X9Q_un!CS2so7rXI*gpMr}9Eie>z4$fn)#snD6JFq_z;nQ#Cq%Jm$0 zH7jTwY-{`Wk9n4f@swr%#3aYycnbw~ok=##hyjTo;bK}Tkk*m5VBs~v42EI5Jl|2c z6xupE6u`}sj2!_w;0uWb6DIw_H0*+tP=>wkGR7N@tdbK1GQ!#-sgr49arw@a zgM7~P(p<0olOp>AT0y%dj za;khcYe*6__ev^0w=2E&K-FUoo5&rOQ6(+C9PH$h7KGl~oqNmFCb|FMcwm0-7(uv6 z*@KBiCwNV}60MS|XdNw8g-T42X%k7lJDcPOgciXG@zh!|A=Vz^mi;WbCZ0isc-On8 z1xvSi!(~`}vT+O-?Pi4vO(%3}ogU{@D`+TuQADNa0+V&3_I$e84>dwC#LCv?6l1EQ zH*n&G#hcpmZgrfgI3^EFk7U)s^=D&5c8Jp)_sxjGn@UA5GGmt3oUu^RS@?|@+R7ns zCD#e1(0)?_hxFij&d4mNMG+w8BjZ`)IY78*q?On7vjT-gvn3fUQ%9=pwJWOQ%(|dTu0^|^gtIXj6UmX;wfR)i0S-e4oUte; z3L*XQiYt>3prk_3yBs+UV*q@-HFn7`j5yLxr$FsjKA%#YBEbU|&j{ zBR}{(gV?Oow_%gH!aTcH&bk{Ge|2+f9mOl;v09C2g1*tB1yiOYPj^v!6)=0X2n z0c7{iI#}{8_NFP#K|35>Y{G$lN}WNatRv=i&MG5A;4)_8dV^$l?d;WSXNW~r7(QAX zxsofku{G9I>WbPaqLL};5W~V0Js4~nogTT*Ou>x1kM=uuj48$k+Yl!!YX>%bN5rDI z7$LMlzRQ6m&4Lt$Z`^1tMWOOA3?sEi+klY?YEpq?6vBN?rth-l;Fk5om?4aQgY0Fs zhKj69Io?f=xbWp6B$yDpvaYs6~2g#s$-d@8yLQ zu%LpJ8+ytEaaL%S4|}wAH1=r1%`FTw05PbA5uW22hxkmyJjN3?5yv`j7CI_c1*EC= zR+FI$)tV<6HXUh$d#G%je=vM!{+T&iSiec*5g6P{e5{7)$2PB1{yofzZRG zI*hVlpHMhpPft!cN~WEY6-OJc6|{ZgpMw~Ev#kmZjF(7UbJNy9<&(E^=NWHNxy0#k zk^~Sd&tWl$YB6j9M}g1)rwOeYN7;u!Xvm|XR*TQ_ywmew(}Lj2(U>}(_ZHRkiY|Ag zw==0_=shtzvchv|hH;vE+y^X#;*;Z;ZSr`=*jFr_zU5<9x9E9D7Y(9i# z{$<-`;Ykl@1QX!FXWiOSXwJbPvQB!DbZA+=JN37fJ_MT?6+g&R{C#>JZ73qw%F7LI z6LLYw7n)KvZkxz*fv(V+&}y0BRz+SFYm-e$#-U-BStlE2ByekgL0@ebRD#(rq|Nlo z>|AH~6yB^PKH*&6XyNkArcGwnb=?3y$JTy+(XIqH{zq>F{xn9X<^m0ofFz#RS_4GDJucU6ervY2%uY2dU=fGup0f>U*knSJilXo`9g{8_SzEnJ+rl)e=`B%~6!6nN?g7lr zOoHcg|LY9Iqu21(L>IHPWb)ip94E*lFyeHnLDGQ3d?B1&gK&BUAsv+!y;~jpbXQ=4 zn;1n-Z5-aNFmg2BR0cI^dM_EoakgOEJ*WjAR=}!SBB?YL)Iw^?OteRZ^TRc*rq@UZ zEcOL#0Fha-mTgmx+zzuw*$$jak#l;FgU?`Crz zU+2|~MBSuS@v>_+sU?zI###tXscR_1tEB?N2$56S5TFyihV}5gc50GL<0a{tKGa~^ z6bs!-?x;~YWd~O|b;wl^fvL{YyxL-TTQaw@idP)T(-ClYVVP^VH+7fSR?=n*=CWuk z>mrEvI(WTgwc+jf^72Z|&G$Z}Ff6nMyaZjtmJ}_PiN-p|XR*h{UI2kv=T9RgXuQ_Z z7ipdvm>q+Ox6)l8P0Dr71sXs!z5o?zF-J;=m6%faUbYP}BUcMG7pg5>YG1F~uF(Z!Z z5s*TPTxc!!6uCanN6$)YagBuR6qPfH($|kgb{tZyTS-l9FYKPx=Mbj;V=Bnh^3;axL>s`f+aS z=(N8f1UVw&_}bsMi}y3tc%suz=Lsj2ayv-EO{litTuO+=gQLoi5!k#NVhVgNSa7Bg z6{Be2r&^=*2r(aBIT(bVz)5N>FY!zP3WvqXQEz2NeEP(u_;-u()H3NOe98vt;FKR4 zR-RZd2)P~~<8qPp_;^CTx3gsgc77~#M81)AF0Zu|XDRm=dk}};1pc-C(b51ESMQ8k zcdDrB;I55~=ny)jd+LQv6Rro_!w06vebTxcOIV>lfTbusDO4n*Y$FO4_)ZZ;O`!SZ zliR~L(;0X6tx*V6_wQCBPRgA;_(_UoZzWxv)E;_LYY7IMqQJDU=0X$3a9)C6n62Nh z1n6+>!?J!UnrLG2grT4Nr`Q{90#->e2wD*7 z+4S|Qp}ur96-CrU3^{vOE!QN#Fa)thEL4G$sIwY?d=bk6ZoBY}0Du=bVx-X_J4vbF z5let}1IN3vgr!kHu^Zp1hf7Tbwah2Z>|DqVXk&EJlXTV{K=Cog8cODzM&B; zC=Jr1^^B}Al1IiP2K$mNBj4MmR7J~hqd>o0s7%$)x~&N^dfiAuiPB0%>FSw*LpXwG zd^gUX2=wqz!Tc;M0a9JnUgL9NKV$?UK?^q{(EkyNVUXhJ$CnjfpHVoV9Sm33fLn8@xgSA12UiY&HU>hGSl|uTuFHkT!w-8vvYrF^ZE?<;LOR0_lTE_8QyP$-E>H6d&=~Ac) z?*X(tQj6;gu1J9mo@8ZU6jj}C1_ZWn721Ty9HuE^QnE$C$)4P4*0$=GNfYD=#a^hobO?tH^!$=E8d7>DrPgxL^GA@!y?1BQZ#2G<~j!(9GJl)`9% zovre%#*x4assbJK6s2E8zg8hOBNr9gY}^pcx;)GmjEr@Ghe>)CSqb;L0ts0B-2GIj zN{p0+Kwt^zwuR%ph+zFlgg$i!PB4*cP*`JWswmBCg0IiGA`kWjwwRZSSGMq+UAPT8 zFa$pTlzGRf>loa=B?D-Nqml%zn?6|6P`%=(cdBlPb>O4b+?On* zFu#V^w-(2mnILI65>3A@J6KrnP<6ga$lA*F5sVsTH`lCN9PnIdj?m-9xg&kb8p5Vi zBpn6&)Cpv_pC43{qXR)875dmk;1*1<>Z2-Doo!=IeA77glGXC+FowC8=r9-Mm3c4W9& z%N^YU(;8uauE&YG=#^E7*;i;iv;a;s`;4Jpa?@CXq1Ksd;+3`)Ctas$33A!4@u~PQ532Hy2bVDKS7^)ITW(YBtnh47D{Riy4?wK;pyO)l7Gy$oB%-SKvN|<1rO1XwAIQ4&!FpDCd7clE zjZM)dBicOWBo<;|`X?7$X0=rhQ!{mj!^nr{vMSEe? z(@@GSh6;!UV4|(oZc+^e5Sk-Ox5`#du1JcyiLg=y`6wFCnaTJTBSePDel4E-*A&ihTtK<(e1o?tK77C(MQ1kMA zo$K=zFNLy3nHWpbUcI$BZoUc~GICZ{R*hs+q=u$oYFeftb!!uESUgdqg4YxQS>_Zu z;=Wlt5iiW6<8()uCBpF{&u5loKoq*RC=1px7391&%hMi?X=vXRa$^@P>wW}#@todG zDGg$N^a~gy%k1ugyNdJKfTgjI4kyLYb%2&?3?16H#%W`=4T4$TuPG?q`ewc10~TD#j76uyvRSWH`WD4nCGudbk_qf&PqT*dG6FWFsIGoau}~xS z5Er}bqH!7BBM_7nGRWC@g+{_|%P@c5jSU4@XPb_BF+70`Nv8T_pKoLmG%Q9iyFWSm zlWb`)z!6?6v)x%7rW6ZSae+xy>! zQ2eIOxJIj0Ox_*72~Eb2 zh%q+Q^(|NHsDM7vwvV@OotDBrH;T;=J)5j!IkV^uV=|IvDVrg=%cVw|zJ; z-8KqPp%kou1w&PhY6ABga9GC4W!u|H?Qxmhn!!q4Whc7oIE~|aVI74&#kdr)#!jxx zXS!lgpoWDEuh|778?;Vje!dn4DGY3ujeQ?Mn9(Ke*rZI#Ta9(!L(GkL^V#k!-ho)Z z`^d{b zYDwyw?m`JdPQ!lCdSln;XfQjvI8S1C6qY9zc12c(bi@dpqu`qJAA=%(8_XwcOvoI4DD7;1&%ruza zkd8cW!ZhAY9J?#6u7(q)0{1|VtNE8!VTu7MRf;4@Fq&Sp)4I&>NU>=^`^Z<|yu0}s zmeefsApVIPaLeKBY||L@A_P2rIuV)z^>3IY@ z+bAF_C#CR0aYX@?x@$G3u{lY8Q8PyFyk6Cm0P<}MO5ky(h=pTqc0FbR)@YNejUY^E zG{l6#r|c+`3=_-6qHs~JeA%)8V39-0%y2U8Ke&6 zk-NqG0a`Vi7qV+kk|$H4wpyq!=Co{`HO1y)FHj!FL}Virl!Vy%tfyuWVuxce1(X>I z`wCTSM<`dulCih9k6)8CLrQY@m1ZQZvb3tuG(EZw)VCWAXtrsEIQbyFq1kQY8#i_2 zH;cQ=WdMw$Zg8gYr{F;toc5sy>TxcIF%fp#69f6sj(y3!GQ;!p-PK41T@+6Am3kr+ zi<`oVcF$-NuTob0B9qv?oPVUR?`>|!9*X5-jV2Si{16ZOqO&GvzWTbLTDVDzDBnPN z;gp~QwCE3qV^Tyq2^-muD-Byys$)?gz5~}RLu1(c?56=C4vefDQEBxe%!9S@LRH?e zYL=bDExzg-lN0}XdgQfi^-18-)}i(RTwE=1#_8eBXs{gOoN$nJOG0OO2SU>R+Ek0h zu}0Iw2c{o!&cr^|D8CB=+I)ZL6IVL)YNX&TCfRaHkxzD^Y{592}BE zRaq2Mr=KwgBsa$|HKgZ9U>WTsm78!bAp+qSL^IVCYzv`WbK_84Lcst(YT|_ zK9#|%$W#&l;9SdLjFAqX*Ue~a)3c}2QQgc0)R2RsNR~Ga8A4VmQ!(F(t1FDe&d~{R ztBm4dq}U0jN~G{24H?W=w9cFnpVJW)97QyJ)6O7SLpb?xZ4qa|rxX0ifWidc^3m9G z3avwc3{Fsxj@CXVX{g%p*}qE|+9EaMm>S$UhI`BQ?Vo_;rk0bEK*`FK2FRYow8>t{ z=bEgKcC2k|xaBA%#baWWjau5PsZLRg4a5e?L?@}}dy$Fzyln@o&&4= zig#kj1n}PBZDK=1#1WkVt^oXoZjgFeBt~}2hr^6;zea0ZPS}|~*cu$-eYlFe3K4Z+W-3?+33`?R zFv&K@ISi@&Y618smUJ{U3Iy>F?`brqs)XX~CFZxx-K+9u`S(z-dE zdW}UJ?Eu3kq3VL0EVm~0hA*bW3&kX{I*_@@)cUZAI}_ufd#+Ekq*iJjGE(wYByb$> zc1Lkkb29yemza~sf(tLb$}oEL{ZG#g+eU0)A0DPbC@(>UopnvFK<6~q6KOPXR( z(}+rxWS{C~Hr_C-_8*(+&MvJU$9X2OxP{Ip+D1J$+f`*^;EyJ5Xv;dojhrG)EaWR6 zMMsjRt92qagi=%B!``SbLM5tG*Wq;7IO`hB6YGpNtP(97TF(H%CmqXc-MNn5j-cqP zN*kNR_@Bmpv9L&gZ_S=jQZvnC^!gEIrs2?{;ee~{wJ^1&4m2}PA%-F>V0ZAU-f$2L z4D+uWxbC`=Zv|p9vi``ypx%;tU~h|wZiq84Gw|xQD21Z*WL{x%DrW6E9hJs1<9P6p zkR#3r5&;YWqg*g;vLqXsIV6ORvS_Vs#EQVSjXkvGc&(HwNEPZhwx(86%H$56(Q&LW z9r5v%Zz*^Y5zZyTrB$Sd)Iq*ALfHr>WtWP{6^8J6pW27USv@h@>n>Iu-REOyk`EzW zjU!&UWdoN>VaJB!#ZlDp!WpFmB%RY_$`DK)GIW-Sp;~8|E8{?#%#nr>9o!jK>RAsd zjC=Fqel8zB(O&dsW)je?gA&5XCUOOZ?u{EZ9hioC2jFznwbkM7G0>7aU41shs=iro zcJ3?)sl=Jt#My+io?th2h%?aa4$Wsu;R_!lTg4siZcwcn&IL1x>-!1jWgLL(?iZ-Os-NOwcq zIRr~|$Q1L7bQJmzZ@aW$+PMu@7Z6A%aiMxne6n%NRq}+CRNc3>gv-}#xI%ZUa-~UB z@{S69G&jsfbF&>+PR|@hC!U6tsQZ3cZ%quXllM;uiGre){b9_3dsQh;MxY@jooGw0 z&Bn%zAIua^I#|q-TZUWtG{BnW&ygl`qEa905nuzkY9bZygP$QRWpFpi?}w~bVRllS3Y1wC<(wr-~cDWjyP;Yd&5m^GarS4^r=!YIraCCf+Ofqx;oNj0kRV=6(g}@oeAPd3 z@JgL&<4stMH>-xF>M7WXzIiXvlT1iHe zkAd+3^fU}Ydw}X#pN!lSs3Pb#X#JX1VjY==`OGH6+8yNZ$&tucN!ti*izQ7L6g3QT zQP>XaeaH!dQOUShEOL8C;cEgW9?c6#DmXs-M^V@t##LefobN^Ld2M@4->F2p9q9|F zXi_2LiZ<}D`FqL4JhR{C0mnucyF7vsM^-{H2?9wsj2(m>KOE+e&QPkc8peB}2ka2z zGnq}0f+pq@yo|tBl50aX_@ePu2D?gMW#leTJY+@ThHb&z>er=J6+q>W(08vg>mn~< z8BT!Yx%uGukz$gq3tXy_N|cG2Ec&KNAGu&W>JT6Bg03>Oyq~RNXo0XV zV7K;K)LBL;%U~se+Z@OB1RTr+@ld-`FK+1?P@nx-D z|GHn-3uHN)wCn4>`g=E02_!tr$exgHdNbnFgp8BF>!BuU7Ez=&EbV% zS5xXlR^-u%BUk}hxzEa!R~Jw%&YIZkAj>kY8-lFqd@=NwQ_L8vTrUCpXGBz*ZNSWk zr=H=PH|2`Nqs6czBYp={>f&`UXqHUhT#c&WXcqgl%#dte4F!oJ@B7lKzRFQaOV#)Y zqOBoiGXkj}Zozlzlf$pW74ph8Y9Kcn5nP5T7S^pN6R*|F_I5O_DMo?xmV;fgGT?p> z#YxP+Y&W`Dl`Njxz_Gwk7&L}w3Qb_+o;)W1(=}a(s2KowkE&b z$yz(_eh>oHUtL*7GH(Bpk=!J_EH`q_EX>`GVLq(0;2Kdz%KK@Op91)@DENnKRN!EZ%@uw)c>~;AKN>KdSiQ^uXu=j#rUlu zKIUiq*}{ZHc%8nxv|R5uqX+ZE`Ue}*Jq~)Z{+O;VD^^}peqGy8BZ{u5-mO6oH=l50BCb+^!_YG`#?AJfO1)u`& zd4!wzEYYX0KW<$o{WTiz`qA1ki1^sfbA{`4jr<v)^Q=Q<+n{u(US6U&S7r_ZyVm}h03JbTVk|6s@y%i4AU@sGV$`7g?*@y2?6 zlK9DaJJL(*Zl>j~^K45jZ{Jz+DDU>mg5uqb!!v~q*6@fpUPzg-pR~+UwopwK<1aO5q5v=0?$;%4zoAIsj0a9xL4+E|9w zxplIt?w3579!&qhI`K$aoo-0a_}kWr->mU{^oj9oQ=Q%qd<*Qd16B11V1zTw=TZFF zW`;N2TvfNiAN8!k5S{F|k|(X4cbz3)>LZsm>RRTTM}0Fg9+$e-;H>k5Qf>*4II+)S zA3Yne!LyXvq|1#4*GRrB%ND<0c^^J#V@c-Uh`;h!KfND8zV%>0;TgfUPCc`H&$Pb3 zjeKK&huW|L0z^4$lG&+mg?>Pr$XA3j{F5n6ox|{_5q^=BwfVI)SSdSL6%D5M4n#wTv&jJ2GLmh_OR7-J><1%sMQQDkfj{Oq7^0IMnB~dvBy2r=ZUn5Z zUksnK!S;dfRi}zUdts|0O6oyk*CRuWq@@(mr|^>kVg1`+Hliignieki1(TkFLQa_JLdS z+782f$bW3Jg|C3Wum@5))@Si7pXnbuM|mFsd{FcGT-NGg8CDLw;(vO$s=kKbKs8OL z8o>}*t^R6thQ2HDdmfgHHqT%AviYxGeMj}xt6%)p>I|c=!taF{v{H4em%eO3;e>rB zewSBwR2O;Pi$aQP0L3xNO@$LNK3t&s8T9QcjAYVENasjT94nxs=Mh7J>QAnK-G%yO9Z~U=?pyz2S4f4Mbx__ z%M_jWig$+2`!$x%gBojjxa#xhxS&DjkMYx1(D#@E=zIaci>lQhy655Q4E>KQh|U-B z(>(M&p#VC6;Q;!+qyRc!b^v`(DuB*c96;Yw3ZV1X4xsO81q+o}LM&vyWQFHiuT7dn8x%N0Q9MGm0v3I))) z(gE}hDuB*Q9YEhz3ZV0H2hg`o0d!vB0Q#;`0G;g)pbty#q!pc=4xn$B0_a@t0Q&YQ zfX=HOK;MlDp!3}hpl`1N=!`gkzA**RnQ#Do`xHRuH4dO}QUP>sb^v`-3ZT<+0DUtG zpmW3l^c__Io$qx3eXmylo$q%5eYYxr&M^njH?IIX#~nc5Z3>|C1_#i$r~o=k4xn#E z0d%?!ps%L@I;R{!-)RNV`2h#eceeuQ{GbEqyGH?Z-sAxK?o|Mtw>W^lw<&d;IddV639~00KI$sp; zAv#|Z?=+oCT6l=gCT%R88&Yg)_!|(s1WUp&0iO@&h3dT$&P&z%3OLuO*J$;Q{Z;iS zet{bvL@^(blBf0pGJ)SFH1?h|UHBf7v{SzT=VA4J63#_Z=oD+cLcOeYn|iG(bDMc^ zJ;rfUFJSl$OIudl+>n50;dPtyTkx(IjKBLku6Wr%=Ke&Tf$H3n66(z~b(8l}6#vz$ z*OH0fkRVi%p`ljyW~dj=wW^lw<&(~e4Gi^{bso+4yTG~7zX~f}(#hwQa1-?xI3=(BT_~gR`*_ zp&(gSJL^YcxUMFC0yCz zjQK4|Fh%Dp;+>(x7jtD=#$5bb8Qti7TD*tp@P*KNX%8{&ha~L`9ZQ=TDVN*Id>G|FjNfyhKZd^J482VFZIqqsuKMcLr&Oc7Yyd!`mbnEFJPX$BGb`8o zmE_DMdS-f_L6oZ<14#+h1vK@w~xdp=C6iA%211_Yq zE+nmU)cRIyqFO<;3&HnUCGdr`?b%IB&GbL;10CpGGASk{9lmHR^;;vOT?oz2nb!O5 z+0X>vyoH^+3xl+meXuSdcaFFFJec2mBxnEIMeghse#3<7LTGkw0%c-)xz8#?hmO^; z04v+&Q>eAScT~NQ9tdeKgbr?sZRTLR^QzVAr6q;At7L~8RKXIpWT6WhqRvB(1LiI@ zr82N`OH4eUfdeMzQSCWdHkkKK*2xCEbgDn+g8|2zD`ohZqI0)+XXyMOejBURt!LTa%Su^_;opq6lwwIXRw%^+ z|Bp&3t0DTofFF>h^I>ldeZQ;#Iv;TWeIHc-orfJj-^Ua{=i?5b?>7`c=QkZd-zOA6 z=aUYg?^6n(^Jxdr_qz(9^BD)w_gMwd`J4mj`+Wt_dBg$q{h5gy&D&$(+8D^fiewWGi39}szZE}3(YaN; zhv{%H8D7pmdZ%Y&S83WqbTmPn#O4I8T-cFZSo5lgtMF&8uNzkjU0)kHL$iyjR-epm z%xN!VXeb8Trj?&>OdGvccGVsDfdX`X?w|}5bhu-#l&+li5Yt+Myi}1Bv~pp$#KJNK za@y&f_DD>d*LE`L9q*!>;vS530lAQM`md2y!YJ>y5UG+IlFN0r3Wh1uHZ>%qb#E@o z*9!-#;B^FY!a$F6cypf6q;q(4o>2HXjIcmOwmmb)KNJQEG%K1+8fVQK3!N_+&sF}j z(2o@RUlTPkMd!2PouR|MvZcnzX%8{2CCIJG30g5hlXN``sI&e*!4FiTa|w!sx}d`? zxh3j3?IEVM1R3?*Y0m;?&uU@B_1p17GwFP{cxUKv*KXO&oc0jYT7tg|&3smA_Wwy? z?B4_Ufi>uK#XCcXo1aV8$Y~ETttI#e))1{>3{%p~V!xS3K{q2V#;dZ6pSbGcr}G=J zfOmKk8Det--LfTPybC|@6rCT&Pl-a`dlW$Dy$+!7eF~uSV-BG20R_Y(Dxe(p!1s!pzjk3pz~=5SY0fVxiLX{)fsx2V`Fvk*UwmbwAd2! z8-Oq4hbTHP!ayEuH$tBh=pi~=1Ug0M1>!wINAm!zPtMa~9)&v5pF|X+x#mUpukpiJ zMrVT*IZfvh@y^h>RAcFAQEtaQjXcj2DE{cfFBV=dQB!o5#5+TW-zk(V&)B;)0Uag? zblHL6t2K&_CiGTJB5Df1i?CR>TD|BD{hGv?l;ynxKa@%5L*jL#n9z4g)FC=QEZ!+P z4~h2(9nFJQ>XY-dm`9XXEe?^R!*4lC8gobZCj2&3Lucr|96wlybY797>1r9?6qa)fejpv4 zQ{tVW!>>1LH6-GMt~-yJls6_Qi}lJy8})gHrftq1YCUSP=TWOO4bwJ_Vjzk>H4K}q zQSanHrJUWTbXX(ZZp+pKX)m~n$sT2X=h^EOx8`v{URys5S^Ebcbd*s_;L3j z(Wd{O3dqs^60rD+B1C7eK#$NlSSHMk2@K)=QevLddOd-z>oG)Axw)h!=z6s_rF~{4 z{omrh9){1bT<6(ymU|&YF0_!-u90Bpk3daFWmau0_xu^@JQ@~=>#b=tsseN!1SplW zA_!A-?iTN1I`6^{n&a*>^!&cY)8Xf5W$)xN4zUbNkSTI6V&8!u`hgA$gv$6aI6tAW zbRN{$55f5bjivLj#(o0Mr!wY)N(WhSb z!+>xj_~04(*a~ZnQo$Lmo{^1b#;t<174!VQVith}Z2qN$OECYxjDBJ%tdK6tpea{J z!ZHJHMHQFh2L@a{L(fa_3+iqkf-HJN_3jy~0$hXE>eEW_fyz1a^@#eA zl;W1paRlEdQMWt<=Y#6CGL{g$Rj4!d4mj^ruR+ZX%BN-yoMZDZO4o-Z&t$aNqFh%{ zW%uw4*-t21bUuS0UZM}2q303&Xd+$Iu*Kf$NbX*BH-fweY32@MX2|mfRvhHdTN5b(X-h z*z_5ww$QUzLu4?9Pdgbd!&kleVSaw!{6x|#14z&Ep4@9`2C8e}{i_t-kjlH1A0x{% zQ1Nq9qbFO)`as1&0fXLTU<>1{J}Lk5kpEu*{_QDj<=+_KZv`Aw8H9sJ%ZF!>Axg`S zsArH4%V+%&pAQj`9-|k}0@O$8b2Nn6ex3~&;rE0v;XETS!tW1Z!g*$3gg;OVU*-63 ztbPdz83v7jzqW5{%3W2LRln{2+}}KNP@jS7Gw}R7g!jNT`h7CMi4V^r)Muc244%&; z+=FZB_u-pteYF31KB0x5gy#UluZL^t-xuI4pPz&4gBA=_)qg^G5D}LC;{nd}{776M zt&isy#xTzCdpjH&$-|;OZKjVS|(3t_k5cAw9#fKX(N9zX6=#VdS&^zDEng-^;3>0o)$r zSDvM)&p`E`QSh%Kd^sYleV++%wvT5l>ZA1K*@_k>zE9S|;DtejZ>)YE^)nptf1l%Y zS@kLRXMW__jQR{z{~hobk7>y8yC#L%V%EQ>7Jl5zysY{o_vi9?rlUTZe?ElSUY_k3 z;Sbfqr$hVx6y-C#2^mO#93WcVjWDaV{$NZ9%bQV0|NR4vTUEMxi`_vJ9t^J7bWw7eboa3zMH z#K>ylUBI7V$~(sc;x~0Pfm8Ul5dI#dXP6_Gr*|4%RvmDErWe0B(+5)yz!wmP%s9Q? z72w35AMog-_&glKg!7}F2!ASs3Fjv>5zcR5EKE2zx?7m-y>zk+_d-y&=r}e90E! zy;}Ie8hsvOCV4Ka-rPW+(;@%c0ly33YmnaP^Zo#5QGB7I&p`EFr2mh#^q&lHrsr!C zOaD_y|2qitOeB_1INw_6Gf;g9@IOa*J0c8zg72V*>&n0jR6CbXDTbS_EAxt=zH1*N`o557g!i00F#KO#fDufCDfe;tv%M{42Uu7y8e3xBZ|{+n9( zG7QxCKd5+YsIEjHK0~$e9t{s_nhn)t4L(x~cWUWR*Wf=`gTDviKOfcc?)jk4Payoi z5Z;Rl8GZ=ipNITCkitJlIL7PEDf}?Phag|nKTQ7#hKF-`zl-pf5`Sz3{PPI^67=a+ zDg74^{#lf_Cx!n8;Xg?I%knm2%KCdFxxTFk{~6M6P3f;d7;9032qJD{^-_dMU_M*X zzdI2A6|{$J$nsu|@c5Y6$Pykw_}#$Q$~(mT=^2E(fbgHZHn;B{gwb|_q`vzQ{uSWM z2$ug|gkJ}JaDNK_2ZTS5`d^U34Z6;cs>~6zk~1} zfL^;&{zno1ub{`JDf|S&e-`NVWrY7Z+OsXe|2M)vKAG{kNXPm?fPw#p>QaPHf*wdB z^}P_`H=;i|-m?CeBYe-M+}`a7=k{-?b|YNvg^dO0c}V}y5FW>PVE7(D_<14xs|*Kx z<`Dkbz<)o4@O{AF+V^&ZpK~+Dbd*ng4xn#if4>j#A4mJG|9%Q#dj{e8WrTk^z<&$j zKSBRIo#^{{g#QNge;jF9{+}Z}0Q-~YS~L7K!X4Dlb2S;hEG&_*{Ffp8qsYG_<*!b4 z=6cKBRUG?&Rj;~gzMAXyR(os5k0YYp9^coVXbm60-Gt+~$f?~%)ckV$#NzU?*~Rue z^R;K!PFHiwCs!6bz0UmhJ=gEJt~pWr_`=dcd$!x1y{p~9HNJOM$Gfv9JMH zp6&MbBX>1AyM*iYa03Lg;sywVBU->r*Xqo57EX0W?!vt?A^YfUow?hG=XwjLc$MN( zt8>R%XSHW3P{29~PS353_Lf_xCp$|gdbe%gb^WdzDvR5{G}T=`!5d^4zsKWoAzPPs zRCE~qDvR#iF*Q4Pd#A_9>#LKq3%t;Cc6GJW?X{23E-bEfJMG1VC1$#AcQv=lLXhGI zI^E^=;_~uJwTNDst4Or2(W$*SyUGH0?jf|@TRw4OvC~G@9*fy|Lxrm#=6Z|BhKnC? zJt{APSh=m!on6G$5exIxi8ij~INF`8 ztq`pfD+|kTZkpPEFejL^OG75ck6XVk7qC`mcAl4NB#;Er zjC7;LwaY8LYfsG0y%P7dzH(`Ksq@Ot?6HMc?r!gT<<1>L*WYp7wZ|5guHC-NwXK&z(Zen#HDLZMH1$?ejNV`<@H)dSEb zvnM))P`1!+UUGy|OjQY{!aIsD5r>3X5$$x~x8h%R9LeqoN%H1%vp61F*L%pQw% z5uq3+8VA>UE9m{CPuEeEB%k3uw$MGWJTJYNNMarJnp15Exm;&G3hlMpaiXCWDG`uP zbRfz?@8zZA3nvg7Kh0a3BN3TINHse@YN^oG>-Ai!qT0TC*GaZ~`)aSN?rr81uG=p3 z+0IVgKHNI6eYV%@E*x9y0pINyB~N1HtG3T~j;);l?}00lfA-k1Zs(MTaM$_?=Fo&? z=;Z8N*Yi>HTQcBbUKu|v08YbLf4MD4}M>|9Bz7&sam}RVW!)t@q-M( z&hp37eJ5fV&vdlIHX~iM@h#monq~!#JWPjmUVm5@pBwR`pY{u%A%vFHD}M>&Z#=mY zi9cr$`=r0R(=>!}s%~X7{3G8tP~CGGefk)zjiC7n`L+eLH`rDNBQEA=x)-kuRQq6) z*<-M~5n+D9=1vV%ujpB-410Sm-M{+cK=sA%=uh{fDV@>f&aE4&*~fAX^zrxp4Zw2y{lEGXk9v=!`&T1Ue(o8G+6SbVi^v0-X`)j6i1uIwQ~-fzAkYMxZkS zoe}7aKxYIxBhVRv&IoiypfduU5$KFSX9PMU&>4Zw2y{lEGXk9v=!`&T1Ue(o8G+6S zbVi^v0-X`)j6i1u%n0D`@}o6HLQI(O&977bg*N|+pZ{c=zjeKLcI(66+ipdlYD16P z_K)1%CW2chTG6LLZ=G1$S`f9fCbU^;;M+G%oY2;Fv8q`mrR@a;&p@lRePLl`{|Sel z?+!`mo{16Nwtb(Jvwe-NT9vjp)$VRfg=G+fxC!OhB^ z^*|%S;6CfjxA!g?WsQAvugHP7V6>#T?G#5^(6(%`b)9|i#rnW?5%>;X7cH@} zta}6fiw~Wf4hx7v-1gQdMN8_#S8Ok6E4C34yZ73eKhyo5aHz`& zTEm{-*|oO!+N%ru?$y?%MF-6}YmW}<3W>sY>)LZ3o6vT8k-`;%SJf}JP!SAd6(73a z8LiY-E9JyJ)=%QDbE2DN->XJaXxv*9zLo z6ld-F$8?=z3lGTsfaqG{*-JsRl{TZTHHbia)b{&SvLBI$OWP|kTXv^TXq)Zyuej~k zP9KWf-gS8g9mK_LT_+$`q2jjgIVgkoOx!y0A0HI7&5{^vXoYwBYR5Q#Z1<3Dok$?Q7c-D^`&+q)&hr{YG_3EsCG9MCbgUvEp0uTUpw)1 zXph1rS}xmeRf_)Bb=IxHL80GUw^}1q{qwg2+bOSo__FQ6l4$#-mC@D|tS&2^85k|09J$}fwpcR+u`7z32g_{ zREz%A62r~Gll&NRs`GXX#E75e=tWC_>d7G=9WH9Z$}`!)QudfeQPB2QR}V)4@~^n< z$BtHHe-z{R)EsyW4=x#4vVCG|yD0s9Z)AT?{G^iY)SL|&*W3O0WtuFOqhx6fKszae z&e_n3ichd~^zIBJ z%3OPOt7xlbk=A`pee&?bp^~JSR!ZCFUf{)OmJz$EVIIGKb>Ph8K?!epkzH;`7gt+n z#u?Jm_W6d*J0P_UX+c|~(e^z^cO7h6o$#7x`(i^r(CAkj#%W^fcndv~q|d*Dmf=M4NW&IJ;L7ecx*RZf9tJlIT^med4>-*6E@B8RDq}>$`5B z*c0n-Qp<_01=fkMN>4gshcO3hj~lasw$0^UZ0x~f-+br8U~3>PYiRHk6K@cBSGV>f zUS~W{-WNL9RIBrD`@~>L=-_3w+b0%(EQnU7TO<;LqFys z|Kq2XJXSKQWUDiSMwbk)-g!{0KBHw<^)C)IC6%^XNwg%|4mG{=VWibQt!uB7gq4N$ z=|Zer=V7mjf9Y^=<4cE=HkP(t7a6ioWTli`TVidpH<8W-4c)V;JO0qob<~YNSUFkg zzZU7fkG3{Sc}H7us_liIwO!R|t&x&HYV^p?1%-;vAUD`Vr+2a*a4+Y1T{KTZzBZBE>`S%4ELY!?nk`OMhZRvlQXt`$tDMmxz;lzHOZ-1|aXs zFg_xkiv?X2J}8?mMZBZ1R)PWapJL;G_?=w@$bh4v2= z_RZTThV~B@mMrr{Se7G2oGeF)$yha`B{Gj%$63(b=VkgEAH;8e^2632h!*DM`t9M8 zt4g|;^kH7k0H(mkiRL=}l(AkUbjZnqwxjjPiV`t6z2)Mp`Yia9EAXQe!~2_p z39aK2q9x^6fsvWc%KWIaGKcqH!FAQqwl;?MUn3r!_??pQ{*5RF=<887fxd}!|DRN@ z-hY~X>%S_4kX&V*R0#{EeOth7Z@S>WNG%w)=awDMW3(;VDo}WS@E~hO)3V61cZAdEfH~t_qxASeh;s5M~!q>}qwutoI$OXZn+1a|QcD}RDHQIOJS@6Z}?WAmxsDS31U=4?cqhm9|G+$<>7?g5Lyw z0Q>@je}bb=ex<=r1HTNk9pH&4jMGs@%SPV`NuQKha=j0hBqK+Q59xcbN!Sf51%l7d{9=B(}ET%-0VG4PFp>KZah!;6=c@1H25E*Ms)jlF&BE z;IXf_Loe0f!CqTB^wJF;?6vg)uaC>idn|bHF0>q&+r22XPuH_Q4uwvrRC_Vw)#EtP zDmNlgd^i{J9i{eecO=c&E-|zRmSmL#vWpM>Xff6#oK;B&vm>}ijc))4_h6&G#8yFD zaJ&~|wzWFgvc7?>e&v2!9dUKE#dBfFK<>}AGDl0>e{J+EI5@I*`k|beJb7nU7q&Oy z-XZnLPzSD%+;9hOQ#N%|$Zbot9Sg020J(SWPqq6Eio9uM2aebW_-q35KpG@N2S?t@ zA8qxwj|AKGDW7**$Kw3#xHz+8;>>Q3g%i3laAwEEIlZl^TWp*glKi09se}ii2ap? z))`m0EiZa2n9XMi8>MFbo>YTcu_tO!ReP`=rZ;6l8*5op9a~)wJIcqLJ<(P%WBdNp zp&2a&C)4X$az(_GCF>e#d%_+_`%zLrNh2g7Vx|W1+#|Jy?@7(2B$`G3Rp8$%`2+Su zz)6K>pFLuK?Re{S>!hA<1*O(Ch3T*z9h$bs0y^p>(*sTysbW1WL{3(Bo_Hn{4d4>E z@}H=k$g!W$_M`r4c5xPd=;Z1@j2xJ7NXUD|?39^@v-2~nf4uXV1Hobod6ZtH?$xIs zN|Koz%--F0WJV_Lv_*?G>eBWv9;}_nbsH;x(KBr+xW`Ou-B+3jY>Ljro#cPF9ktU6 zcI|Ik5ZEgQ?{51=)AY8=0JH;LtEacs+HBXiI;*`YG@)Hom9&e>?v)vpU9ma__N3!I zw5KapyByT5l&rl=T5bD;0$7mkPN)8=G*y$f_oD8g=8&mFJpuIROb#jybq%g z_2}I81+#No^Fu{#t@Zs2PAEF-&=2bW`_R$)5d}r1`Dj zFj@uCNaf6rpAIMd+C^RI;fTkbwZ`PhQ|Yx3(Z_i!xAidY+HDxdGY|O9C>qfEk2%5C zf0QQ|rMEVf_G>9 zF4kV_%+L2m5y4zUQsc=0N)_c3DkUB#Dz&)B%6~{}^>W7oV|MaGErHfr+d?#>gD%)( zzj^VF)ImM=oc36AoQ7f?4*|feooM4@dK# zLCnpZ2)0ab+nu(%?R{IcK7zTbWEoC=*fKvv>kTm^0?%$OWO)Rmsx98SGXM-5j-zcu zyH87Ldn!&(?e?W^yFS%-?ksJ86Wm8^NHKfbg&3UCVwbEu25r%yT6;I1TvfWc?8iDK z245p({+UQmKaQ@8bMfxBG4>$06>&~$+YRmz;;e+!!PyHqMNtpDH`!^(I2F-k(5hGQ z`!w$0K5gOX2jXP=x80CK_MVD;T~W?ME-ewrX(6hCv>3HuPIbDTxsuMU7CD%?!NCO& zVdf_6-F;wEdSGPm@Ad)F+AkOwx$E!k2jcgUt9jPQC4$`4_NLN|mUALJ=Vr9r8WAVo z(}MH2)$O<4kmd1vh$OuC9NcqVKEoQ0r`xSP_C0;zmt|J|uD`dortW*{z%QJ7!afm= z0C7{wyL&TAY+3shHLh#|`Q+`5A?%GEdE76_OMSSwFZyt4Jf3hQ6(C2&9^=$Fy)9kt zuxg#d`ac7w+ajEPohN%7N1RN2=T_Rpx_T%J`OH%b*VPnNoxYn=5X2S1<;Mn{hML98 zkLgR}!a4<4yocZP#{YN)t@kYa?sq9ZiC7*GB11COTOb%0ge+i1_Jd)k2d-Mn236$! zfK?Iv4eQrScCd)`TUd_-pNFZy7S@x3_-H`{?z|NBQ~{GmQ3(YH<30Q;CEG@zYNrMs zd>&=+85;R%E~+9pkM$>54+h6k^=Z~4L54H%9P3FUd^L#|HsU?b3Vd)7Wds-A=b%Sc zB!@O4Z-8Nocq`D)IsuHx2)v2N2E1F5pQ0Lwd;$A*})^|~eE-NWH%1&6-6sEFfS?og6t*i$u3Po}miIMFELiA;m<|g<_A%??XAs>Ww z+UuZFBjbUhL8PXtvTGFOqY!kn%B>OItg=v&D8PSdCxf3}Or=K1W(Fda-K-gQf_PVm z?zzyX=mnZRqld2c91eb9)2s}3<08nEEYC+{C3a!sT_p9OPzr>{L z^KFV=As5=AbO@rCP;a)Cm`AO-mNg1x(g`Hav1HQbus&Z7cG3>Cw9b_}Lb{&>p>!W7 zl8Y&vkX|UnEV^mlhFO)xLCye+FKbdhSlO)hyaxOb8jEPp8)bC@tGCN)F{}5>>LgTC z_MnUC!9?C(Zw7*f3HV5x%Gm20Z-NOLz575)7G_{AW_DTc)_u%#Hhe}}6 zlAeQPIX{C|M;AF(ms=OpYzb)5H5u<$fn+ia7wLH*kuJ=VVKPgWxLJ}zBYY*}vYK0H zL((U_f+{aL3}_#S>x%IAxtn_X--RHT%Hl>`LBR#iS>_sTwakr@W`y`1N@sm@mL_M> zvo}D~Inpjit9{e-N&_D2211ijU7PjOxj7use zV}_M)az*5iv@$joLT*7;bNn_k3UWb&Y%E*R_*cjnPqZO>8W;(WkWokmqaEynwxRiW zV-)EDE;+yBF7bJUko!ka{Mqa5C$PlMRzkqn^5b@P0{-aGtl^y5;jJLU_wwx_yovD7`5qSxVb2zk1MI}PuY;Au7R|rGd;G8X`-+7qfyipU0qZ11gSE zY;d1IF%pC*lFTKdP*_Sz$SW^a03}~A|_UX!xbTkZ=>CI9pdU8WTWb)=}}U-KejC;&7=* zN-5q&#Y;hUxtMx)Q}QYsrtKBnSs7`$E@d=~N~X;2FAd_$y38=oi>E7CU7{$Hi}SzRt7 z&cBi9i-uh;r0i3YGeD-l39})4CEhoJ>~58X8$_aL%@jS_!TT@CD-T3Iha_YdpMz#S z24H&f@B|hPg`($l&`uqv5CVSHng!lIC|k{$=v^-v<5y+(zk`;UlZfeci#^?fD}4#n zL-u5N>}D%tShIS33*5nyF1HijvOEasS4sUJLw}-@Tf>NejhZ1k+cDAr(+`0L%vz-v zh-|0gItZRnf-4*Y`O?5hk^YS0Z}sssVbQ13-62zzx|%_O4@K zwBxWx>O^czhJiky;}D(hIP|&(dgp^1vY&zh2?+9x10h7~l)y+xie*f1c4K-&xEBGWkW${Zeyzp1G#8%7H9)rgX8W(Bn#bLD``aMTLwHSxkpRBZAhdgjMvY! znIs`mJ41LOHo0){b=d856Ev(RBt-g|gL!H-Ukh9+c1p6G;JbU8SCVdJXP%TT?`CJJ z*_jqe^B|L%PkOhc6A;|Yeq3FilJr#4a(#JP(zla-nf87Wpzl9}HiGdTNEmw^j9Vp* zU_57ZSq9@_EqT79p`+v@E%|jvi47>odpqF`L*n3KPV|y;iAx2%L7c9d#IF;P z@mUE+k7hc!wY);fBaI?5F7qOhCB;dqvq_w>oKZ944q28`8Ja;8<$GH^Xy#63rLT_o3%jF+>+9-fH^f+ z@JYRlKi1J6_};JREosDMi4BZ;#l?3T#3@=*WlG+Fq*P0mo04`&YP6)*lysXU#Ck1h zG$q3!`H_}fY)I0_LZVisT~aPr{`4|%k@v5tCZP*CG7K$xlis9cf7I$2iE9<>pk`qJ z?olkKk;wQ6>1pv>szTtF0Q2P`H{LBW-cbxlujiE3!;nn_ohi4tr=z6v0{ z?jd|dbLBC@x79N&7lFqm4Pd!J;MM5~JK}XM8DK~pOPtUjdzNA?@*@eMzyP`}Q3EZI zK$FoE1ys?4K^s6PL*0zvVo3w&1Otxj!nd`g#E=+3uk$R$0NSUTKpP|^cDoXn)qGBp z2CF1f2zCi4eM7Jv8eG3KE7)?4YG9Mo{IpqMO4xNe{pPxr)vFFcXgYhSa##? zfU&BT*^SR&rPe~d8Uo`+q z$?vq}bwgrA7hmO+U0oYgmMj2q#!YG=2E8P!+!{sx+8n2gjp7u==PEN!uKf)-dlHS) zUStG;+KNXnoX1AZ@4DTL53yUHvzuHlnXP?!p0Ji%)_i#G$6N(*6$JhID*+MpyIj?ID;50>k*$=sRnaquYL&d@ z5*c%-R2H5;S%@2;Xf;3IO?R{`OkX?LvB%g%(XWs`iRQ|~)cqUja|xk5%scN)Ea#bL zQGaHb$I3HF^Dtx0lJw1_@%2hgbNSCaLVL5NJQu5M<{-)yeJ<(CX>X2{N3c$0PNDwU zVb0XcNFV05Um@woKxcJB|Cdfh+CstlR@~OEIDVZ5-ir`s4?^W2EB#QJftlH#YB@!q zYC+|cqViv;q;G@;d())wHE7O^#3I-GWAIeAIvnUVAb4sX{M6CpJh%qjJ(9+-E(k+i z?}`sV^0AgwnvxeF2_mTS0KC+c`~i}5DN*;R_W}p9C(lvdG>yuEMl6aum1L5Z6f4O< zk^Z=nELM`>rY9~{qQN5lX(jr$Zd9fu`6B%VCAm>cW+@47hhJ5a?Mi|>XNh2x==}#J zKqo>tlDkVXl6NBl-S@j3yxT3S7oMQ2AY5T`z&%IO zNX4h(j8rUyq)|(rHYDluAi>Sw%}UrMa&e@-LFDHRv_y|I+PxUws+G=8r4zVFI&6T$ z1_+*(TzSAwzd|X#p;-1BJYGxRsCXZ1-ZkLeq zZUXPm2nKpPR`SZNks9PDihs7^dmwurhJZ>92wX-1SDd@?hNt?ttmZk`Rn>xjcZ8|* zCj?xjlA>*_5`d}0y{O{2jWAAh8ArsdTeE1y5$_K+%pVSBA<6&)TE;y;v z@mU*6tGN>Ip(%+Ju$qNH3R`^|ALO=bE!_eRU+TdKDW$)(64hCE!l&@-BR`ajXzKiU=lGMUo zm+kC!$Re6+K_|B`8{=fl2T4ET(N7Z|%_(?yI`A6t;Rv}uSMcnFB+G+>SWA3%LKlN8 zQgZ0F04;>L376F@KfspY3Z(O26P%1xfdqGebd*CkUdq#v76zDM0WVfGI#tqGb4m=i z9+=4nS0pWEAD2tBY`X@R)yyY&Dq2c191c~qmPtxFMM{OpLjaRTv$`;RKh}%*TK#!r?$AINh+4s0fDx%*U~$&mqjX0Cg9T{;o%V8+7u?jCj0k ze=F(X9{m{UGd%h?qzgUzAZR%zIPhNp`?}9?Ev}X{`kWkymCPvyS9A?lqD9Iz?B8hF zzmcG==0uzkRR2axd}&x@)v%0~{Tl|_$cc6j0x&uu9B(>GIl|7*lP*q`E>^iN=1CVb zTo?1Ci(0>nv!U+v(sQxEbdfZIy;v8}>!5P;YuC*>>1Gq%L-$>c_aa&*!3?BmKN|Q6^pRFsEER zFI}Wyd+Blu=l=77Hz6wmZH&hT&joslJ8wxNC0;h%IQo?H`I!Sr>c61$kz9VDYTNJD zHAp-uzodVD;HjSvEW=KwP_{{w3;n1vAvV2?lr&I%78?~G`&*l`}7`Q5X^$u$sriuW~WY zt_0_G*Tp#LqK))VRV%hg!}FEM6f{?h)yZhC`?CmKx$qSGTqZFQ7GbH{5uCgECPAEvcGp$hsIMM}p06nsH84=7uhAV)(B*2|6z+DpH9)ARR z=dJ;wJK`e^@KF;WB_W#t6JVYQzIFr~IK*!m0DtKMe9Nwc-Tf}Ww&!FE7Wxae-G{;NdsUo2(~l3BA-ZoMsS*x%Oo>%@SjjHhu8!7I}S0wU!(!XC24@U zK>}Ru0?ZBOgZ{ohf;$a>kct;Iz>`gYl&B&8Yixj2Z~&g}pCoG#a_cMu;5{zDvn0R= zT!3dufG_$1&V;&-Aib=VG$J@7Ho$A8{Fq5%06fzVa3cY(F#t~Pq9eFQ0{p8BaE%0* z*vprL?HvFnqq$sWE{+XwsFZ&hzz#Xsl>oOG06%sCZjk^71CYwWEfU}qKfoVKhu$Q4 zPy@U(Ho!e!3GfVm1P^eM@N+bsgI6c(2tFqPE_VSwCjnmR2Y4#f%^@z6G=})4*Z?n( z@^28q?{JdrHvkS!(E#^LfcLrp_e+4!`2qf>1Hkf-kuMGeebV_mK-QGsIaOmx&xqg}T~J*Gh+KhzEkZS<;ALzmT!ajFS3XW_HB} zI0Xu^mzmid;)ysPYJjU!bp$6$fCB+Y<={jKu!I1qt^oFex;exnBn^PmOn^$C0B1@0 zH;7;fBUozyOzElt)=GfOT!6I_;CKB1AMXJ0bq%mFHo$`pz^}d4Rbk<@ZZ-gJcLClk z0d8{v-Yfw=;|F*S)XfMsN*WQoEjGX#r2HF1@HIy8DFa|RZ{6js{ZkU)pIm@XNq{y! zZ7_!T9~}VpKy$ecJ{ue0X;S``0KL=9J)Fg#7y#Q`fS*W!IRK<`@DmBJ&=2rO(xJBw zKB58cj}7q6ZwhcABiJ>}EWQkv)m+0Hc7Cn{ZY4O20Vr91e=SYYKOnuC-BR>!(6E6g zN@yr!ml5{nFA~V%_A)4`q7%h<=A|0#7}b>q#`CKUTq@{BX{B zEO#}wFFuyL2Nz#sK*xA2cN5dMlnT_dnnNc-&sv{L4qliIU@pVE?7j&7mio8(#h1I{ zxK9AXdK$Fz>@;j4m+obor&;}iBPYL^@pLlC-uTms_!2sNhSJkX%Fm=Ec@{_+wN{u* zP!$6ws zt)i`Rk@8bEtdY&8$VS;1l~ftO8rkT-(J1vVN-G~42S9h5Mx)E6JpBZ-OSL{!SF+ia z)?z0iMoR~zl!Gg!fiXS<*O~^LN2@8#ajz)A(<`#;>pke6)z2P@>PmErx15EWl_V^r1gB zV2jmzu< zRvBjOT1uanrfF5R+lh8+Dy6g8(;&O^EzX_a=d;<6--74NZ#I$2pK9f^F z)&uMQ!O&~Q2&um=;^Gi`2WG7MS-qX#XoiKOD8h4b9dNOZtQc4p^--^M9t019VzNlPDdN10X&o82tlAjFb9uZ-jJn!DPq9BoeS8$l5G3L+AUG?q^sq0H!8)U5U3BdhWYeU49Oj_K7T7sp za5&ZmX@JifVzo?4W|P&j2^Fp8xzLO>GGSNS%%hc2yd_1*;1}hwA>qPbfVpZ{NVRLD zU?<(hZu9StP{(C8-vzZ4Y3*LAhHl4oWl}sNNh;!e3dKFNCm(V@BjfX66znAY?3}Rv zB<&5CYF$E5yOUu4Bn^B7HE+#mw~c&GyN!(#gX{!f3Q*E4LgaZ$h?8;QV@jI5533ir z)Q!tBO`a4qOs1d2#^ZvcFegZ8~UthYXvJ>ch)YtpsWjxoj!A z4z$bzZqRahea+~X7r@sIu1I>17!L)fp|=s~rXHhhQ#bpN02*5^)*+;5bzzhG%Q`XAZky zT#iWmmdj3pa}1bOAbKXv(YlHu;&KV~gfKy+Lijjlyw$u8Efk!7QgR2}D>#aNmGnP3 z0*Y=WZF2w=ZTE+{q`sU+{b5Ro23*Kz)Saw~qr;_8lOw>dSjiE{i}Nze8-Wo9SH%5< zVVNoo(6m}Z`8^e{L7CYg>3jlRD{-h}Pz97DpVG`)X=by=!A}AtjwZu^XZE`WSH$h5 zfo2!SDA~m;eK>w>Hb{D(I9eo*0aEz^D693aMScT^sq-y4j}4{QT%|v`O0O`~@et^h z6u(^OSc=Cw2w@ri#D_`)q>?i)r_%Oh$+<)Vn25ebuBYDQ$TDz#jzD z0@=7pHs0VizCbqq*w^?HvvK-z#)`LxdJd=mideQ8oVZhn<5_8ndP#EPoP={*>{XXaWiaQ^-f0A25i#2z2&`o8pE_}?- zm403ZPlD=o!V>DfM_s-UZx~7Vl*~h#srEkc12BVvx8zRfj)K9^ z?Q=pG!R~bY`-9DR6ruhOHVQmyUNezG^5IAks}(@&-XHK=BbGeBFOi`B?CPFF-ObPq zU5vr9B)J`A9N0*`ESP@;wTSvKPbTpmNh1W6;D+oRhJfh+B`~Wzrbyg_$Xb`s1z&QF zXg-5cl{lr~_kL$NkkT2}ZqMd=!)7=Ek+HVWUNtVO`M0jUEp&8*eR+a@C7FTXgyPw? zt(2Y$(&(DJ$$+;t&iv$WfXH6l<$08Sent!K^#mTi2R)_;Uq@#zz?V>q^Vt|x)!!G0 zU=acRjQC!lq={sNO@2l4Hjqj3Im1g-e!>rTJT%~na6RX*8qT}OGe`1yG`|dxkp{S| zX8yuSij4U(d6)AF?56amS4IDo^cp5fwM7`cP6YiE$`ySY=v4U)u=8d6*`ymNS9CS# zIJ(0pzWhsnf^6_W+6k*I17-3biSK4>5|V4Q#8+l(d^bz`7t`Jxh*buj4zV`53S0~k zp4<9N{+Zw(vo=6lEKT0wntV)}yqP99yC$zOOx~+a{@iD>lKl%=&p}#KXl z4VdckI}-9PLWaZ{m{&b>Iw9?Z_YKz~IgL>~Y?vG!(k2f}lY9Lpb0OAaO-FL9zwnv- zD^Yewn(1eTTU?XfZFq!hK(&D1i} zfq%_(jPvshU6K4ao%7GV^|M6&qJbLN??`7?YG)5>XO|lW3}?TLpnK547Y>L*fB? z%ixM`bsXi-q-lb>Q-Ycs(NR*83$@ci2xJugVHojDe_?P%w;{~j9`-WY#cLZb{N<)= zT1kGWP4h2IrRmdt(HGaPp%jfMVd!;R+N0{4Tb|a&e{4#VF?BJ<>%n+*r*~+=r@O+#_AAql*tXNcYJ8 z-spD`5A|bualh%J+W|Iy&JC7I^9x-!&q+6r`ps6xGW(KgHhC7ufQK492a?E>ahIl$ zeS!gZr0`{+hK=Dt$?;psp9Ztjca z=33KD@-OJ-G1(I&4Q9cBRNa%ur1O4xI#`Mx1KJ2y3e=D3$SDS0qtC?#_JY5&qyzPd>*5RP;x5;PqJQCc@#|PF0%0%XM7R4m zXxQEM!_n^@tf`0@ixlb3IA{}msb`tU&{S$lfPka*dENM1GlJcaHN*A&49hOE;MdDm(D)q|CEc|jG{%sI^ zVvag`OTBJafgQ-$M0T1|!RNT`<~>J>VQB%h$}kjUr+P^VqL-=6 z;Ax?UT;cBH^h*Zq1k0M7Ml5}^#N@Q0h(o`S5yohq@cPIoWzpdbYj#iFwd_O?_(VNK zM5yHCIemAdkvjmEnRQ=2#K(xr=fp+{JbB5FCp8q{5!2%m8}Xc2Qwia!n#BTH2N zChAF1(W;j#0TT>Qz&&i*9t~^63pbr>)Tg zl2|;Yf2_8?Rw+&^7-u(Aok4QQt||w+c{!fuA4PBRB!uq9)a~KT=$?8OXSm7O5k@Zq z2GC|2FhKTJ2ILam$FyN29{r!7vZ1fnS2ZK_5-TGZ^=$i>SGk^N>vgriT4!_g`kre{ z7pXZwZw@Ew>=~%D=cF%57BfR^Z^y;rqw<6Moo|HjBXv4+IFMmu06@mM;Xp?C+Hn?R zNkz~Yns{Sq61<^F)I*cx+QHCtK!7hlsN6x0z-6)*K$0nDOCN%c$)qPK{?wk6mOHQl zh)r0>u)B_752K$*%%1;BNS(kLf$6XycIc?LsJneSCaV6?F!vwC!i=mD@FX4OE~@AF zy%}|c`jXiBW8T#TbnNhS^N)v~q0SWkw<7E1r)}o#*H2b+1vY|h=IyZ^iun5;ucMb> zgw#n~C%b*w>&rpC3_8(BRts9kRZn@~Jn5=N2!E5IMkvkgfgdMQ)|9wDu?WJL{MBfk z!E}w>a8^ONh-K(>@1@gSuXs2lavkpdCFyPqiVML^Q=Hh{Y%%%lUdP2mhc?a#Ek2Cp z^o-Xbz`5N!lllhntM>4JF=vi<%y&+M&X`9G$WDr|ht3p~_ZKl?Q%T=yFxnWJF1oAU zEe1y09aFY2GX^cpr46KWk0Hmln;U$8#?VT~xv3)E-E84{#qo9Hc>Kf=t|U<_KFh7c z)%!ECg`6jFrAlst*i;eDR+5*&ef=1Ha^A$%Mby4;n1Oxy$|)4$B3xm79|K<}ke@YE zz#rP5jmr{cQV(yJgXHhw$K%UJQaO9eq#_}f;4)ZR`zC1qRT-dGIWEIiGRRCn>lTn( zaoM6?Y+>b2O=y#S@c?cbF4$plo~+Jdu}X1ST&1|W4S#fb2`;o@QH?7o@P)=Ltevj} zEclztN}yYkbG=evaf7SC+D%Hp;ucrHTC)(!U84EVE#BA;VpN?Wcc!TJC990Z$cZ#op{4x2;x}*w#IP+akKnSz92QB?B%7sb<=P}UUK%Q6u~t@R zX`8wiZ^?8T{B)&j3DjSFd=Xe+Soq z=MG2=scz^S)VZ3={P>TrqxaMLm25#H0 z0oT=y3Cta9{$}UTWSW(+_@k`OW)YaoeXH?XTUe>Uh5TW`-*)upfM=teHnf5l&sK2T zq~$UeG>HCnL(xN4%UJYP+&mP+6_>>siaQ0xbj4*cM{zZrn87ka=?{V1wKO0$6&x#`LGdctcn%BNVT8SHgr-(WM>APyg_&-~ z9tQW+De|Ck-4<5nDB`s(tX!rgtbBqCyE=wo!dk{M z&*8IlT)Jb`(PbTA!6i<>tP#BK1g%=)JQfMKl5k!s$OX2{OR)5llPRc8j zxFYDoxXcTIpVXU5xDN$gTf*Ia&qo^38$-d8zId+d7^1bZnLCFFSh3_chB;JAp`lna zSll8T%=28j=Lb)*;vy(*kcwq2et^ryzbUbWmFp$3Hn@cqWvznNK9YPWv7(X%N;+9+ zTq&R9xyIKc)JwZ&Z?$h*tg*JxyJ|K^HKqoy)0qYhO_#de^K{FpyGS;h z$3p3vdm44aQeg%QDi}+jXK5xa>E_-7QwZ*PlnCEXce+rng6vU%#O!zTcr$o6;6hhe zY?EDabL|$eZkH{-t>Y?grjp8@fcFJGCWgHoF zUkw%vX|67fgfQ;F_@kFs$blVeaP6OV zp6)jZrMtL#&E3&7V0uzF_Ayh%XzNzJwr)s`jPs<%0J~LBYP5AX7*G0@UH(7U+z6qO z5-}nYbDUy@FmTT$pn$Iv5ygMTg04%Fl%nlzX zL5SxdiH6_YyVvGlYEHDjkci7z@G+HjA1|7PF+ z-)@ePN|B>g#)3bBV+uZG0-A|}n<{rbe1!HLV zu~+;jIr0C$4fW;qWbry^FapCjG)Ge%h zD2ayrEv)dzru|uyuq5=kuM$0U@=lwXKqHZO;+cy;LqC_A?X9M0r!k} zKE>i&xQM-=Fehuvb`Z}hneOTUsBe_488^97EF9|94XjI~)a};!Xs|-MocT01zvpnN z_#Q&7SnAH4j-op7yN=y z!tS`tQ*(#s*!&@rY~5i4v&V{+@$9|#^vKv~y=HD4yWmvwSuXU|myu#<#5fumsbo}R zwDnj<`Sn!uNj3AQSq@*nPqZx*jTXLZa+-U8N)E+X5jykIR#U5vN8Ku43hthntL?# z0L}COxwjIZz-l|L5WcuGEO(2xJ{VgDr}LM2kTbo%g;qL*y7VP(j4}T54ES=XJ8u49 z{byXe%UM7)4Av%f`S110#ceDZ$LoR%!_fV@DE_DXeEBGSx%8W0{BlcQF8!bK6AK0Z zSicu8WDSc#S^eKN7u@h1FArn&%ge)mvw1Az1QP3!i|guZPl)4Lisdy%mkdAuW^n#< zmi}`JP*hv{{Zsndf>#^_rO5k>f&-m~QZ2SkI z`8|_Nrz6)mau)n$ynxu&Pxpu4bhyfq6Bj?oUg6NyPI;44u0hF zbpNz{t*7lxht9NF{4yQp6UxWYcl`suY~R2)ymUWw+(s$3&~`hP+CG0kmaiuHu70?a zRW0Ywx9Zn?R03jhp^m%$=~2qHezv0=MY#`mR+`U}x6JH6U%KDAzvRuAV34HH zzr@M<629RzSQYMg>VCqsn1tJx(I|Q6#C3+ZB>bI0zVcP!mO3vr{~=n*epy8Ti`ibYPTaq9NE)bZ#j*LJj=W->5x z{L?D^_f(E)!F{Y7_}s_1M=@l^uuI-^;OiInsbm1=KE*$T(l72y+>>~5@6j*T2VhUo zc6G^phR|NI;m_aE*(ytw!9Qhx$;ECyns zO#RJAY`Mn!RVDWy?m1eIb$)A_`;YqSqmpU-+;8|*H?7b8hI@*pJC>BG@9G?1r(>H~ zH1`|zod{)zb@e3#zg_aw=lgzsESn~eZPdrh->{t%7}M6jQzr)QExfo#e3dOdF4W~7 z@m2a-PF;THqv`+YlKaV&Z{VN%3HK6S{Ct7?$qQIO|EF;4ICKB_!EPbdt)E~f3Uz! z$^NCV>|cpI`zf(>^EkVpV%*m1i|rw-msfmuT#bGArO(+Pilz2e3%sEZ*sb4gu-^l{ z`O-JE9YV8zEv)Q77>37y3gF=;-aB?pEUs@@Sm$&GPb>r6674gsoZ*r5rc>}w;RaG* z082Uy@DzBgffZFtR4CY*o25O}%HD8)AYS!|+onC%8nEof>gw&)w@W;HP#O^UH=tse zTe+}im1mEeEbQ5RqMPU0ODgd7_(0H_#J6nSRqns`+rr9_rZ4>x(+xE%t31=(r|n@@ zb|h`C-MbuRg& zk)}3GqenbR*jMxSy8^*LxM5>BTe^pYXAAo-%gV5`-?uMZnq{wv+C8FL$`gnE^MG|? zu54HluF(PD8N~kBvh4WLcW`jRqviXZ;fC-XV;5-kmATpH2W%B*o>uHvZL3f!E)DNm z=Tz+YrJJXg=9ZJR*G*YqH;lH^MqlJ-bQ`VHi>Dp?;h;6#X}+^cOW0TYwc|<-23_Cx z%eK+*jw{YQ@yr3`XHV^``z38W8M5qz3dg?E9UXStjFbY+$P4crJJL=ZJ;A;xielu7 zZ#CXfc~VSa6PHG*Z{x?FP^_>UmtwZ(xrxNHnf?2)Rpj#qWRji|-szN@ zR%J!_p~mmctC>8nY>1s$aZ*vfFPU57+>Y*8x7;WCFwRo&mxgz&JJ%=L8nFs|AV!Bf zgm(8=YP3f@&odhBr09mZ1F_!ebdte+Dc+jy^RqPkpq-RwH#9J7tQ%K-uT=HfhwjP* z*YX2)(o%a}o}CgM=2Lk*!Oe*scG6`!?|5ppuTJ!uuUfxh;QG1F|GB<_e;@xWor7)1 zx-q9{6FlhJdy_1?OG5|bPI5@Dop9gV=h&H3?4*r-huZadlkGKmcExCWSkyi_YF9-2 zac+&wv+uk#YJV!C>_V5k$z?S+4oraKrX!~_*%DMUHS1ykH{Zu z*EZOjlJeG1#jDglSl?h@leAR#iwAf6krYf~f4`=L?ezOv)cl+hUckm<$ICvB4o@9h zKH|Favi$SL4yYPP-c-Kz8&_Fu5aj@~Ab(!|dG_!;d-~|y!qTDr>__XOW#1c-Z?9^w z_jJj-NEw8AOf?NiCdl1gJ0!>y>3YZ0>!+5=75O_suA10)zTT=SSIK3yHLKM!X{?TN zr4I#opMvezN?T=LRPn?UPei8r5*EvRM&Jgkr=6UaHNg1Ko*uQwVi=>RYIWY=*zZ^_ z{4ElAUihvb8LU&FO2LNYG0IBzR9QfRKPW+6sLsuNTXbC1NhxayiXpI z)6xE4V%r~zoGackS9{?7mi@48&6gIJIxAd5c)O&L1WAwo0H*QZK&P}5`J>U~dw~SC2l7tT zzB7V}>gSFt*&kDayH)#^ zgyUKJ@8e2Zk1M%3@p#srI8KjOp{ zkdGygIrGIjy2(YTW>rH~U0q`>58r5h>`ElZ?G>R8hx#PC9=9bq?xd9}w;jWI+H!WT zys$ct;T(1mXX%rCJHw98!$0q!l2BUs0*6mIPxHb%0*Qv;)_@^MH3Yi?I3y-Ctj?Wa zr&Q=UAZ>l5SDVq{9qSv%_|}6fZO0sBPiP4rT-bMM2@s6fto|$AJVH+uKGd*3`f?rV zeTP2qxpoXIQsdJO8Xe^ihO8{#l7mG8cLO}2hr%3XoOQp!R#U&OesRN!;|(z%zS#F$ zR@OZG#3}Z?hN+Y70i*4Cc_XKuX-7xfm3i5A-zoMY6n&=HOHklIu{5v$kTH|(jL~*A z7(JHS=c7okuvg^e*r|DTZC*C4B#*Z1^0LRAX(x@g8}bIsJu`pam@|h>c7rZM@quOa zX!Na9pC7}yO=mzw*zO;gXRQhZjWlT0Qk)>qows29+1LQ>)X~0#*y)|}cdS2~2hKpa zBD`{%9)CVivJdF7g`xFl`!L<62TX}f_j~*zb7^01tluzbwtB=bGF$!CFEU$wKWz2L zzTS6t@o?B0?mtoQ4O=;W&ZlVRn`>9Zf#KX7%Tc(O4Bn>eDgI2H)ieA2dA=b2DbAgU zcFOxc^{XRR50#(Vz#S1+d8bz8V>7!YV)gX-ekWq(XblMlOMcGx&JBbNI5)<3w7&x! zpP85S(ys4=Fu!%D`TWhszh9Q7$AAyw>?84({RjM;sWKZbxT4f~EuN81UbH{kek5srAY8_shMQg46?gg5d12Ykc% zS{8K`S$3DDc9+N97SZt2kXT{WV*T-2^m}|L5BiV5WqgI5S;6=BQ3-4^~r)QYd`c<-(<9OR?CpF;EX^injM3}k9k>5FfgsgESt?+|4kgJ7skK&=TC3jL90a zMuzQ8_zcKTufAft7g+uqY8Ee7>pvg9@G-D`MhCDji4KfE39c;WD$#^Z{(Jfkm(Pmr zW~|jdl?M$5pB~%4LL1qsDd9VOv-~}1`p*!DB!?++M~HnZVh=k$zB=wvrcpgYjCqRT zb80*hb)Gg|W;|_@1NS@Op4QY2(sN-u{_(N?M=<|HIAlkbhVRJsWz5!N@2{LEP%!g` zPZiP7xAS-8j?t@;1o2_Oy-(SZm9?(0P)Cao&F!0wy{S|W0Ux8=kGT?wZb!K*=F@fi z@_==o16$p%MdcYX5WbCExpJuK+6LObkIX6I9Vdh3Mo=Di17T-P4xGrRgccs;?aIm@n(Nd3j@m3^==uR}3(5Q2 zWAyYrCN_&K|2c8b*J$BBG?UT!>^NAKh9AiCWx);Dv(cj%U>?Z!<;2g_E!#1Q4bFW4 zP<#)r0Bhq< z@!uTZ@59erc|7gJ!)u`9j% z!=2f~{XuxllkM>J|7}xX9womp1*V(kq~nF*QBSf{4tmk}=?iAgnz^8;bo#6rrPIWs znuekk%gYwlt*jSo7uOawte&xUTGgs$4b?6=c~x26>Sc9R_4T5$ym8^GhQ^g;pcXG& zQB@}j@sU>1>XnmM)imh(Y^>@<)m4j^k6+wSvu0sK&FWP%sxD}(s#h8gw@NesOQGSu ze&Nd66;-vXaf2)HF$6@@8&?iLYhnFzan{U<3rY*8ESNR7Y{G&W6{aWYS@#NhbH+~- zb!*S6Usk&4e0*vm8dufVEL&BzB)YhIVVzj9deyS1%c7_H>eY1(ewMa2eZtwr<7fGu z&#DlOD@s-@Tvo3^6fImOF*KG{FRZT`KC@~WG~j=7v4Ci1RRcPLkC19sEfa`Z4EZ5*>0U9DO>WaS9ZjKmO(;fng`kY&*!rO;ivv~d-NZ1t)k z^@towh?Xu{*s##0MdQpVXS*GbJ;wDl7gh0-BT;n5{IgfrEuUY%dTGOj3+t-pm)2IT zDx5ZJ{;Y*{%c>gY7gw!ZJ%9X+vx?3dv0&O+BW5m`FjbbLPCs?{f{8^%^O<&HW&N^Z zK+xG(bwSy}#mlQOu8c7_stXh4cP@kXr`70K} zI&936O@;HB=z;}!UbMPy!2+?czP_riVZqXcH7gqHsurxMSyk1Mx3p$Om28LrPounc zaS2$f79x@iXK_^xr#h<=DJv5(94l*9tf)cStX{Q5!<3+Lf>jvTEL_p3OiZ3RW0KQ% zw#E!W_tDM8%SFTF`nnP%q3}NNT)^tWMPl}<#(G3&<_y6s6}3xOX(KhoRVx;*6N`#g z)Rk4OT7ro-8S@%Lf?`J1vYL8?Oe~sRUo~NE1LQD*nK^#?Sy<2(E|cIJSIk`9Shu*U zXvJ!PsBK)~HscxvGcz0N!9w;gT;q&T4RQ)0f(&jAbLjD6&5DwVV#(Pv8Dytin++p63^Nq4!zXEA~R>bW5JqP)Ws)b7s#-av>T=ig?!vh?(&MsTfSg$Og z-`GY(t-E7MLD8&fqGs}vwZeCwF%(+}k`HiHSVd#~__{^#lCRt#P!1F~fWU!Jaju94 z%zTW?Dq@r1vG=Wz?nRaA6!F6S$|YQL9q?#dw5p+wp>14&sa{j}|J8P7v2k5x_)ctR zDT9@yi71Q8w3w=^Ydh|eDoCEiX@}ae@i--_TDdcsaXc{gShF~FRiTL3*$9P)sOke1 zt<<7EP&R>(5Fm;wL=i}+qC7#>sxN(DQ4y6QQB=O~{O7;-pX+gG3O&+z&cB?0JIg)y z%sI!EGODy%2RKtfCqgB_Ey!vjy4hBv<_Ika_5t%1)uz!B5Dj~~hA{~(*ELSD1eVR^ z56#N+Jbkz@P9Y%%&&%=bEKyN-T$8(dIzI(RnWs*I(^bp@P}x3D5_^9G4iy%v^JwPtc#gKT zQyaGN={%Uy2Mgm9_|8=uA_LDd@S7HEiZDCXZY{T4Q#q>g{xQ=9VYs;pisy=I)XS^FWb5y^>gF- zpu{Fo2?HWh@UWma7=WBPO|`^3oL(x{@T7+Ag;qo6jek4myctyxcn*RJG zwT#~lnG+fK9V1 z^F>S#wFkI>%P&2anI5}#0&Pu4z%z|VNI>1;S*PxyuDk+U{_aM-y^L{~EyLKrYNr%D z_3QQJNSF>tHI+q1aB;kq$XF>VJTy{EStd%L_RitDYJS#ogLdegGZiP{e2<4=sfVjQSQTcnGp^S;9qv0pTyDNmwgWN-HF ztg~0h6S*lFEh5`8jr#E=3`-H7u^?DV#Q%~mQ@+pt*@0$@pz0g+6p zOavUSVWGVdBXs$}U5HUZ7n3NwGuiBj?|&J&YvKbs^q5=7{Seyq^)q6}Ng>;%*cQw*E(lx`i{Ya26 zDc!eTreTo?Z->`u&G#BWKpbM;VEj3_u(W_vW5JNV?CHZI2Fd)8x)g4Z&)xlnKojwSUu7> z6c)L{Rcw?E9o~c$!_t6Ft-$n5v0Zj@Z7ft*G!bQ4>T0G}@RrU8z+_rpgGdQAYyB$w zbh~w^zLeqnD@Qn@EsNDD5r#!v=wmR+%(!TQcFjq(RVQPk`X9CxHQ$%iAWI7X(YF9B zn6a~=al4Ar=&C-|@2sH?j5KI3tZ$Lz3Lv1J;TAufsZuvK043K}X?Dex&a|7WD#j1= z9ctB+!gBFwMMAHV5!wK&QFo=TmqmicYAOr!GL@yH3#kdbc&A2&hW8B)58pB}dV?&x z1!th4y?YXSu^aHJ#77e!OI)3}HsKCMzKeu^ zjQnVS^26cbNuCbi0qS)Bp0&R9-cvoNw>*|e_YYmgrF0}cSe@?QzUC-UxJO9tZ$JKm zb`rD=I>=YXX92(4JtTaT(UtE!=z93t>vDRC*TdL~PXcFsp`PF3qldDsJ;ZY}KFf3~ z*9+fY106fRLq8~wcqYcd%2T|`Bh0<`7Us_TohTRAN0xlu7t0z*i#=yIegI_Y2@IINZ*)-gW%Q$6AE(DPKkpf@k_uC%3O{Ti<%B?{x2( zp0lhE`b!ylNL=Hrc#s2xi27Xp@yG@FnNHvXMJKmLttZq;IuCI}=i2u5ZKt-L?mN?a zw&xsmsti4N9+$zms}AfTgpQpjd)Io_t-X{#;b>x)EmMk>d9SpGq@2buv=ivy+xTSq zuUgx>-gm0^bk7;uV&#f0-R(T!#6FpR)=^t3zvA+G( zw$oeB^quWJ*Yg!vl2#@xn9bymhIph^a*3~m@ zCtVNiTicaJ-UWPYeOlTRLJNJiw3S#n+E0DlBs_??#M_Y4!R9Upi2G+{kH%zM+U@U? zHh770-P$yLVVb~4`!DjImc~QGmnR>~=W_7VyxyZ(iGWCLl|EJ7L>H9!v z*w^`feE&dffzV6Y@@3F%y(a<3`|O+BXqh~7IHoJi=Ly=Gdv)@M}>*q3IJ5)Q^_F#87w^Tgat(CVs29sv#ya>eV zW4qIMSU%=0A1}&?^XbJQmM`8$)K2;tFAtQn&FZE7><3!z5b}2XR9?M=M0vSqm6ws& z{;ziF1tm^D+MePe+Fe+pc3Hfy-wpK;?;C38ozBjU^+UhaX6pNIF#Wf7dZ|2r zo3gOW0~fdZMcApAw6I+eW-8-jeP(=>cC({JV~FBqY>DQZR-V*#L}TC7az~Kw_FN#H zdle5DeQJkZ5Tm}UJZ@3UbeYR(If!x>$Y{CE@YZ&D{&YT9)b^n+wo%6qH^Dz=@K(pK z96r)vX}^xqtj*6Dc^3aIgMY8GHY+oI3H^gckJUeN8F;;1r(2y^Io6LmV>VgZ=p|^m z7|q&jeX;W9FHuI`6F2q+X&2q=Q+&F7n)JKw2twFC48Aq$Zh=b6sQRXkJc9# z`K#CLlCZ7WwKz#DAQNcBUx8u_z_*8HL z&sZe_r`hX}r#wvu9teVun0uC$#(LL0c?;hUf@l4BOIT@RCQtmup9jHBw=yS5`FMea z=1KX~->{D3oa*GeKgRdQKQRV&;p6yi~bvbkJblKzRn!} z$Y&D4vk_Y;gR-_5{E4wd@bxS3PjrBL6d%gli4UjTR^HBg6Tx2$!rBDZ&NL<f@TYg}GjBVXomS?BZ!)mVYe^0>C6Fy$@BhDcMC%+@| z8}#F}AIwPy34e_bGnbM9UhBi8{fF)L+Ue%^Zb2e9Xr zoWyH4;`dX)RgBLMfuHbi03SyGe$B%#1D-_vZTIl2fNw+ph6u<1CScA5nQ+ZO@OQxb z(cXsuQ{Fp(-$HxgkU{uLOh)!Y-fj57f$|?@K_jmO_-)kZjh@~F{5-~+?|JwH;IG0N4nZux4tN*Z=TGoW z_yWGyQ6K8>Q-I&bc*BdDN&gPMtMC`kFbDsP^fCFpnDmzbzmCG{|I4JKe?I5={|p!@ z#XpSS8-RC#{}I3}|5w1Ph&L~uCj4)}n4f$8EkR!oODc@@Q^I4oOcGzaz`qA@0{Yax z0l+^p_TB|}(%6#&yf-8Lo%%|EZ$P}+zX*Q;@RNt|jPdX)U|WAD0RIm0*7|)6@aqQt zCg2A#zCR8>DDQc|FCo5M&mjB);KOJiT(cwmd%!#VZ&!q02b>500k7YjQy6Fk17*G8 z&!0lk!zzQLx9z)APq1L4jh?3hesn0r%dso+?hkR;t02c%$T}PyBzdILsL$27Q**O( zASf_0L~6%D5@3h->%ovN$3a-?4n4Th?M#R4s^_NiaPKq#Y|fCa(A>TO7+Yb?nFQI< zZ?^A7Wvgb_C-z0jl^59jgk46_ZZFwlX112e{#V_9hjJ}BD{b~OMJnd7KR%tkw~)Vo z97kZH!!X>8e@}dClGK)q9a)_1c5gX7@WTEBZA|t1 diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.map b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.map index 8bf7f891..1e8353ea 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.map +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.map @@ -1,132 +1,182 @@ - -bin/demoprog_ek_lm3s8962.elf: file format elf32-littlearm -bin/demoprog_ek_lm3s8962.elf -architecture: arm, flags 0x00000112: -EXEC_P, HAS_SYMS, D_PAGED -start address 0x00004000 - -Program Header: - LOAD off 0x00000000 vaddr 0x00000000 paddr 0x00000000 align 2**15 - filesz 0x00005c3c memsz 0x00005c3c flags r-x - LOAD off 0x00008000 vaddr 0x20000000 paddr 0x20000000 align 2**15 - filesz 0x00000000 memsz 0x0000045c flags rw- -private flags = 5000202: [Version5 EABI] [soft-float ABI] [has entry point] - -Sections: -Idx Name Size VMA LMA File off Algn - 0 .text 00001c3c 00004000 00004000 00004000 2**2 - CONTENTS, ALLOC, LOAD, READONLY, CODE - 1 .bss 0000045c 20000000 20000000 00008000 2**2 - ALLOC - 2 .debug_info 00005517 00000000 00000000 00005c3c 2**0 - CONTENTS, READONLY, DEBUGGING - 3 .debug_abbrev 00000da5 00000000 00000000 0000b153 2**0 - CONTENTS, READONLY, DEBUGGING - 4 .debug_loc 00002f2b 00000000 00000000 0000bef8 2**0 - CONTENTS, READONLY, DEBUGGING - 5 .debug_aranges 000006c0 00000000 00000000 0000ee23 2**0 - CONTENTS, READONLY, DEBUGGING - 6 .debug_ranges 00000630 00000000 00000000 0000f4e3 2**0 - CONTENTS, READONLY, DEBUGGING - 7 .debug_line 00001aaf 00000000 00000000 0000fb13 2**0 - CONTENTS, READONLY, DEBUGGING - 8 .debug_str 00001536 00000000 00000000 000115c2 2**0 - CONTENTS, READONLY, DEBUGGING - 9 .comment 00000030 00000000 00000000 00012af8 2**0 - CONTENTS, READONLY - 10 .ARM.attributes 00000033 00000000 00000000 00012b28 2**0 - CONTENTS, READONLY - 11 .debug_frame 000011fc 00000000 00000000 00012b5c 2**2 - CONTENTS, READONLY, DEBUGGING -SYMBOL TABLE: -00004000 l d .text 00000000 .text -20000000 l d .bss 00000000 .bss -00000000 l d .debug_info 00000000 .debug_info -00000000 l d .debug_abbrev 00000000 .debug_abbrev -00000000 l d .debug_loc 00000000 .debug_loc -00000000 l d .debug_aranges 00000000 .debug_aranges -00000000 l d .debug_ranges 00000000 .debug_ranges -00000000 l d .debug_line 00000000 .debug_line -00000000 l d .debug_str 00000000 .debug_str -00000000 l d .comment 00000000 .comment -00000000 l d .ARM.attributes 00000000 .ARM.attributes -00000000 l d .debug_frame 00000000 .debug_frame -00000000 l df *ABS* 00000000 vectors.c -00000000 l df *ABS* 00000000 boot.c -20000000 l O .bss 00000041 xcpCtoReqPacket.4538 -20000044 l O .bss 00000001 xcpCtoRxInProgress.4540 -20000045 l O .bss 00000001 xcpCtoRxLength.4539 -20000048 l O .bss 00000004 xcpCtoRxStartTime.4541 -00000000 l df *ABS* 00000000 cstart.c -000043ac l F .text 00000000 zero_loop -00000000 l df *ABS* 00000000 led.c -2000004c l O .bss 00000004 timer_counter_last.4520 -20000050 l O .bss 00000001 led_toggle_state.4519 -00000000 l df *ABS* 00000000 main.c -20000054 l O .bss 00000004 assert_failure_line.4527 -00000000 l df *ABS* 00000000 time.c -20000058 l O .bss 00000004 millisecond_counter -00000000 l df *ABS* 00000000 can.c -00004548 l F .text 00000024 CANBaseValid -0000456c l F .text 00000032 CANIntNumberGet -000045a0 l F .text 0000001e CANRegWrite -000045c0 l F .text 00000094 CANRegRead -00000000 l df *ABS* 00000000 gpio.c -00004e10 l F .text 0000008a GPIOBaseValid -00000000 l df *ABS* 00000000 interrupt.c -00000000 l df *ABS* 00000000 sysctl.c -0000529c l F .text 00000154 SysCtlPeripheralValid -00005b8c l O .text 0000005c g_pulXtals -00005c00 l O .text 0000000c g_pulRCGCRegs -00000000 l df *ABS* 00000000 systick.c -00000000 l df *ABS* 00000000 uart.c -00005920 l F .text 00000026 UARTBaseValid -00000000 l df *ABS* 00000000 -00000400 l *ABS* 00000000 __STACKSIZE__ -000044d4 g F .text 0000000c __error__ -00004368 g F .text 00000060 reset_handler -00004654 g F .text 000000e0 CANInit -0000544c g F .text 00000008 SysCtlDelay -00004734 g F .text 00000044 CANEnable -00005c3c g .text 00000000 _etext -00005038 g F .text 00000030 GPIOPinWrite -000053f0 g F .text 00000046 SysCtlPeripheralEnable -00005438 g F .text 00000012 SysCtlReset -2000005c g .bss 00000000 _ebss -00004544 g F .text 00000002 UnusedISR -000043d4 g F .text 0000003a LedInit -00004534 g F .text 00000010 TimeISRHandler -00005b0c g F .text 00000036 UARTCharGetNonBlocking -20000000 g .bss 00000000 _bss -000058f4 g F .text 0000002a SysTickPeriodSet -000048ac g F .text 000000b0 CANStatusGet -0000448c g F .text 00000048 main -00005610 g F .text 000002ba SysCtlClockGet -00005984 g F .text 00000044 UARTDisable -00004bf4 g F .text 0000021a CANMessageGet -00005068 g F .text 0000004c GPIOPinTypeCAN -000040f4 g F .text 0000011e BootComInit -000044e0 g F .text 00000046 TimeInit -000051f4 g F .text 000000a8 IntDisable -20000000 g .text 00000000 _data -00004410 g F .text 0000007a LedToggle -00004778 g F .text 00000132 CANBitTimingSet -2000045c g .bss 00000000 _estack -20000000 g .text 00000000 _edata -00004000 g O .text 000000f4 _vectab -00005100 g F .text 0000004c GPIOPinTypeUART -000050b4 g F .text 0000004c GPIOPinTypeGPIOOutput -0000514c g F .text 000000a8 IntEnable -00004214 g F .text 00000154 BootComCheckActivationRequest -00004528 g F .text 0000000c TimeGet -00004e9c g F .text 0000006c GPIODirModeSet -2000005c g .bss 00000000 _stack -000058cc g F .text 00000012 SysTickEnable -000058e0 g F .text 00000012 SysTickIntEnable -0000495c g F .text 00000296 CANMessageSet -000059c8 g F .text 00000142 UARTConfigSetExpClk -00005454 g F .text 000001ba SysCtlClockSet -00004f08 g F .text 0000012e GPIOPadConfigSet -00005948 g F .text 0000003c UARTEnable - - + +bin/demoprog_ek_lm3s8962.elf: file format elf32-littlearm +bin/demoprog_ek_lm3s8962.elf +architecture: arm, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x00004000 + +Program Header: + LOAD off 0x00000000 vaddr 0x00000000 paddr 0x00000000 align 2**16 + filesz 0x000058f0 memsz 0x000058f0 flags r-x + LOAD off 0x00010000 vaddr 0x20000000 paddr 0x000058f0 align 2**16 + filesz 0x00000008 memsz 0x00000480 flags rw- +private flags = 5000200: [Version5 EABI] [soft-float ABI] + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000018f0 00004000 00004000 00004000 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .data 00000008 20000000 000058f0 00010000 2**2 + CONTENTS, ALLOC, LOAD, DATA + 2 .bss 00000478 20000008 000058f8 00010008 2**2 + ALLOC + 3 .ARM.attributes 00000029 00000000 00000000 00010008 2**0 + CONTENTS, READONLY + 4 .comment 0000006e 00000000 00000000 00010031 2**0 + CONTENTS, READONLY + 5 .debug_info 00005af4 00000000 00000000 0001009f 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_abbrev 00000d80 00000000 00000000 00015b93 2**0 + CONTENTS, READONLY, DEBUGGING + 7 .debug_aranges 00000708 00000000 00000000 00016913 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_ranges 00000648 00000000 00000000 0001701b 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_macro 0000742a 00000000 00000000 00017663 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_line 000025ea 00000000 00000000 0001ea8d 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_str 0001e461 00000000 00000000 00021077 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_frame 000012e4 00000000 00000000 0003f4d8 2**2 + CONTENTS, READONLY, DEBUGGING + 13 .debug_loc 00003b22 00000000 00000000 000407bc 2**0 + CONTENTS, READONLY, DEBUGGING +SYMBOL TABLE: +00004000 l d .text 00000000 .text +20000000 l d .data 00000000 .data +20000008 l d .bss 00000000 .bss +00000000 l d .ARM.attributes 00000000 .ARM.attributes +00000000 l d .comment 00000000 .comment +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l d .debug_macro 00000000 .debug_macro +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l df *ABS* 00000000 vectors.c +00000000 l df *ABS* 00000000 crtstuff.c +000058ec l O .text 00000000 __EH_FRAME_BEGIN__ +000040f4 l F .text 00000000 __do_global_dtors_aux +20000008 l .bss 00000000 completed.8603 +20000004 l O .data 00000000 __do_global_dtors_aux_fini_array_entry +00004118 l F .text 00000000 frame_dummy +2000000c l .bss 00000000 object.8608 +20000000 l O .data 00000000 __frame_dummy_init_array_entry +00000000 l df *ABS* 00000000 time.c +20000024 l O .bss 00000004 millisecond_counter +00000000 l df *ABS* 00000000 boot.c +000041a0 l F .text 00000038 BootComUartInit +000041d8 l F .text 00000074 CanSetBittiming +0000424c l F .text 0000005c BootComCanInit +000042a8 l F .text 00000020 UartReceiveByte +000042dc l F .text 00000094 BootComUartCheckActivationRequest +00004370 l F .text 00000040 BootComCanCheckActivationRequest +20000028 l O .bss 00000001 xcpCtoRxLength.4647 +20000029 l O .bss 00000001 xcpCtoRxInProgress.4648 +2000002c l O .bss 00000004 xcpCtoRxStartTime.4649 +20000030 l O .bss 00000041 xcpCtoReqPacket.4646 +00000000 l df *ABS* 00000000 main.c +000043c0 l F .text 00000018 Init +20000074 l O .bss 00000004 assert_failure_line.4635 +00000000 l df *ABS* 00000000 cstart.c +0000441a l F .text 00000000 zero_loop +00000000 l df *ABS* 00000000 led.c +20000078 l O .bss 00000004 timer_counter_last.4628 +2000007c l O .bss 00000001 led_toggle_state.4627 +00000000 l df *ABS* 00000000 sysctl.c +000044b8 l F .text 000001f8 SysCtlPeripheralValid +000057f4 l O .text 0000005c g_pulXtals +00005868 l O .text 0000000c g_pulRCGCRegs +00000000 l df *ABS* 00000000 uart.c +00004a68 l F .text 0000002c UARTBaseValid +00000000 l df *ABS* 00000000 interrupt.c +00000000 l df *ABS* 00000000 gpio.c +00004d28 l F .text 000000dc GPIOBaseValid +00000000 l df *ABS* 00000000 can.c +00005078 l F .text 0000002c CANBaseValid +000050a4 l F .text 0000002c CANIntNumberGet +000050d0 l F .text 0000001a CANRegWrite +000050ec l F .text 0000002e CANDataRegWrite +0000511c l F .text 00000068 CANRegRead +00005184 l F .text 0000002e CANDataRegRead +00000000 l df *ABS* 00000000 systick.c +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crti.o +00000000 l df *ABS* 00000000 /opt/gcc-arm-none-eabi-5_4-2016q3/bin/../lib/gcc/arm-none-eabi/5.4.1/armv7-m/crtn.o +00000000 l df *ABS* 00000000 crtstuff.c +000058ec l O .text 00000000 __FRAME_END__ +00000000 l df *ABS* 00000000 +00000000 l *UND* 00000000 __bss_start__ +00000000 l *UND* 00000000 __libc_fini_array +00000000 l *UND* 00000000 __sf_fake_stderr +00000000 l *UND* 00000000 __bss_end__ +00000000 l *UND* 00000000 __call_exitprocs +00000000 l *UND* 00000000 software_init_hook +00000000 l *UND* 00000000 __sf_fake_stdin +20000004 l .data 00000000 __init_array_end +00000000 l *UND* 00000000 hardware_init_hook +00000000 l *UND* 00000000 atexit +20000000 l .data 00000000 __preinit_array_end +00000000 l *UND* 00000000 __stack +00000000 l *UND* 00000000 __sf_fake_stdout +20000000 l .data 00000000 __init_array_start +00000000 l *UND* 00000000 _exit +20000000 l .data 00000000 __preinit_array_start +000043ec g F .text 0000000c __error__ +000043f8 g F .text 00000040 reset_handler +000051b4 g F .text 0000009c CANInit +000046f8 g F .text 00000008 SysCtlDelay +00005250 g F .text 0000002c CANEnable +00004150 g F .text 0000000c TimeSet +000058f0 g .text 00000000 _etext +00004fb8 g F .text 00000024 GPIOPinWrite +000046b0 g F .text 00000038 SysCtlPeripheralEnable +000057dc g F .text 00000000 _init +000042d4 g F .text 00000008 BootActivate +000046e8 g F .text 00000010 SysCtlReset +2000007d g .bss 00000000 _ebss +00000400 g *ABS* 00000000 __STACKSIZE__ +000043bc g F .text 00000002 UnusedISR +00004444 g F .text 00000028 LedInit +00004190 g F .text 00000010 TimeISRHandler +00000000 w *UND* 00000000 __deregister_frame_info +00004be4 g F .text 0000002c UARTCharGetNonBlocking +20000008 g .bss 00000000 _bss +000057bc g F .text 00000020 SysTickPeriodSet +00005358 g F .text 0000008c CANStatusGet +000043d8 g F .text 00000014 main +00004864 g F .text 00000204 SysCtlClockGet +00004ac4 g F .text 00000038 UARTDisable +000055e0 g F .text 000001bc CANMessageGet +00004fdc g F .text 00000034 GPIOPinTypeCAN +000042c8 g F .text 0000000c BootComInit +000057e8 g F .text 00000000 _fini +0000415c g F .text 00000028 TimeInit +00004c9c g F .text 0000008c IntDisable +20000000 g .data 00000000 _data +0000446c g F .text 0000004c LedToggle +0000527c g F .text 000000dc CANBitTimingSet +20000480 g .bss 00000000 _estack +20000008 g .data 00000000 _edata +00004000 g O .text 000000f4 _vectab +00005044 g F .text 00000034 GPIOPinTypeUART +00005010 g F .text 00000034 GPIOPinTypeGPIOOutput +00004c10 g F .text 0000008c IntEnable +000043b0 g F .text 0000000c BootComCheckActivationRequest +00004184 g F .text 0000000c TimeGet +00004e04 g F .text 00000060 GPIODirModeSet +2000007d g .bss 00000000 _stack +0000579c g F .text 00000010 SysTickEnable +00000000 w *UND* 00000000 _Jv_RegisterClasses +000057ac g F .text 00000010 SysTickIntEnable +000053e4 g F .text 000001fc CANMessageSet +00004afc g F .text 000000e8 UARTConfigSetExpClk +00004700 g F .text 00000164 SysCtlClockSet +00004e64 g F .text 00000154 GPIOPadConfigSet +00000000 w *UND* 00000000 __register_frame_info +00004a94 g F .text 00000030 UARTEnable + + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.srec b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.srec index 4527095c..a9cc15ef 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.srec +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/bin/demoprog_ek_lm3s8962.srec @@ -1,454 +1,402 @@ S020000062696E2F64656D6F70726F675F656B5F6C6D3373383936322E7372656359 -S11340005C0400206943000045450000454500006C -S11340104545000045450000454500004545000074 -S11340204545000045450000454500004545000064 -S11340304545000045450000454500003545000064 -S11340404545000045450000454500004545000044 -S11340504545000045450000454500004545000034 -S11340604545000045450000454500004545000024 -S11340704545000045450000454500004545000014 -S11340804545000045450000454500004545000004 -S113409045450000454500004545000045450000F4 -S11340A045450000454500004545000045450000E4 -S11340B045450000454500004545000045450000D4 -S11340C045450000454500004545000045450000C4 -S11340D045450000454500004545000045450000B4 -S11340E045450000454500004545000045450000A4 -S11340F0EE11AA55F0B587B00120C1F2000045F2D7 -S1134100F134C0F20004A0470120C2F20000A0472D -S11341104FF04020032145F20113C0F200039847F9 -S113412045F21163C0F20003984701464FF4404042 -S1134130C4F200004FF46142602345F6C915C0F291 -S11341400005A8470820C2F20000A0474FF4E04051 -S1134150C4F20000032145F26903C0F2000398474A -S11341604FF48070C0F21000A0470020C4F2040095 -S113417044F25563C0F200039847042303931026C6 -S1134180019640F2A460082735463B46741CE218A9 -S1134190B0FBF2F14139C9B20A2918D8B5FBF2F1E2 -S11341A002FB01F1102912D102930196032B98BF4F -S11341B003931023B3FBF2F204920020C4F2040030 -S11341C001A944F27973C0F20003984704E0013B6B -S11341D0DDD16438013ED8D10024C4F20404204661 -S11341E044F23573C0F20003984740F267630193C9 -S11341F003F5CC730293082303930493204601210F -S113420001AA022344F65D14C0F20004A04707B0DB -S1134210F0BD00BF10B588B040F24403C2F2000301 -S11342201B7853BB4FF44040C4F2000045F60D33F5 -S1134230C0F200039847B0F1FF3F5FD040F20003A3 -S1134240C2F20003187010F0FF0F57D044F2295344 -S1134250C0F20003984740F24803C2F2000318601A -S113426040F24403C2F2000301221A7040F24503F3 -S1134270C2F2000300221A7040E040F24503C2F289 -S113428000031B785C1C4FF44040C4F2000045F668 -S11342900D33C0F200039847B0F1FF3F54D040F211 -S11342A00003C2F20003185540F24502C2F20002B4 -S11342B011780131C9B211701B788B421ED140F2C2 -S11342C04403C2F2000300221A7040F20003C2F257 -S11342D000035B78FF2B11D140F20003C2F200030C -S11342E09B785BB945F23943C0F20003984705E077 -S11342F040F24403C2F2000300221A700020C4F208 -S11343000400022144F6AD03C0F20003984710F004 -S1134310010F27D001AB07930020C4F20400012150 -S113432003AA0B4644F6F534C0F20004A0479DF8F6 -S11343300430FF2B16D19DF805309BB945F2394363 -S1134340C0F2000398470DE044F22953C0F2000381 -S1134350984740F24803C2F200031B686433984252 -S1134360C6D8CBE708B010BD10B517498D4640F24A -S11343700002C2F2000240F20003C2F200039A42B9 -S113438010D2131D0F4CE41A24F003040434002348 -S1134390104645F63C41C0F200015A581A50043305 -S11343A0A342FAD109480A494FF000028842B8BF33 -S11343B040F8042BFADB44F28D43C0F20003984723 -S11343C010BD00BF030000205C040020000000209A -S11343D05C00002010B52020C2F2000045F2F13349 -S11343E0C0F2000398474FF4A044C4F202042046EC -S11343F0012145F2B503C0F200039847204601218C -S1134400002245F23903C0F20003984710BD00BFF3 -S113441010B544F22953C0F200039847044640F211 -S11344204C03C2F200031B68C31AB3F5FA7F2BD303 -S113443040F25003C2F200031B7883B940F25003E8 -S1134440C2F2000301221A704FF4A040C4F2020029 -S1134450114645F23903C0F2000398470FE040F2D9 -S11344605003C2F2000300221A704FF4A040C4F2B9 -S11344700200012145F23903C0F20003984740F2DB -S11344804C03C2F200031C6010BD00BF08B54FF41A -S11344906070C0F2C01045F25543C0F20003984763 -S11344A044F2D533C0F20003984744F2E143C0F22A -S11344B00003984744F2F503C0F20003984744F21E -S11344C01145C0F2000544F21524C0F20004A847C7 -S11344D0A047FCE740F25403C2F200031960FEE770 -S11344E008B545F21163C0F20003984744F6D3536C -S11344F0C1F26203A3FB0020800945F6F503C0F274 -S11345000003984745F6CD03C0F20003984745F6EB -S1134510E103C0F20003984740F25803C2F20003DB -S113452000221A6008BD00BF40F25803C2F2000323 -S11345301868704740F25803C2F200031A68013247 -S11345401A607047FEE700BF20F480520023C4F2D3 -S113455004039A4208D04FF40053C4F2040398426F -S113456014BF002001207047012070474FF480538E -S1134570C4F20403984210D04FF40053C4F204036D -S1134580984208D00023C4F2040398420CBF372099 -S11345904FF0FF307047392070473820704700BF14 -S11345A082B0016000230193019B042B05DC019B75 -S11345B001330193019B042BF9DD02B0704700BF66 -S11345C070B582B0044620F47F6020F00F0044F2FE -S11345D06D53C0F2000398470546B0F1FF3F10D178 -S11345E045F64430C0F20000F92144F2D543C0F24C -S11345F0000398474EF20413CEF200031B68002612 -S113460011E04EF20413CEF200031B68A0F1300255 -S1134610012101FA02F212EA030604D045F2F5136D -S1134620C0F20003984723680023019301990429E9 -S113463005DC019B01330193019B042BF9DD246804 -S11346402EB1284645F24D13C0F200039847204688 -S113465002B070BD2DE9F843804644F24953C0F2DC -S11346600003984750B945F64430C0F2000040F2C8 -S1134670D91144F2D543C0F20003984740460121C2 -S113468044F2A153C0F20003984708F1200544F214 -S1134690C156C0F200062C462846B04710F4004F1D -S11346A0F9D108F124094846B02144F2A155C0F2D9 -S11346B00005A84708F134000021A84708F1380094 -S11346C00021A847012644F2C155C0F2000544F276 -S11346D0A157C0F200072046A84710F4004FFAD1B2 -S11346E020463146B8470136212EF4D148460C21E4 -S11346F044F2A153C0F200039847012644F2C15585 -S1134700C0F2000544F2A157C0F200072046A847B2 -S113471010F4004FFAD120463146B8470136212E15 -S1134720F4D108F1040044F2C153C0F200039847E5 -S1134730BDE8F88310B5044644F24953C0F20003BF -S1134740984750B945F64430C0F2000040F23A218F -S113475044F2D543C0F200039847204644F2C153C3 -S1134760C0F20003984720F00101204644F2A1530F -S1134770C0F20003984710BDF8B505460C4644F254 -S11347804953C0F20003984750B945F64430C0F28B -S113479000004FF4597144F2D543C0F20003984726 -S11347A054B945F64430C0F2000040F2653144F299 -S11347B0D543C0F2000398472368023B0E2B0AD965 -S11347C045F64430C0F2000040F26B3144F2D54368 -S11347D0C0F2000398476368013B072B0AD945F6EA -S11347E04430C0F200004FF45C7144F2D543C0F28F -S11347F000039847A368013B032B0AD945F64430CC -S1134800C0F2000040F2753144F2D543C0F2000317 -S11348109847E368013BB3F5806F0AD345F644300B -S1134820C0F2000040F27B3144F2D543C0F20003F1 -S11348309847284644F2C153C0F2000398470746FC -S1134840284647F0410144F2A156C0F20006B047A1 -S11348506368591E090301F4E0412368013B1B020C -S113486003F470631943E368013B03F03F03194306 -S1134870A368013B9B01DBB205F10C001943B0476F -S1134880E168013905F11800C1F38311B04717F04D -S1134890010F0CBF27F0400127F04101284644F2E4 -S11348A0A153C0F200039847F8BD00BF70B5054698 -S11348B00C4644F24953C0F20003984750B945F6F8 -S11348C04430C0F2000040F2925144F2D543C0F2A9 -S11348D000039847032C3ED8DFE804F00213212F8D -S11348E00435284644F2C153C0F2000398470446F5 -S11348F028466FF01F0144F2A153C0F20003984709 -S11349002AE005F5807044F2C156C0F20006B047B3 -S1134910044605F58270B04744EA00441CE005F5FE -S1134920907044F2C156C0F20006B047044605F543 -S11349309270B04744EA00440EE005F5B07044F2CA -S1134940C156C0F20006B047044605F5B270B04740 -S113495044EA004400E00024204670BD2DE9F04FF5 -S113496089B0054602911646984644F24953C0F26E -S11349700003984750B945F64430C0F2000040F2B5 -S11349805F6144F2D543C0F200039847DDF8089014 -S113499009F1FF331F2B0AD945F64430C0F2000059 -S11349A04FF4CC6144F2D543C0F200039847B8F108 -S11349B0040F0AD945F64430C0F2000040F26661A3 -S11349C044F2D543C0F20003984705F1200744F2AE -S11349D0C159C0F200094C46B9463846A04710F404 -S11349E0004FF9D13C463268B2F5006F3ABFB36864 -S11349F0C3F3800C4FF0010CB8F1040F00F2F68001 -S1134A00DFE808F003371119290001214FF48077FA -S1134A104FF4005E4FF00009CDF80490CDF80C90EF -S1134A204FF093082DE000210F468E46019103912B -S1134A304FF0930825E000214FF480574FF4005EB7 -S1134A4041F6FF79CDF8049009F56049CDF80C9052 -S1134A504FF0D30815E001214FF490574FF4005E56 -S1134A604FF00009CDF80490CDF80C904FF0930866 -S1134A7007E000214FF480778E46019103914FF0B7 -S1134A809308B36813F0080F17D0BCF1000F08D0D7 -S1134A9070681FFA80F9CDF80C90C0F30C400190B7 -S1134AA00BE07068800041F6FC7900EA0909CDF852 -S1134AB004904FF00009CDF80C9003F0280028284A -S1134AC002BFDDF8049049F40049CDF8049003F0E6 -S1134AD01800182802BFDDF8049049F48049CDF885 -S1134AE0049013F0380F1CBF47F480574FF0D308DD -S1134AF048F02008CDF81480BCF1000F0BD01FFA49 -S1134B0082F9CDF81890C2F30C4242F4404242EAD2 -S1134B100E0207920BE09200C2F30C0242F4004230 -S1134B2042EA0E0207924FF00009CDF81890F2689D -S1134B3002F00F0947EA090913F4007F04BF49F0A2 -S1134B4080091FFA89F913F0010F18BF49F40069AD -S1134B5013F0020F18BF49F48069F1B1D6F810B010 -S1134B6005F13C089246002A17DD0127002644F28D -S1134B70A150C0F20000049040461BF80610BA454C -S1134B802EDD02361BF8073041EA0321049A9047D0 -S1134B9008F104080237B245EEDC05F1240005995A -S1134BA044F2A156C0F20006B04705F1280003996B -S1134BB0B04705F12C000199B04705F13000069982 -S1134BC0B04705F134000799B04705F1380049466C -S1134BD0B0472046DDF8089009F03F01B04705E0F2 -S1134BE044F2A153C0F200039847D6E709B0BDE8E8 -S1134BF0F08F00BF2DE9F04F83B006468A46154674 -S1134C001C4644F24952C0F20002904750B945F69E -S1134C104430C0F2000040F2C17144F2D543C0F206 -S1134C20000398470AF1FF331F2B0AD945F6443095 -S1134C30C0F2000040F2C27144F2D543C0F2000356 -S1134C40984706F1840B5846002C14BF7B2173212E -S1134C5044F2A157C0F20007B84706F180040AF0F5 -S1134C603F0A20465146B84744F2C157C0F20007F4 -S1134C702046B84710F4004FFAD106F1880044F2F8 -S1134C80C157C0F20007B847019006F18C00B8473D -S1134C90814606F19000B847009006F19400B847A9 -S1134CA0804606F19800B8470023AB6083B213F442 -S1134CB0807F03D118F4005F03D104E018F4005F8F -S1134CC001D14022AA601FFA88F818F480421FBF5D -S1134CD0C8F30C08009FB9B241EA08411FBF29601C -S1134CE0A96841F00401A96004BFC8F38A012960DE -S1134CF013F4804F1EBFA96841F48071A96013F4B6 -S1134D00805F31D09AB1C9F30C02019FB9B241EA74 -S1134D1002426A606FF060418A4203D1AA6812F0CD -S1134D20400F13D1AA6842F00802AA600EE0C9F34A -S1134D308A026A6040F2FF718A4203D1AA6812F0C3 -S1134D40400F03D1AA6842F00802AA6019F4004F88 -S1134D501EBFAA6842F02802AA6019F4804F1EBF41 -S1134D60AA6842F01802AA6013F4006F1EBFAA6872 -S1134D7042F00102AA6013F4806F1EBFAB6843F0D7 -S1134D800203AB6010F4004F04BF0023EB603BD080 -S1134D9000F00F00E860AB6813F0400F1CD12F69DE -S1134DA000979C368146B8B14FF00108002744F2C1 -S1134DB0C153C0F2000301933046019B9847009B06 -S1134DC0D855C14508DD0237000A03F80800043647 -S1134DD008F10208B945EFDC5846042144F2A15613 -S1134DE0C0F20006B04720465146B04744F2C156CF -S1134DF0C0F200062046B04710F4004FFAD1AB6869 -S1134E0043F08003AB60FFE703B0BDE8F08F00BF61 -S1134E1020F480534FF40042C4F20502934218BFB9 -S1134E20B3F1402F31D04FF4C041C4F200014FF42C -S1134E302042C4F20502934218BF8B4227D04FF49C -S1134E408041C4F202014FF44042C4F2050293428D -S1134E5018BF8B421DD04FF4C041C4F202014FF47D -S1134E606042C4F20502934218BF8B4213D04FF440 -S1134E705042C4F203020023C4F20603984218BF4E -S1134E80904214BF00200120704701207047012088 -S1134E907047012070470120704700BF70B5044679 -S1134EA00E46154644F61163C0F20003984748B90C -S1134EB045F65830C0F20000E42144F2D543C0F274 -S1134EC000039847022D09D945F65830C0F2000076 -S1134ED0E62144F2D543C0F20003984715F0010FD0 -S1134EE004F58063D4F8002414BF3243B2431A603B -S1134EF015F0020F04F58463D4F8202414BF16437C -S1134F0022EA06061E6070BDF8B504460D46174633 -S1134F101E4644F61163C0F20003984750B945F6A3 -S1134F205830C0F200004FF4DD7144F2D543C0F2B2 -S1134F300003984727F008027B1E042A18BF012BA0 -S1134F400AD945F65830C0F200004FF4DF7144F23C -S1134F50D543C0F200039847A6F10803052B0BD9EB -S1134F6056B145F65830C0F2000040F2C51144F283 -S1134F70D543C0F20003984717F0010F04F5A0636E -S1134F80D4F8002514BF2A43AA431A6017F0020F6D -S1134F9004F20453D4F8042514BF2A43AA431A6024 -S1134FA017F0040F04F5A163D4F8082514BF2A43AD -S1134FB0AA431A6017F0080F04F5A363D4F8182560 -S1134FC014BF2A43AA431A6016F0010F04F20C53CB -S1134FD0D4F80C2514BF2A43AA431A6016F0020F12 -S1134FE004F5A263D4F8102514BF2A43AA431A6017 -S1134FF016F0040F04F21453D4F8142514BF2A43F2 -S1135000AA431A6016F0080F04F21C53D4F81C25A6 -S113501014BF2A43AA431A602EB904F5A563D4F831 -S11350202825154305E004F5A563D4F8282522EACC -S113503005051D60F8BD00BF70B504460D46164653 -S113504044F61163C0F20003984750B945F658304E -S1135050C0F200004FF4517144F2D543C0F2000392 -S1135060984744F8256070BD38B505460C4644F6AB -S11350701163C0F20003984750B945F65830C0F2A6 -S113508000004FF4647144F2D543C0F20003984722 -S113509028462146022244F69D63C0F20003984745 -S11350A0284621460422082344F60974C0F2000469 -S11350B0A04738BD38B505460C4644F61163C0F226 -S11350C00003984750B945F65830C0F2000040F24A -S11350D0044144F2D543C0F20003984728462146D0 -S11350E0012244F69D63C0F20003984728462146F6 -S11350F00122082344F60974C0F20004A04738BD15 -S113510038B505460C4644F61163C0F200039847CF -S113511050B945F65830C0F2000040F21F5144F235 -S1135120D543C0F20003984728462146022244F69C -S11351309D63C0F2000398472846214601220823B4 -S113514044F60974C0F20004A04738BD10B5044603 -S113515046280AD945F67030C0F200004FF4D571E4 -S113516044F2D543C0F200039847042C08D14EF60C -S11351702453CEF200031A6842F480321A6010BD40 -S1135180052C08D14EF62453CEF200031A6842F4DB -S113519000321A6010BD062C08D14EF62453CEF20C -S11351A000031A6842F480221A6010BD0F2C08D143 -S11351B04EF21003CEF200031A6842F002021A60A3 -S11351C010BDA4F110031F2B08D8012202FA03F327 -S11351D04FF46142CEF20002136010BD2F2C08D9A7 -S11351E0303C012303FA04F44EF20413CEF200031C -S11351F01C6010BD10B5044646280AD945F6703027 -S1135200C0F200004FF4F77144F2D543C0F200033A -S11352109847042C08D14EF62453CEF200031A68A2 -S113522022F480321A6010BD052C08D14EF62453A6 -S1135230CEF200031A6822F400321A6010BD062C64 -S113524008D14EF62453CEF200031A6822F48022C9 -S11352501A6010BD0F2C08D14EF21003CEF20003D9 -S11352601A6822F002021A6010BDA4F110031F2B69 -S113527008D8012202FA03F34EF28012CEF20002A1 -S1135280136010BD2F2C08D9303C012303FA04F419 -S11352904EF28413CEF200031C6010BD30B420F033 -S11352A08053A3F58012013A4FF48071C0F21001CB -S11352B08B4218BF012A98BF012040F297804FF417 -S11352C00071C0F210014FF48062C0F210029342E8 -S11352D018BF8B4208BF012000F088804FF4A04122 -S11352E0C2F2100100F16042013A884218BF012A5B -S11352F098BF01207AD90422C2F20002904208BF6A -S1135300012073D01022C2F20002904208BF012093 -S11353106CD02022C2F20002904208BF012065D066 -S113532020F480148021C2F200014FF48072C2F292 -S11353300002944218BF8B4208BF012056D020F0CF -S11353400052B0F1102F18BF402A08BF01204DD0E1 -S11353504FF48041C1F200018C4208BF012045D0C6 -S1135360B0F1101F08BF012040D04FF48075C1F286 -S113537000054FF40071C1F20001884218BFA84231 -S113538008BF012032D01025C1F200052021C1F24E -S113539000018A4218BFAA4208BF012026D0082172 -S11353A0C1F2100188421CD000F170410139012979 -S11353B017D90421C1F200018C4214D0B0F1202F7E -S11353C013D00121C2F2100188420ED04FF4805054 -S11353D0C0F21000834218BF082A14BF0020012025 -S11353E004E0012002E0012000E0012030BC70470D -S11353F010B5044645F29D23C0F20003984750B906 -S113540045F6E830C0F200004FF4FC7144F2D54395 -S1135410C0F20003984745F60043C0F20003220F90 -S113542053F822301A68A1B2C4F3044401FA04F414 -S113543014431C6010BD00BF4EF60C53CEF20003A3 -S11354400422C0F2FA521A60FEE700BF01387FF46A -S1135450FDAF704770B504464FF46043C4F20F03C8 -S11354601B6813F0E04F0BD04FF46043C4F20F03FA -S11354701A680023C7F2FF031340B3F1805F02D11F -S1135480002CC0F2C3804EF26002C4F20F02116815 -S11354904EF27003C4F20F031E6821F4800545F434 -S11354A0006546F400601560186011F0020F02D028 -S11354B014F0020F05D011F0010F24D014F0010FE5 -S11354C021D164F003031D404EF26003C4F20F03C4 -S11354D01D60002804DA06F03003302B04D00BE002 -S11354E005F03003302B07D14FF4805045F24D4383 -S11354F0C0F20003984706E04FF4002045F24D4304 -S1135500C0F20003984725F45F5525F0300543F2B7 -S1135510F07323401D434DF68F73C7F6FF7333407A -S113552043F4006242F23003C8F200032340134301 -S113553004F008024EF25801C4F20F014020086042 -S113554053EAC2060AD54EF27003C4F20F031E607A -S11355504EF26003C4F20F031D6009E04EF26003D3 -S1135560C4F20F031D604EF27003C4F20F031E60F9 -S1135570102045F24D42C0F20002904725F0F86039 -S113558020F003000323C0F2C0732340184326F025 -S1135590FC5604F0FC510E4314F0804F1FBF40F43E -S11355A0800026F480050023C4F240031ABF234080 -S11355B01D4326F0804514F4006F17D14EF25003BA -S11355C0C4F20F031B6813F0400F0BD147F6FF73AF -S11355D04EF25001C4F20F010A6812F0400F01D1DB -S11355E0013BF9D120F4006025F400654EF260031C -S11355F0C4F20F0318604EF27003C4F20F031D606F -S1135600102045F24D43C0F20003984770BD00BF1F -S113561030B44EF26003C4F20F0319684EF2700303 -S1135620C4F20F031A68002AB4BF02F0700301F039 -S11356303003202B71D003D87BB1102B16D037E167 -S1135640602B00F0C180702B00F0BB80302B08BFB2 -S113565003F5EA4300F0CF802AE145F68C33C0F22B -S11356600003C1F3841053F82030C4E04FF46043C6 -S1135670C4F20F031B6813F0E04F04BF4EF2C013D3 -S1135680C0F2E40300F0B7804FF46043C4F20F03A8 -S113569018680023C7F2FF030340B3F1805F00F0F2 -S11356A096804FF46043C4F20F0318680023C7F2D6 -S11356B0FF0303400020C1F20100834208D14FF4EC -S11356C06043C4F20F031B689BB2022B00F084807A -S11356D04FF46043C4F20F0318680023C7F2FF03BA -S11356E003400020C1F2030083421CBF4FF4105357 -S11356F0C0F2F4037FD14FF46043C4F20F031C687B -S1135700A4B24FF4D853C0F2B7034FF41050C0F210 -S1135710F400002C18BF03466DE04FF46043C4F25C -S11357200F031B6813F0E04F04BF43F67003C0F28D -S1135730390360D04FF46043C4F20F0318680023A8 -S1135740C7F2FF030340B3F1805F4AD04FF46043D4 -S1135750C4F20F0318680023C7F2FF0303400020BC -S1135760C1F20100834207D14FF46043C4F20F0336 -S11357701B689BB2022B39D04FF46043C4F20F0371 -S113578018680023C7F2FF0303400020C1F203009E -S113579083421CBF4FF41063C0F23D032BD14FF47E -S11357A06043C4F20F031C68A4B24CF2C063C0F29D -S11357B02D034FF41060C0F23D00002C18BF0346C7 -S11357C019E04FF4004316E04FF4800313E04EF267 -S11357D0C013C0F2E4030EE04FF4D853C0F2B70391 -S11357E009E043F67003C0F2390304E04CF2C063ED -S11357F0C0F22D03FFE7002A03DA12F4006F03D08E -S113580058E011F4006F5AD14EF26400C4F20F0054 -S113581000684FF46044C4F20F04246814F0E04FAD -S11358200BD04FF46044C4F20F0425680024C7F27F -S1135830FF042C40B4F1805F0AD1C0F34814023451 -S113584004FB03F300F01F040234B3FBF4F309E098 -S1135850C0F3481404FB03F300F01F040134640094 -S1135860B3FBF4F310F4804F18BF5B0810F4004F3F -S113587018BF9B08002AA8BF41F4800112DA12F075 -S1135880804F09D012F4006F06D15B00C2F3865238 -S11358900132B3FBF2F016E0C2F3C5500130B3FBA2 -S11358A0F0F010E0C1F3C3500130B3FBF0F00AE0B4 -S11358B0002008E011F4800FE1D1184603E011F450 -S11358C0800FEFD1184630BC704700BF4EF2100372 -S11358D0CEF200031A6842F005021A60704700BF56 -S11358E04EF21003CEF200031A6842F002021A606C -S11358F0704700BF10B5441EB4F1807F09D345F64C -S11359000C40C0F20000D02144F2D543C0F20003A1 -S113591098474EF21403CEF200031C6010BD00BF82 -S113592020F480524FF44043C4F200039A4208D05A -S11359304FF46043C4F20003984214BF00200120D6 -S113594070470120704700BF10B5044645F6211387 -S1135950C0F20003984750B945F62440C0F2000055 -S11359604FF4CF7144F2D543C0F200039847E36A81 -S113597043F01003E362236B43F4407343F00103E9 -S1135980236310BD10B5044645F62113C0F200038D -S1135990984750B945F62440C0F200004FF4DF7137 -S11359A044F2D543C0F200039847A36913F0080FEB -S11359B0FBD1E36A23F01003E362236B23F4407307 -S11359C023F00103236310BDF8B504460E461546C3 -S11359D01F4645F62112C0F20002904750B945F621 -S11359E02440C0F2000040F20D1144F2D543C0F24D -S11359F00003984755B945F62440C0F200004FF41F -S1135A00877144F2D543C0F2000398474FF46042D3 -S1135A10C4F20F02136813F0E04F08BF102340D004 -S1135A204FF46043C4F20F031A680023C7F2FF0364 -S1135A301340B3F1805F08BF102332D04FF46043AA -S1135A40C4F20F031A680023C7F2FF0313400022B5 -S1135A50C1F20102934209D14FF46043C4F20F032F -S1135A601B689BB2022B08BF10231AD04FF460436B -S1135A70C4F20F031A680023C7F2FF031340002285 -S1135A80C1F20302934218BF08230AD14FF46043C2 -S1135A90C4F20F031B689BB2002B0CBF1023082316 -S1135AA0FFE705FB03F3B3420AD945F62440C0F2ED -S1135AB0000040F20F1144F2D543C0F200039847AE -S1135AC0204645F68512C0F200029047B6EB051F4A -S1135AD0236B3DBF43F0200323636D0823F02003B1 -S1135AE028BF2363F600B6FBF5F50135EB096362C5 -S1135AF0C5F34505A562E7620023A361204645F688 -S1135B004913C0F200039847F8BD00BF10B504461E -S1135B1045F62113C0F20003984750B945F62440D6 -S1135B20C0F2000040F2094144F2D543C0F2000340 -S1135B309847A36913F0100F0CBF20684FF0FF3093 -S1135B4010BD00BF6C69622F6472697665726C69FE -S1135B50622F63616E2E63006C69622F64726976D2 -S1135B6065726C69622F6770696F2E6300000000B4 -S1135B706C69622F6472697665726C69622F696EF2 -S1135B80746572727570742E6300000040420F00D9 -S1135B9000201C0080841E0000802500999E360091 -S1135BA00040380000093D0000803E0000004B002A -S1135BB0404B4C0000204E00808D5B0000C05D0017 -S1135BC00080700000127A0000007D00809698002A -S1135BD0001BB7000080BB00C0E8CE00647ADA0086 -S1135BE00024F4000000FA006C69622F6472697684 -S1135BF065726C69622F73797363746C2E63000031 -S1135C0000E10F4004E10F4008E10F406C69622F8E -S1135C106472697665726C69622F737973746963EF -S1135C206B2E63006C69622F6472697665726C69AD -S10F5C30622F756172742E630000000086 +S113400080040020F9430000BD430000BD430000CC +S1134010BD430000BD430000BD430000BD4300009C +S1134020BD430000BD430000BD430000BD4300008C +S1134030BD430000BD430000BD43000091410000AA +S1134040BD430000BD430000BD430000BD4300006C +S1134050BD430000BD430000BD430000BD4300005C +S1134060BD430000BD430000BD430000BD4300004C +S1134070BD430000BD430000BD430000BD4300003C +S1134080BD430000BD430000BD430000BD4300002C +S1134090BD430000BD430000BD430000BD4300001C +S11340A0BD430000BD430000BD430000BD4300000C +S11340B0BD430000BD430000BD430000BD430000FC +S11340C0BD430000BD430000BD430000BD430000EC +S11340D0BD430000BD430000BD430000BD430000DC +S11340E0BD430000BD430000BD430000BD430000CC +S11340F0EE11AA5510B5054C237833B9044B13B10E +S11341000448AFF300800123237010BD0800002091 +S113411000000000EC580000084B10B51BB1084922 +S11341200848AFF300800848036803B910BD074B83 +S1134130002BFBD0BDE81040184700BF0000000072 +S11341400C000020EC5800000800002000000000D3 +S1134150014B1860704700BF2400002008B500F030 +S113416081FB074BA3FB0030800901F027FB01F022 +S113417015FB01F01BFB0020FFF7EAFF08BD00BFA1 +S1134180D34D6210014B1868704700BF2400002013 +S1134190024A136801331360704700BF24000020F3 +S11341A008B50A4800F084FA094800F081FA0321AE +S11341B04FF0402000F046FF00F054FB60234FF422 +S11341C061420146034800F099FC08BD010000105B +S11341D00100002000C0004000B585B00423029314 +S11341E01023009329E00823019321E0642300FBBA +S11341F0033381180131B3FBF1F3DBB2413BDBB292 +S11342000A2B13D81023B3FBF1F301FB03F3102B98 +S11342100CD1032A00D802921023B3FBF1F10391CD +S11342206946094801F02AF8012009E0013A01929F +S1134230019A002ADAD10138009000980028D2D1DE +S113424005B05DF804FB00BF0000044010B586B063 +S1134250114800F02DFA0321104800F0BFFE104869 +S113426000F026FA0F4C204600F0A4FFFFF7B4FF3D +S1134270204600F0EDFF40F26763019340F2FF73C4 +S11342800293082303930493022301AA01212046E5 +S113429001F0A8F806B010BD08000020007000402E +S11342A0000110000000044010B50446054800F069 +S11342B099FCB0F1FF3F02D02070012010BD002016 +S11342C010BD00BF00C0004008B5FFF769FFFFF74D +S11342D0BDFF08BD08B500F007FA08BD08B5204BBE +S11342E01B789BB91F48FFF7DFFF012837D11D4B0F +S11342F01B78002B33D0FFF745FF1B4B18600122BE +S1134300174B1A700022194B1A7008BD174B1B78F3 +S1134310013314481844FFF7C7FF012815D1134A85 +S113432013780133DBB213700E4A1278934216D11C +S113433000220B4B1A700B4B5B78FF2B0FD1094BF0 +S11343409B7863B9FFF7C6FF08BDFFF71BFF064B59 +S11343501B686433984202D90022014B1A7008BDCD +S113436029000020300000202C000020280000201C +S113437000B589B002210D4800F0EEFF10F0010FE6 +S113438010D001AB0793012303AA1946074801F093 +S113439027F99DF80430FF2B04D19DF805300BB9A3 +S11343A0FFF798FF09B05DF804FB00BF000004406C +S11343B008B5FFF793FFFFF7DBFF08BDFEE700BF7B +S11343C008B5044800F09CF900F03CF8FFF7C6FE7D +S11343D008BD00BF8003C00108B5FFF7F1FFFFF778 +S11343E073FF00F043F8FFF7E3FFFAE7014B1960AE +S11343F0FEE700BF7400002008B50F498D460B4B43 +S11344000B4A03E0116819600433043209498B42F2 +S1134410F8D30A480A494FF000028842B8BF40F86E +S1134420042BFADBFFF7D8FF08BD00BF0000002013 +S1134430F05800000800002080040020080000203C +S11344407D00002010B5074800F032F9064C012128 +S1134450204600F0DDFD00220121204600F0ACFDE5 +S113446010BD00BF200000200050024010B5FFF72F +S113447089FE0E4B1B68C31AB3F5FA7F14D30446A6 +S11344800B4B1B783BB90121094B19700A460948AB +S113449000F092FD06E00022054B1A700121054848 +S11344A000F08AFD014B1C6010BD00BF78000020A5 +S11344B07C00002000500240A0F58013013B012B3A +S11344C040F28F80714B984200F08D8003F5807329 +S11344D0984200F08A8003F50073984200F08780C8 +S11344E003F18053A3F54073984200F0828003F5F2 +S11344F0807398427FD003F5007398427DD003F512 +S1134500705398427BD003F11023984279D0604BCA +S1134510984278D00133984277D00233984276D0CB +S11345200433984275D00833984274D010339842BB +S113453073D02033984272D04033984271D0803384 +S1134540984270D0402870D0B0F1102F6FD0514BEA +S113455098426ED003F57D2398426CD003F18053CA +S1134560A3F57063984268D04B4B984267D0703B78 +S1134570984266D0B0F1101F65D0484B984264D081 +S113458003F58073984262D0A3F5F873984260D023 +S1134590103398425FD003F1005398425DD0404BF2 +S11345A098425CD0013398425BD0023398425AD08F +S11345B00433984259D03B4B984258D00133984227 +S11345C057D00233984256D0B0F1202F55D0364BF5 +S11345D0984254D0082854D0344B984253D10120E7 +S11345E07047012070470120704701207047012067 +S11345F07047012070470120704701207047012057 +S11346007047012070470120704701207047012046 +S11346107047012070470120704701207047012036 +S11346207047012070470120704701207047012026 +S11346307047012070470120704701207047012016 +S11346407047012070470120704701207047012006 +S113465070470120704701207047012070470120F6 +S113466070470120704701207047012070470120E6 +S113467070470120704701207047012070470120D6 +S11346807047012070470020704700BF00011000F0 +S113469001000020004000108000003000010010E4 +S11346A00100101001000010010010200010100083 +S11346B010B50446FFF700FF20B94FF4FC71084819 +S11346C0FFF794FE220F074B53F822100868A2B29A +S11346D0C4F3044302FA03F303430B6010BD00BFA9 +S11346E05058000068580000014A024B1A60FEE767 +S11346F00400FA050CED00E001387FF4FDAF7047CB +S113470070B54E4B1B6813F0E04F06D04B4B1A6844 +S11347104B4B1340B3F1805F02D10028C0F28C8070 +S1134720044648490B68484A166823F4800545F452 +S1134730006546F400600D60106013F0020F02D0B3 +S113474014F0020F05D013F0010F1ED014F0010F66 +S11347501BD164F003031D403A4B1D60002805DAA9 +S113476006F07003302B07D0702B05D0002808DB2F +S113477005F03003302B04D14FF48050FFF7BCFF19 +S113478003E04FF40020FFF7B7FF25F45F5525F051 +S1134790300543F2F07323401D432C4B334043F464 +S11347A000622B4B23401343E20002F040024020FE +S11347B02849086053EA020604D5234B1E60103BC7 +S11347C01D6003E01F4B1D6010331E601020FFF7B7 +S11347D093FF25F0F86525F003051F4A2240154391 +S11347E026F0FC5104F0FC530B4314F0804F07D027 +S11347F045F4800523F4800318492140194301E05E +S113480023F0804114F4006F07D00EE0144A1268BC +S113481012F0400F05D1013B01E04FF40043002B9F +S1134820F4D125F4006521F40061064B1D601033BA +S113483019601020FFF760FF70BD00BF00E00F405B +S11348400000FF7060E00F4070E00F408FDFFF7FDB +S11348503020008058E00F400300C00700004040B3 +S113486050E00F4030B4724B1B68724A1168002943 +S113487002DA01F0700201E003F03002202A3AD09B +S113488003D862B1102A10D0CFE0602A5BD0702A1E +S113489056D0302A40F0C98047F2305069E0C3F363 +S11348A08412654850F8220063E0644A126812F0EA +S11348B0E04F4BD0614A1068614A0240B2F1805F18 +S11348C046D05E4A10685E4A02405E48824204D185 +S11348D05A4A126892B2022A3CD0584A1068584A7E +S11348E002405948824237D1544A126892B2002A8F +S11348F034D056483DE0514A126812F0E04F2FD0B0 +S11349004E4A10684E4A0240B2F1805F2AD04B4AA8 +S113491010684B4A02404B48824204D1474A12680D +S113492092B2022A20D0454A1068454A02404648BD +S113493082421BD1414A126892B2CAB1444818E07B +S11349404FF4004015E04FF4800012E0414810E0BD +S113495040480EE040480CE03C480AE03E4808E08D +S11349603E4806E03D4804E03D4802E0384800E0A7 +S11349703B48002902DA11F4006F04D000292FDB30 +S113498013F4006F2CD1374A1468643A126812F099 +S1134990E04F06D0294A1568294A2A40B2F1805FBF +S11349A00AD1C4F34812023202FB00F004F01F02E1 +S11349B00232B0FBF2F009E0C4F3481202FB00F04B +S11349C004F01F0201325200B0FBF2F014F4804FE5 +S11349D000D0400814F4004F00D0800843F4800352 +S11349E013F4800F22D0002919DA11F0804F10D06F +S11349F0002902DA11F4006F04D0002909DB13F452 +S1134A00006F06D14000C1F386530133B0FBF3F0CD +S1134A100CE0C1F3C5530133B0FBF3F006E0C3F37C +S1134A20C3530133B0FBF3F000E0002030BC704707 +S1134A3060E00F4070E00F40F457000000E00F40CA +S1134A400000FF7000000110000003100024F400B7 +S1134A5000093D00C0E1E400001BB70070383900D4 +S1134A60C0C62D0064E00F40094B984209D003F5FD +S1134A708053984207D003F58053984205D1012012 +S1134A80704701207047012070470020704700BF25 +S1134A9000C0004010B50446FFF7E6FF20B94FF40C +S1134AA0CF710748FFF7A2FCE36A43F01003E36207 +S1134AB0236B43F4407343F00103236310BD00BF31 +S1134AC07458000010B50446FFF7CEFF20B94FF428 +S1134AD0DF710948FFF78AFCA36913F0080FFBD1C3 +S1134AE0E36A23F01003E362236B23F4407323F09F +S1134AF00103236310BD00BF74580000F8B50546D8 +S1134B000C4616461F46FFF7AFFF20B940F20D11C1 +S1134B102F48FFF76BFC26B94FF487712C48FFF739 +S1134B2065FC2C4B1B6813F0E04F1FD0294B1A680F +S1134B30294B1340B3F1805F1AD0264B1A68264BD9 +S1134B401340264A934204D1224B1B689BB2022B8A +S1134B5010D0204B1A68204B1340214A93420BD1AA +S1134B601C4B1B689BB24BB1082308E0102306E0E2 +S1134B70102304E0102302E0082300E0102306FBC6 +S1134B8003F3A34204D940F20F111148FFF72EFC9E +S1134B902846FFF797FFB4EB061F05D22B6B43F0B3 +S1134BA020032B63760803E02B6B23F020032B6395 +S1134BB0E400B4FBF6F40134E3096B62C4F3450486 +S1134BC0AC62EF620023AB612846FFF763FFF8BDD8 +S1134BD07458000000E00F400000FF700000011056 +S1134BE00000031010B50446FFF73EFF20B940F261 +S1134BF009410648FFF7FAFBA36913F0100F01D12E +S1134C00206810BD4FF0FF3010BD00BF7458000085 +S1134C1010B50446462804D94FF4D5711A48FFF755 +S1134C20E5FB042C05D1194A136843F4803313605F +S1134C3010BD052C05D1154A136843F400331360E5 +S1134C4010BD062C05D1114A136843F48023136068 +S1134C5010BD0F2C05D10E4A136843F002031360F4 +S1134C6010BDA4F110031F2B05D8012202FA03F38F +S1134C70084A136010BD2F2C05D9303C012303FAD8 +S1134C8004F4054B1C6010BD8C58000024ED00E0BA +S1134C9010E000E000E100E004E100E010B50446AB +S1134CA0462804D94FF4F7711A48FFF79FFB042CE8 +S1134CB005D1194A136823F48033136010BD052C01 +S1134CC005D1154A136823F40033136010BD062C74 +S1134CD005D1114A136823F48023136010BD0F2CEF +S1134CE005D10E4A136823F00203136010BDA4F12A +S1134CF010031F2B05D8012202FA03F3084A13609C +S1134D0010BD2F2C05D9303C012303FA04F4054BC4 +S1134D101C6010BD8C58000024ED00E010E000E0A1 +S1134D2080E100E084E100E0B0F1402F44D0344B56 +S1134D30984243D0A3F5A623984241D003F5A82373 +S1134D4098423FD0A3F5A62398423DD003F5A8236B +S1134D5098423BD0A3F5A623984239D003F5A82363 +S1134D60984237D0A3F55C33984235D003F56033CD +S1134D70984233D0A3F55C33984231D003F56033C5 +S1134D8098422FD0A3F55C3398422DD003F56033BD +S1134D9098422BD0A3F55C33984229D003F56033B5 +S1134DA0984227D0A3F50833984225D003F50C3355 +S1134DB0984223D101207047012070470120704799 +S1134DC0012070470120704701207047012070477F +S1134DD0012070470120704701207047012070476F +S1134DE0012070470120704701207047012070475F +S1134DF00120704701207047012070470020704750 +S1134E000080054070B505460C461646FFF78CFF3A +S1134E1018B9E4211248FFF7E9FA022E03D9E62172 +S1134E200F48FFF7E3FA05F5806316F0010F03D08E +S1134E30D5F80024224303E0D5F8002422EA040232 +S1134E401A6005F5846316F0020F03D0D5F8202408 +S1134E50144303E0D5F8202422EA04041C6070BD46 +S1134E60A8580000F8B504460D4617461E46FFF73D +S1134E705BFF20B94FF4DD714E48FFF7B7FA7B1E94 +S1134E80012B08D9042F06D00C2F04D04FF4DF7166 +S1134E904848FFF7ABFA082E0FD00A2E0DD00C2E7F +S1134EA00BD0092E09D00B2E07D00D2E05D026B11C +S1134EB040F2C5113F48FFF799FA04F5A06317F0D3 +S1134EC0010F03D0D4F800252A4303E0D4F80025C9 +S1134ED022EA05021A6004F2045317F0020F03D009 +S1134EE0D4F804252A4303E0D4F8042522EA050271 +S1134EF01A6004F5A16317F0040F03D0D4F8082551 +S1134F002A4303E0D4F8082522EA05021A6004F5CE +S1134F10A36317F0080F03D0D4F818252A4303E03D +S1134F20D4F8182522EA05021A6004F20C5316F08C +S1134F30010F03D0D4F80C252A4303E0D4F80C2540 +S1134F4022EA05021A6004F5A26316F0020F03D0E8 +S1134F50D4F810252A4303E0D4F8102522EA0502E8 +S1134F601A6004F2145316F0040F03D0D4F8142575 +S1134F702A4303E0D4F8142522EA05021A6004F255 +S1134F801C5316F0080F03D0D4F81C252A4303E061 +S1134F90D4F81C2522EA05021A6004F5A5631EB99B +S1134FA0D4F82825154303E0D4F8282522EA05057A +S1134FB01D60F8BDA858000070B504460D4616469D +S1134FC0FFF7B2FE20B94FF451710348FFF70EFA10 +S1134FD044F8256070BD00BFA858000038B50446E9 +S1134FE00D46FFF7A1FE20B94FF464710748FFF79F +S1134FF0FDF9022229462046FFF704FF0823042274 +S113500029462046FFF72EFF38BD00BFA8580000F0 +S113501038B504460D46FFF787FE20B940F2044137 +S11350200748FFF7E3F9012229462046FFF7EAFE85 +S11350300823012229462046FFF714FF38BD00BF8C +S1135040A858000038B504460D46FFF76DFE20B998 +S113505040F21F510748FFF7C9F9022229462046AA +S1135060FFF7D0FE0823012229462046FFF7FAFE67 +S113507038BD00BFA8580000094B984209D003F579 +S11350808053984207D003F58053984205D10120FC +S1135090704701207047012070470020704700BF0F +S11350A000000440094B98420ED003F58053984207 +S11350B005D0A3F50053984203D137207047392017 +S11350C070474FF0FF30704738207047001004409D +S11350D082B001600023019302E0019B013301933C +S11350E0019B042BF9DD02B0704700BFF8B50746F9 +S11350F00846154600240DE0631C395D9D4204DD1D +S11351000234FB5C41EA032100E01C46061DFFF764 +S1135110DFFF3046AC42EFDBF8BD00BF70B582B0B4 +S1135120044620F47F6020F00F00FFF7BBFF054624 +S1135130B0F1FF3F03D1F9211048FFF757F9104BA5 +S11351401968A5F13003012202FA03F311EA0306F8 +S113515002D02846FFF7A2FD23680023019302E052 +S1135160019B01330193019B042BF9DD246816B1E3 +S11351702846FFF74DFD204602B070BDC058000020 +S113518004E100E0F8B507460846154600240DE0A2 +S1135190061DFFF7C3FF631C38559D4204DD02342E +S11351A0C0F30720F85400E01C463046AC42EFDB65 +S11351B0F8BD00BFF8B50646FFF75EFF20B940F220 +S11351C0D9112248FFF712F901213046FFF780FF79 +S11351D006F120042046FFF7A1FF10F4004FF7D199 +S11351E006F12407B0213846FFF772FF002106F1CB +S11351F03400FFF76DFF002106F13800FFF768FF68 +S113520001250AE02046FFF789FF10F4004FF9D189 +S113521029462046FFF75CFF0135202DF2DD0C21E5 +S11352203846FFF755FF01250AE02046FFF776FFD1 +S113523010F4004FF9D129462046FFF749FF013504 +S1135240202DF2DD301DFFF769FFF8BDC0580000C6 +S113525010B50446FFF710FF20B940F23A21064882 +S1135260FFF7C4F82046FFF759FF20F0010120465C +S1135270FFF72EFF10BD00BFC058000070B50546F3 +S11352800C46FFF7F9FE20B94FF459713148FFF786 +S1135290ADF824B940F265312E48FFF7A7F823682A +S11352A0023B0E2B04D940F26B312A48FFF79EF8DB +S11352B06368013B072B04D94FF45C712548FFF761 +S11352C095F8A368013B032B04D940F275312148BA +S11352D0FFF78CF8E368013BB3F5806F04D340F229 +S11352E07B311C48FFF782F82846FFF717FF064674 +S11352F040F041012846FFF7EBFE616801390903DC +S113530001F4E0412268013A120202F4706211438E +S1135310A368013B9B01DBB20B43E168013901F057 +S11353203F01194305F10C00FFF7D2FEE168013992 +S1135330C1F3831105F11800FFF7CAFE26F04001FE +S113534016F0010F01D026F041012846FFF7C0FEF8 +S113535070BD00BFC058000038B505460C46FFF7C5 +S11353608BFE20B940F292511D48FFF73FF8032C01 +S113537032D8DFE804F0020D192504352846FFF77A +S1135380CDFE04466FF01F012846FFF7A1FE24E07E +S113539005F58070FFF7C2FE044605F58270FFF73D +S11353A0BDFE44EA004418E005F59070FFF7B6FE30 +S11353B0044605F59270FFF7B1FE44EA00440CE0A0 +S11353C005F5B070FFF7AAFE044605F5B270FFF7C5 +S11353D0A5FE44EA004400E00024204638BD00BF96 +S11353E0C05800002DE9F04F83B005468B46914626 +S11353F01E46FFF741FE20B940F25F617748FEF791 +S1135400F5FF0BF1FF331F2B04D94FF4CC61734824 +S1135410FEF7ECFF042E04D940F266616F48FEF7F4 +S1135420E5FF05F120042046FFF778FE10F4004F55 +S1135430F7D1D9F80030B3F5006F07D2D9F80820B6 +S113544012F0040F05D04FF0010E04E04FF0010EEE +S113545001E04FF0000E042E00F2BD80DFE806F0FC +S113546003300F17240001204FF480774FF40056C7 +S11354704FF00008CDF800804FF0930A28E0002098 +S113548007460646804600904FF0930A20E000202D +S11354904FF480574FF4005641F6FF784FF6FF72F1 +S11354A000924FF0D30A13E001204FF490574FF4C9 +S11354B000564FF00008CDF800804FF0930A07E043 +S11354C000204FF480770646804600904FF0930A00 +S11354D0D9F8081011F0080F16D0BEF1000F09D04A +S11354E0D9F804200192BDF804200092019AC2F375 +S11354F00C4809E0D9F804204FEA8208C8F30C08E4 +S11355001FFA88F80022009201F02802282A01D10B +S113551048F4004801F01802182A01D148F48048E0 +S113552011F0380F03D047F480574AF0400A4AF08C +S1135530200ABEF1000F07D09AB20192C3F30C43C4 +S11355401E4346F4404607E09B00C3F30C031E438E +S113555046F4004600230193D9F80C2002F00F030F +S11355601F4311F4007F02D147F08007BFB211F04E +S1135570010F01D047F4006711F0020F01D047F486 +S1135580806728B105F13C01D9F81000FFF7AEFDA2 +S1135590514605F12400FFF79BFD009905F1280011 +S11355A0FFF796FD414605F12C00FFF791FD0199A7 +S11355B005F13000FFF78CFD314605F13400FFF7AB +S11355C087FD394605F13800FFF782FD0BF03F01F6 +S11355D02046FFF77DFD03B0BDE8F08FC058000002 +S11355E02DE9F04F83B00646884615461C46FFF762 +S11355F043FD20B940F2C1716648FEF7F7FE08F199 +S1135600FF331F2B04D940F2C2716248FEF7EEFE4D +S11356100CB9732100E07B2106F1840B5846FFF797 +S113562057FD06F1800408F03F0841462046FFF785 +S11356304FFD2046FFF772FD10F4004FF9D106F13B +S11356408800FFF76BFD019006F18C00FFF766FD03 +S1135650824606F19000FFF761FD814606F1940051 +S1135660FFF75CFD074606F19800FFF757FD00239E +S1135670AB6083B213F4807202D117F4005F03D1DC +S113568022B117F4005F01D14022AA6017F48042CE +S11356900CD0414901EA07471FFA89F949EA07078B +S11356A02F60A96841F00401A96002E0C7F38A07EA +S11356B02F6013F4804F03D0A96841F48071A9606E +S11356C013F4805F31D09AB1334A02EA0A41BDF83B +S11356D004200A436A606FF060418A4203D1AA68D9 +S11356E012F0400F13D1AA6842F00802AA600EE03B +S11356F0CAF38A026A6040F2FF718A4203D1AA683F +S113570012F0400F03D1AA6842F00802AA601AF40A +S1135710004F03D0AA6842F02802AA601AF4804F0E +S113572003D0AA6842F01802AA6013F4006F03D0F1 +S1135730AA6842F00102AA6013F4806F03D0AB6838 +S113574043F00203AB6010F4004F1ED000F00F02D0 +S1135750EA60AB6813F0400F04D106F19C0128699C +S1135760FFF710FD04215846FFF7B2FC41462046DE +S1135770FFF7AEFC2046FFF7D1FC10F4004FF9D13F +S1135780AB6843F08003AB6001E00023EB6003B03F +S1135790BDE8F08FC05800000000FF1F024A1368E4 +S11357A043F005031360704710E000E0024A1368F9 +S11357B043F002031360704710E000E010B5441E8C +S11357C0B4F1807F03D3D0210248FEF70FFE024BD1 +S11357D01C6010BDD458000014E000E0F8B500BF10 +S11357E0F8BC08BC9E467047F8B500BFF8BC08BCBE +S11357F09E46704740420F0000201C0080841E001B +S113580000802500999E36000040380000093D00C4 +S113581000803E0000004B00404B4C0000204E0036 +S1135820808D5B0000C05D000080700000127A0073 +S113583000007D0080969800001BB7000080BB002C +S1135840C0E8CE00647ADA000024F4000000FA0014 +S11358506C69622F6472697665726C69622F737900 +S11358607363746C2E63000000E10F4004E10F4089 +S113587008E10F406C69622F6472697665726C6925 +S1135880622F756172742E63000000006C69622FD0 +S11358906472697665726C69622F696E746572727E +S11358A07570742E630000006C69622F64726976EF +S11358B065726C69622F6770696F2E630000000067 +S11358C06C69622F6472697665726C69622F6361B8 +S11358D06E2E63006C69622F6472697665726C69FE +S11358E0622F7379737469636B2E63000000000088 +S10B58F019410000F54000001D S9034000BC diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/makefile b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/makefile index ef3066db..082ed0e2 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/makefile +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/makefile @@ -1,11 +1,11 @@ #**************************************************************************************** -#| Description: Makefile for LM3S using CodeSourcery GNU GCC compiler toolset +#| Description: Makefile for GNU ARM Embedded toolchain. #| File Name: makefile #| #|--------------------------------------------------------------------------------------- #| C O P Y R I G H T #|--------------------------------------------------------------------------------------- -#| Copyright (c) 2012 by Feaser http://www.feaser.com All rights reserved +#| Copyright (c) 2017 by Feaser http://www.feaser.com All rights reserved #| #|--------------------------------------------------------------------------------------- #| L I C E N S E @@ -25,167 +25,101 @@ #**************************************************************************************** SHELL = sh -#|---------------------------------------------------------------------------------------| -#| Configure project name | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Configure project name | +#|--------------------------------------------------------------------------------------| PROJ_NAME=demoprog_ek_lm3s8962 -#|---------------------------------------------------------------------------------------| -#| Speficy project source files | -#|---------------------------------------------------------------------------------------| -PROJ_FILES= \ -boot.c \ -boot.h \ -cstart.c \ -header.h \ -led.c \ -led.h \ -main.c \ -time.c \ -time.h \ -vectors.c \ -lib/inc/asmdefs.h \ -lib/inc/hw_adc.h \ -lib/inc/hw_comp.h \ -lib/inc/hw_epi.h \ -lib/inc/hw_ethernet.h \ -lib/inc/hw_flash.h \ -lib/inc/hw_gpio.h \ -lib/inc/hw_hibernate.h \ -lib/inc/hw_i2c.h \ -lib/inc/hw_i2s.h \ -lib/inc/hw_ints.h \ -lib/inc/hw_memmap.h \ -lib/inc/hw_nvic.h \ -lib/inc/hw_pwm.h \ -lib/inc/hw_qei.h \ -lib/inc/hw_ssi.h \ -lib/inc/hw_sysctl.h \ -lib/inc/hw_timer.h \ -lib/inc/hw_types.h \ -lib/inc/hw_uart.h \ -lib/inc/hw_can.h \ -lib/inc/hw_udma.h \ -lib/inc/hw_usb.h \ -lib/inc/hw_watchdog.h \ -lib/inc/lm3s6965.h \ -lib/driverlib/adc.c \ -lib/driverlib/adc.h \ -lib/driverlib/can.c \ -lib/driverlib/can.h \ -lib/driverlib/comp.c \ -lib/driverlib/comp.h \ -lib/driverlib/cpu.c \ -lib/driverlib/cpu.h \ -lib/driverlib/debug.h \ -lib/driverlib/epi.c \ -lib/driverlib/epi.h \ -lib/driverlib/ethernet.c \ -lib/driverlib/ethernet.h \ -lib/driverlib/flash.c \ -lib/driverlib/flash.h \ -lib/driverlib/gpio.c \ -lib/driverlib/gpio.h \ -lib/driverlib/hibernate.c \ -lib/driverlib/hibernate.h \ -lib/driverlib/i2c.c \ -lib/driverlib/i2c.h \ -lib/driverlib/i2s.c \ -lib/driverlib/i2s.h \ -lib/driverlib/interrupt.c \ -lib/driverlib/interrupt.h \ -lib/driverlib/mpu.c \ -lib/driverlib/mpu.h \ -lib/driverlib/pin_map.h \ -lib/driverlib/pwm.c \ -lib/driverlib/pwm.h \ -lib/driverlib/qei.c \ -lib/driverlib/qei.h \ -lib/driverlib/rom.h \ -lib/driverlib/rom_map.h \ -lib/driverlib/ssi.c \ -lib/driverlib/ssi.h \ -lib/driverlib/sysctl.c \ -lib/driverlib/sysctl.h \ -lib/driverlib/systick.c \ -lib/driverlib/systick.h \ -lib/driverlib/timer.c \ -lib/driverlib/timer.h \ -lib/driverlib/uart.c \ -lib/driverlib/uart.h \ -lib/driverlib/udma.c \ -lib/driverlib/udma.h \ -lib/driverlib/usb.c \ -lib/driverlib/usb.h \ -lib/driverlib/watchdog.c \ -lib/driverlib/watchdog.h +#|--------------------------------------------------------------------------------------| +#| Configure tool path | +#|--------------------------------------------------------------------------------------| +TOOL_PATH=/opt/gcc-arm-none-eabi-5_4-2016q3/bin/ -#|---------------------------------------------------------------------------------------| -#| Compiler binaries | -#|---------------------------------------------------------------------------------------| -CC = arm-none-eabi-gcc -LN = arm-none-eabi-gcc -OC = arm-none-eabi-objcopy -OD = arm-none-eabi-objdump -AS = arm-none-eabi-as -SZ = arm-none-eabi-size +#|--------------------------------------------------------------------------------------| +#| Collect project files | +#|--------------------------------------------------------------------------------------| +# Recursive wildcard function implementation. Example usages: +# $(call rwildcard, , *.c *.h) +# --> Returns all *.c and *.h files in the current directory and below +# $(call rwildcard, /lib/, *.c) +# --> Returns all *.c files in the /lib directory and below +rwildcard = $(strip $(foreach d,$(wildcard $1*),$(call rwildcard,$d/,$2) $(filter $(subst *,%,$2),$d))) + +# Collect all application files in the current directory and its subdirectories +PROJ_FILES = $(call rwildcard, , *.c *.h *.S) -#|---------------------------------------------------------------------------------------| -#| Extract file names | -#|---------------------------------------------------------------------------------------| -PROJ_ASRCS = $(filter %.s,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) +#|--------------------------------------------------------------------------------------| +#| Toolchain binaries | +#|--------------------------------------------------------------------------------------| +RM = rm +CC = $(TOOL_PATH)arm-none-eabi-gcc +LN = $(TOOL_PATH)arm-none-eabi-gcc +OC = $(TOOL_PATH)arm-none-eabi-objcopy +OD = $(TOOL_PATH)arm-none-eabi-objdump +AS = $(TOOL_PATH)arm-none-eabi-gcc +SZ = $(TOOL_PATH)arm-none-eabi-size + + +#|--------------------------------------------------------------------------------------| +#| Filter project files +#|--------------------------------------------------------------------------------------| +PROJ_ASRCS = $(filter %.S,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CSRCS = $(filter %.c,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) PROJ_CHDRS = $(filter %.h,$(foreach file,$(PROJ_FILES),$(notdir $(file)))) -PROJ_CCMPL = $(patsubst %.c,%.cpl,$(PROJ_CSRCS)) -PROJ_ACMPL = $(patsubst %.s,%.cpl,$(PROJ_ASRCS)) -#|---------------------------------------------------------------------------------------| -#| Set important path variables | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Set important path variables | +#|--------------------------------------------------------------------------------------| VPATH = $(foreach path,$(sort $(foreach file,$(PROJ_FILES),$(dir $(file)))) $(subst \,/,$(OBJ_PATH)),$(path) :) OBJ_PATH = obj BIN_PATH = bin -INC_PATH = $(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file))))) -INC_PATH += -I. -I./lib +INC_PATH = $(patsubst %/,%,$(patsubst %,-I%,$(sort $(foreach file,$(filter %.h,$(PROJ_FILES)),$(dir $(file)))))) +INC_PATH += -I./lib LIB_PATH = -#|---------------------------------------------------------------------------------------| -#| Options for compiler binaries | -#|---------------------------------------------------------------------------------------| -CFLAGS = -g -mthumb -mcpu=cortex-m3 -mlong-calls -O1 -T memory.x -CFLAGS += -D PACK_STRUCT_END=__attribute\(\(packed\)\) -D sprintf=usprintf -Wno-main -CFLAGS += -D ALIGN_STRUCT_END=__attribute\(\(aligned\(4\)\)\) -D snprintf=usnprintf -CFLAGS += -D printf=uipprintf -ffunction-sections -fdata-sections $(INC_PATH) -CFLAGS += -D DEBUG -D gcc -LFLAGS = -nostartfiles -Xlinker -M -Xlinker -Map=$(BIN_PATH)/$(PROJ_NAME).map -LFLAGS += $(LIB_PATH) -Xlinker --gc-sections -OFLAGS = -O srec -ODFLAGS = -x -SZFLAGS = -B -d +#|--------------------------------------------------------------------------------------| +#| Options for toolchain binaries | +#|--------------------------------------------------------------------------------------| +STDFLAGS = -mcpu=cortex-m3 -mthumb -mfloat-abi=soft -fno-strict-aliasing +STDFLAGS += -Wno-unused-but-set-variable +STDFLAGS += -fdata-sections -ffunction-sections -Wall -g3 +OPTFLAGS = -Og +CFLAGS = $(STDFLAGS) $(OPTFLAGS) +CFLAGS += -DDEBUG -Dgcc +CFLAGS += $(INC_PATH) +AFLAGS = $(CFLAGS) +LFLAGS = $(STDFLAGS) $(OPTFLAGS) +LFLAGS += -Wl,-script="memory.x" -Wl,-Map=$(BIN_PATH)/$(PROJ_NAME).map +LFLAGS += -specs=nano.specs -Wl,--gc-sections $(LIB_PATH) +OFLAGS = -O srec +ODFLAGS = -x +SZFLAGS = -B -d +RMFLAGS = -f -#|---------------------------------------------------------------------------------------| -#| Specify library files | -#|---------------------------------------------------------------------------------------| +#|--------------------------------------------------------------------------------------| +#| Specify library files | +#|--------------------------------------------------------------------------------------| LIBS = -#|---------------------------------------------------------------------------------------| -#| Define targets | -#|---------------------------------------------------------------------------------------| -AOBJS = $(patsubst %.s,%.o,$(PROJ_ASRCS)) +#|--------------------------------------------------------------------------------------| +#| Define targets | +#|--------------------------------------------------------------------------------------| +AOBJS = $(patsubst %.S,%.o,$(PROJ_ASRCS)) COBJS = $(patsubst %.c,%.o,$(PROJ_CSRCS)) -#|---------------------------------------------------------------------------------------| -#| Make ALL | -#|---------------------------------------------------------------------------------------| -all : $(BIN_PATH)/$(PROJ_NAME).srec +#|--------------------------------------------------------------------------------------| +#| Make ALL | +#|--------------------------------------------------------------------------------------| +.PHONY: all +all: $(BIN_PATH)/$(PROJ_NAME).srec $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf @@ -197,31 +131,32 @@ $(BIN_PATH)/$(PROJ_NAME).srec : $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).elf : $(AOBJS) $(COBJS) @echo +++ Linking [$(notdir $@)] - @$(LN) $(CFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) $(LFLAGS) + @$(LN) $(LFLAGS) -o $@ $(patsubst %.o,$(OBJ_PATH)/%.o,$(^F)) $(LIBS) -#|---------------------------------------------------------------------------------------| -#| Compile and assemble | -#|---------------------------------------------------------------------------------------| -$(AOBJS): %.o: %.s $(PROJ_CHDRS) +#|--------------------------------------------------------------------------------------| +#| Compile and assemble | +#|--------------------------------------------------------------------------------------| +$(AOBJS): %.o: %.S $(PROJ_CHDRS) @echo +++ Assembling [$(notdir $<)] - @$(AS) $(AFLAGS) $< -o $(OBJ_PATH)/$(@F) + @$(AS) $(AFLAGS) -c $< -o $(OBJ_PATH)/$(@F) $(COBJS): %.o: %.c $(PROJ_CHDRS) @echo +++ Compiling [$(notdir $<)] @$(CC) $(CFLAGS) -c $< -o $(OBJ_PATH)/$(@F) -#|---------------------------------------------------------------------------------------| -#| Make CLEAN | -#|---------------------------------------------------------------------------------------| -clean : +#|--------------------------------------------------------------------------------------| +#| Make CLEAN | +#|--------------------------------------------------------------------------------------| +.PHONY: clean +clean: @echo +++ Cleaning build environment - @cs-rm -f $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) - @cs-rm -f $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map - @cs-rm -f $(BIN_PATH)/$(PROJ_NAME).srec + @$(RM) $(RMFLAGS) $(foreach file,$(AOBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(foreach file,$(COBJS),$(OBJ_PATH)/$(file)) + @$(RM) $(RMFLAGS) $(patsubst %.o,%.lst,$(foreach file,$(COBJS),$(OBJ_PATH)/$(file))) + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).elf $(BIN_PATH)/$(PROJ_NAME).map + @$(RM) $(RMFLAGS) $(BIN_PATH)/$(PROJ_NAME).srec @echo +++ Clean complete - \ No newline at end of file + diff --git a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/memory.x b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/memory.x index 4a91bbc5..e322fa8d 100644 --- a/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/memory.x +++ b/Target/Demo/ARMCM3_LM3S_EK_LM3S8962_GCC/Prog/memory.x @@ -10,17 +10,60 @@ SECTIONS .text : { - KEEP(*(.isr_vector)) - *(.text*) - *(.rodata*) + KEEP(*(.isr_vector)) + *(.text*) + + KEEP(*(.init)) + KEEP(*(.fini)) + + /* .ctors */ + *crtbegin.o(.ctors) + *crtbegin?.o(.ctors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors) + *(SORT(.ctors.*)) + *(.ctors) + + /* .dtors */ + *crtbegin.o(.dtors) + *crtbegin?.o(.dtors) + *(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors) + *(SORT(.dtors.*)) + *(.dtors) + + *(.rodata*) + + KEEP(*(.eh_frame*)) _etext = .; } > FLASH .data : AT (ADDR(.text) + SIZEOF(.text)) { _data = .; - *(vtable) - *(.data*) + *(vtable) + *(.data*) + + . = ALIGN(4); + /* preinit data */ + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP(*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + . = ALIGN(4); + /* init data */ + PROVIDE_HIDDEN (__init_array_start = .); + KEEP(*(SORT(.init_array.*))) + KEEP(*(.init_array)) + PROVIDE_HIDDEN (__init_array_end = .); + + + . = ALIGN(4); + /* finit data */ + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP(*(SORT(.fini_array.*))) + KEEP(*(.fini_array)) + PROVIDE_HIDDEN (__fini_array_end = .); + + . = ALIGN(4); _edata = .; } > SRAM @@ -33,5 +76,6 @@ SECTIONS _stack = .; . = ALIGN(MAX(_stack + __STACKSIZE__ , .), 4); _estack = .; + } > SRAM }